JP2004505433A - 製品歩留まり予測用のシステムおよび方法 - Google Patents

製品歩留まり予測用のシステムおよび方法 Download PDF

Info

Publication number
JP2004505433A
JP2004505433A JP2001537527A JP2001537527A JP2004505433A JP 2004505433 A JP2004505433 A JP 2004505433A JP 2001537527 A JP2001537527 A JP 2001537527A JP 2001537527 A JP2001537527 A JP 2001537527A JP 2004505433 A JP2004505433 A JP 2004505433A
Authority
JP
Japan
Prior art keywords
layout
yield
characterization
vehicle
characterization vehicle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001537527A
Other languages
English (en)
Other versions
JP2004505433A5 (ja
Inventor
ブライアン イー スタイン
ジヤン カイバリアン
カイモン マイケルズ
ジヨー デイビス
ピー ケイ モザンダー
シエリー リー
クリストフアー ヘス
ラーグ ウエイランド
デニス ジエイ サイプリツカス
デイビツド エム スタシヤウアー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PDF Solutions Inc
Original Assignee
PDF Solutions Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by PDF Solutions Inc filed Critical PDF Solutions Inc
Publication of JP2004505433A publication Critical patent/JP2004505433A/ja
Publication of JP2004505433A5 publication Critical patent/JP2004505433A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Automation & Control Theory (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

集積回路の歩留まりを予測するためのシステムおよび方法は、最終的な集積回路製品に組み込むべき少なくとも1つのタイプのフィーチャを表す少なくとも1つのフィーチャを組み込む、少なくとも1つのタイプの特徴付けビヒクルを含む。この特徴付けビヒクルは、歩留まりモデルを生成するために、集積回路製品を製造する際に使用すべき製造サイクルを構成するプロセス・オペレーションのうちの少なくとも1つを受ける。この歩留まりモデルは、特徴付けビヒクルによって定義されるレイアウトを実施し、好ましくは、動作速度での電気的テスト・データの収集およびプロトタイプ・セクションのテストを容易にするフィーチャを含む。抽出エンジンは、提案される製品レイアウトから所定のレイアウト属性を抽出する。歩留まりモデルに対して操作して、この抽出エンジンは、レイアウト属性と、層または製造プロセスのステップごとの破壊に応じて歩留まり予測を生成する。次いでこれらの歩留まり予測を使用して、製造プロセス中のどのエリアを最も改良する必要があるかを判定する。

Description

【0001】
(発明の背景)
本発明は、集積回路の製造に関し、より詳細には、製造歩留まりを改善するためのシステムおよび方法に関する。
【0002】
集積回路の製造は、何百もの個別の作業を含むことがある非常に複雑な工程である。基本的には、この工程は、精密にあらかじめ定めた量のドーパント材料を、精密にあらかじめ定めたシリコン・ウェハのエリアに拡散し、トランジスタなどの能動デバイスを生成することを含む。これは一般に、ウェハ上に二酸化シリコンの層を形成し、次いで二酸化シリコン・マスクを通じて拡散を行うべきエリアのパターンを画定するために、フォトマスクおよびフォトレジストを利用する。次いで、二酸化シリコン層を貫いて開口がエッチングされ、大きさに合わせて精密に作られ、位置付けられた、拡散がそれを通じて行われる開口のパターンが画定される。所定の数のこのような拡散操作を実施してウェハ中に所望の数のトランジスタを生成した後、これらのトランジスタは、必要に応じて相互接続線によって相互接続される。これらの相互接続線、または相互接続としても知られるものは一般に、フォトマスク、フォトレジスト、およびエッチング工程によって所望の相互接続パターンに画定される導電性材料の付着によって形成される。典型的な完成後の集積回路は、0.1インチ×0.1インチ(2.54mm×2.54mm)のシリコン・チップに含まれる数百万のトランジスタと、サブミクロン寸法の相互接続とを有することができる。
【0003】
今日の集積回路で必要とされるデバイスおよび相互接続の密度を考えると、製造工程を最大の精度で、かつ欠陥を最小にするように実施することが不可欠である。動作を信頼性あるものとするために、回路の電気的特性を、注意深く制御される限度内に保たなければならない。このことは、無数の作業および製造工程にわたって高度な制御を行うことを意味する。例えば、フォトレジスト作業およびフォトマスク作業では、塵などの異物の存在、微細なスクラッチ、およびフォトマスク上のパターン中の他の欠陥により、半導体ウェハ上に欠陥パターンが生成され、欠陥集積回路が生成される可能性がある。さらに、拡散操作自体の間に、欠陥が回路中に導入される可能性がある。欠陥のある回路は、高倍率の下での外観検査と、電気的テストの両方によって識別することができる。欠陥のある集積回路を識別した後に、その製造工程で製造された欠陥のある集積回路の数を削減するための措置を講じ、それによって仕様に適合する集積回路の歩留まりを向上することが望ましい。
【0004】
過去においては、集積回路の芳しくない歩留まりを引き起こす欠陥の多くは、微粒子の異物または他の不定の源泉によって引き起こされた。以前にも増して、現代の集積回路工程に見られる欠陥の多くは、プロセス開発またはイールド・ランピング(yield ramping)の初期段階では特に、微粒子または不定の異物を源泉とするのではなく、非常に系統的な源泉に由来している。これらの系統的な欠陥の源泉の例には、積極的なリソグラフィ・ツールを使用することによる転写問題、不十分に形成されたケイ化物によるポリ・ストリンガ、駆動される密度によるゲート長の変動、および光近接効果が含まれる。
【0005】
製造工程で生成される欠陥のある集積回路の数を削減し、したがって歩留まりを向上するように試みるときに、場合によっては数百もの処理ステップのうちの任意の1つまたは複数の処理ステップが、特定の回路の欠陥を引き起こした可能性があるという現実に直面する。このような多数の変数を扱う場合、特定の回路内の欠陥の正確な原因を判定することは非常に難しいことがあり、それによって、歩留まりを低下させるプロセス・オペレーションを識別し、補正することが非常に難しくなる。完成した集積回路の詳細な検査により、どのプロセス・オペレーションが回路の欠陥を引き起こした可能性があるかについてある徴候が得られることがある。しかし、検査装置はしばしば系統的な欠陥の源泉の多くを捕捉せず、かつ/またはツールは、効果的かつ確実に同調し、最適化し、または使用することが難しいことがある。さらに、特に最近の技術における検査装置は、しばしば多くの偽りの警報または妨害欠陥を受け、周知であるように、それらにより本当の欠陥または欠陥の源泉を確実に観測するためのどんな試行も妨害される。
【0006】
特定の問題を製造サイクルの完了後の最終テストで識別した後に、特定のプロセス・オペレーションでの問題が、数週間または数カ月早いこともある、オペレーションが実施された時間に存在したということが確認される可能性があることが一般に分かっている。したがって、問題がずっと後になって補正される可能性がある。この時点では、異なるプロセス・オペレーションにより、問題が引き起こされる可能性がある。したがって、その後では、欠陥のある集積回路の事実分析、およびこれらの欠陥のある製品を引き起こすプロセス・オペレーションの識別は、集積回路の全体的歩留まりを改善するための手段としては非常に限られたものとなる。
【0007】
事実分析の後に不具合をもたらさずに歩留まりを予測するためのいくつかの試行が行われているが、それらの成功の程度は様々である。したがって、集積回路製品の歩留まり予測のための改良型のシステムおよび方法が求められている。
【0008】
(発明の概要)
集積回路の歩留まりを予測するためのシステムおよび方法は、最終的な集積回路製品に組み込むべき少なくとも1つのタイプのフィーチャ(特徴:feature)を表す少なくとも1つのフィーチャを組み込む、少なくとも1つのタイプの特徴付けビヒクル(vehicle)を含む。この特徴付けビヒクルは、歩留まりモデルを生成するために、集積回路製品を製造する際に使用すべき製造サイクルを構成するプロセス・オペレーションのうちの少なくとも1つを受ける。この歩留まりモデルは、特徴付けビヒクルによって定義されるレイアウトを実施し、好ましくは、動作速度での電気的テスト・データの収集およびプロトタイプ・セクションのテストを容易にするフィーチャを含む。抽出エンジン(抽出装置:extraction engine)は、提案される製品レイアウトから所定のレイアウト属性を抽出する。歩留まりモデルに対して操作して、この抽出エンジンは、レイアウト属性と、層または製造工程のステップごとの破壊に応じて歩留まり予測を生成する。次いでこれらの歩留まり予測を使用して、製造工程中のどのエリアを最も改良する必要があるかを判定する。
【0009】
(詳細な説明)
次に図1を参照すると、本発明に従って集積回路歩留まりを予測するために、システム10と総称するシステムによって実行されるステップを示すブロック図が示されている。システム10は、少なくとも1つのタイプの特徴付けビヒクル12を利用する。特徴付けビヒクル12は、最終的製品に組み込むべき少なくとも1つのタイプのフィーチャを表す、少なくとも1つの特定のフィーチャを組み込む集積回路構造を構築するのに必要な情報を含むソフトウェアの形態であることが好ましい。例えば、特徴付けビヒクル12は、考慮中のプロセス・フロー(process flow)の金属相互接続モジュールのヘルスおよび製造性を検出するための単一リソグラフィック層のショート・フロー(short flow)・テスト・ビヒクルを定義することができる。この構造は、製造中に製品に影響を与える可能性の高い様々な欠陥の取込みまたはフィンガープリント(fingerprint)の信頼性を高めることが可能となるように十分大きくする必要があり、かつ製造工程で動作する実際の製品または製品のタイプと同じくらいである必要がある。ショート・フローおよびショート・フローで実施される構造のより具体的な例および説明を以下で説明する。
【0010】
ショート・フローは、集積回路製造サイクル中のプロセス・ステップの合計数の特定のサブセットを包含するものとして定義される。例えば、合計製造サイクルは450またはそれ以上のプロセス・ステップを含むことができるが、単一相互接続層の製造性を調査するために設計されるような特徴付けビヒクルは、少ない数、例えば10〜25プロセス・ステップだけが含まれることになる。能動デバイスおよび複数の相互接続層は、歩留まりモデルを得るため、またはプロセス・フロー中の単一相互接続層に関連するこれらのステップに影響を与える欠陥の正確な診断を可能にするためには必要ではないからである。
【0011】
特徴付けビヒクル12は、提案される製品レイアウトの1つまたは複数の属性に一致するフィーチャを定義する。例えば、特徴付けビヒクル12は、この特定の設計タイプに影響を与え、歩留まり損失を引き起こす可能性が高い欠陥を判定するために、提案される製品レイアウトを表すフィーチャ(例えば、線サイズ、間隔、および周期性、ライン・ベンドおよびランなど)を含む部分レイアウトを有するショート・フロー・テスト・ビヒクルを定義することができる。
【0012】
特徴付けビヒクル12は、デバイス性能およびプロセス・パラメータに関するレイアウト近隣のインパクトを調査するために、提案される設計の1つまたは複数の能動領域および隣接フィーチャを定義し、レイアウト属性に応じてデバイス・パラメータをモデル化し、製品性能と最良に相関するデバイスを決定することもできる。さらに、プロセス全体のすべてのモジュラ構成要素のすべての可能なサブセットまたは主要なサブセットの範囲が働くように、十分な数のショート・フロー・ビヒクルを構築し、解析することによって、製造される特定の製品に影響を与えることになる歩留まり問題のすべてではないとしても、その多くの完全な評価を明らかにし、モデル化し、および/または診断することができる。
【0013】
製造中の製品に見られる可能性の高い歩留まり問題を評価し、診断するための情報を提供することに加えて、特徴付けビヒクルは、正確な歩留まり予測のために使用できる歩留まりモデル16を生成するように設計される。これらの歩留まりモデルは、限定はしないが、製品計画と、プロセス全体にわたる歩留まり改善作業の優先順位付けと、製品自体の元の設計を変更してより良く製造できるようにすることを含む目的のために使用することができる。
【0014】
本発明で企図される特徴付けビヒクル12におけるテスト構造の大部分は、電気的テスト用に設計される。この目的で、各特徴付けビヒクルによって評価される、モジュール内の故障および欠陥を検出する信頼性は非常に高い。検査装置は、この高度な信頼性を達成し、または保証することができない。さらに、電気的テストが高速かつ安価であるので、データ収集の速度および量は、それぞれ非常に高速であり、大きい。このようにして、統計的に有意な診断および/または歩留まりモデルを実現することができる。
【0015】
好ましくは、特徴付けビヒクル12は、テープまたはディスク上のGDS2レイアウトの形態であり、次いでそれを使用してレチクル・セット(reticle set)が生成される。レチクル・セットが製造サイクル14の選択した部分の間で使用され、歩留まりモデル16が生成される。したがって、歩留まりモデル16は、特徴付けビヒクル12によって定義されるレチクル・セットを使用する、選択した製造プロセス・ステップを受けたウェハの少なくとも一部から測定されるデータから構築されることが好ましい。
【0016】
歩留まりモデル16は、特徴付けビヒクルによって定義されるレイアウトを実施するだけでなく、製造プロセス・オペレーション自体によって導入されたアーチファクトも含む。歩留まりモデル16は、プロトタイプ・アーキテクチャおよびレイアウト・パターン、ならびに動作速度での電気的テスト・データおよびテスト・プロトタイプ・セクション収集を容易にするフィーチャも含むことができる。これにより、歩留まり予測の正確さおよび信頼性が改善される。
【0017】
抽出エンジン(抽出装置)18は、提案される製品レイアウト20からレイアウト属性を抽出し、この情報を歩留まりモデル16に接続して製品歩留まり予測22を得るためのツールである。このようなレイアウト属性は、例えばバイア冗長度(via redundancy)、臨界エリア、正味長さ分布、および線幅/スペース分布を含むことができる。次いで、提案される製品レイアウト20からのレイアウト属性と、特徴付けビヒクル12からの情報に基づいて製造された歩留まりモデル16からのデータが与えられた場合、製品歩留まり22が予測される。本発明のシステムおよび方法を使用して、得ることのできる予測可能な製品歩留まりは、定義された各属性、機能ブロックまたは層と関連する歩留まりとすることができ、あるいは製品レイアウト全体に関して結果として得られる歩留まり予測とすることができる。
【0018】
次に図2を参照すると、抽出エンジン28によって製品レイアウト20から設計属性26を抽出するための、フィードバック・ループ24と総称するフィードバック・ループを追加で備える、本発明による集積回路歩留まり10を予測するためのシステムのブロック図が示されている。本発明のこの特徴によれば、特徴付けビヒクル12は、製品レイアウト20の属性を使用して開発される。この場合、製品レイアウトの属性が抽出され、属性の範囲が特徴付けビヒクル12中に確実に含まれるようにされる。例えば製品レイアウトが解析されて、線スペース分布、幅分布、密度分布、アイランド・パターンの数が決定され、実質上、製造工程の設計規則のセット全体のサブセットが開発される。このサブセットは、考慮中の特定の製品レイアウトに応用可能である。パターンに関しては、製品レイアウト分析により、最も共通するパターンが決定され、2番目に共通するパターンが決定され、以下同様である。これらは、抽出エンジン28によって抽出され、特徴付けビヒクル12中に含めるために、これらのパターンのすべてを包含する設計属性26が生み出される。密度に関しては、製品レイアウトの分析により、最初の金属の密度が10%〜50%であることが明らかとなった場合、特徴付けビヒクルは、最初の金属についての幅全体の10%〜50%を含むことになる。
【0019】
1つのタイプの特徴付けビヒクルは、金属ショート・フロー・特徴付けビヒクルである。金属ショート・フロー・特徴付けビヒクルの目的は、単一相互接続層の転写性および製造性を定量化することである。高い製品歩留まりのために重要である金属歩留まりは、しばしば得ることが非常に難しく、かつ、いくつかの独立な処理ステップだけからなるので、通常、金属ショート・フローはプロセスの非常に初期に実行される。金属ショート・フロー・マスクを使用するショート・フロー実験を実施することにより、迅速かつ連続的に実験および分析を実施することが可能となり、完全なフロー・ランが完了するまで待機する必要なく検出される、どんな系統的歩留まり問題または不定の欠陥歩留まり問題もなくなり、または最小となる。
【0020】
図3を参照すると、一般的に30で示された単一のリソグラフィック層からなる通常の金属ショート・フロー・マスクの例の画像が示されている。マスク30はチップ上の単一の金属層を画定するために使用され、図3に示す例示のチップ32はこの例では約22mm×22mのサイズであるステッパが収容できる大きさである。このチップは図4に示す4つの象限42、4、46および48に分割され、前記各象限は以下の6つの基本構造の1つまたはそれ以上を含む。すなわち、(i)ケルビン金属臨界寸法構造(Kelvin metal critical dimension structure)、(ii)スネークおよびコーム構造(snake and comb structure)、(iii)ネスト欠陥サイズ分布構造(nest defect size distribution structure)、(iv)ファン・デル・ポー構造(Van der Pauw structure)、(v)OPC評価構造(OPC evaluation structure)、(vi)古典的走査型電子顕微鏡(SEM)構造(classical scanning electron microscopy(SEM)structure)である。
【0021】
チップ・エリアの約50%が欠陥サイズ分布の抽出のためのネスト構造に使用され、チップ・エリアの40%が系統的な歩留まり損失機構の検出とパラメータ変動の測定に使用されている。図3はまたチップ上のパッド・フレーム34のロケーションを示す。本明細書に記載する実施形態では、図5に示すように、チップ・カードプロセス上に131のパッド・フレームがあり、各パッド・フレーム34は32のパッドを含む。各パッド・フレーム34内のパッドは後述する試験プログラムの必要に応じて外部試験装置が接触する電機接続点を提供する。
【0022】
このチップで使用するファン・デル・ポー構造82(図8参照)は構造の対称性を利用してシート抵抗を直接測定する4端子の正方形構造である。シート抵抗の高精度の判定は線幅変動の測定の要件である。ファン・デル・ポー構造82は2つの異なるフレーム・タイプ、すなわち、混載フレーム62(図6A参照)とVDP1 64(図6B参照)とに配置されている。図7は本明細書に記載する例示の金属ショート・フロー・チップ内のファン・デル・ポー構造を含むパッド・フレーム72のロケーションを示す。この例示のチップ内では、ファン・デル・ポー構造はチップ面積の1%未満しか占有しない。ファン・デル・ポー構造内では、線幅(LW)とLWタップ(図8参照)とは変動するパラメータである。テーブル1は本明細書に記載する例示の金属ショート・フロー・チップ内のファン・デル・ポー構造の変動を示す。
【0023】
【表1】
Figure 2004505433
【0024】
ネスト検出サイズ分布構造はオープンおよびショート検出と欠陥サイズ分布用に設計された入れ子式の連続的な線のアレイである。線幅と線間距離は欠陥サイズ分布の検出を容易にするために変動するパラメータである。本明細書に記載する実施形態では、これらの構造は図9に示すロケーション92および94でチップ面積の50%を占め、総計10個のセル96内に14の変種を有する。これらの構造が占有できるエリアの量はウェーハあたり0.25個以内の欠陥を高精度に検出できる大きさがなくてはならない.変種の数は通常、設計規則(DR)、DRよりやや下、DRよりやや上、DRよりほぼ上を含む。したがって、例えば、DRが線間距離で10μmの場合、プロットはテーブル2に示すように0.9、1.1、1.3および2.5についてである。
【0025】
【表2】
Figure 2004505433
【0026】
各セルは6つのサブセルに分割され、線抵抗を合理的なレベル(250kΩ未満)に低減し、セルあたりの多数の欠陥の発生を最小限にする。この実施形態では、セル当たり16個のスネークがある。一般的に1002で示す例示のネスト欠陥サイズ分布構造自体も図10に示されている。ネスト欠陥サイズ分布構造は線幅(LW)が線間距離(S)に等しく、その後のデータ解析が容易になるように設計されている。
【0027】
ケルビン金属臨界寸法(CD)構造は各端部で成端される連続的な直線からなる。これらの構造はファン・デル・ポー構造から決定されるシート抵抗に関連してケルビン線幅の決定が可能な高精度の線抵抗測定を可能にする。これらの構造は第1に電気的臨界寸法の変動を決定するために設計されている。一般的に110で示す例示のケルビン臨界寸法構造を図11に示す。電気的臨界寸法変動可能性の光近接効果のインパクトを研究するため、ローカル近隣構造が変動する。ローカル近隣で変動するパラメータは線の番号112、線幅114、線間距離116である。ケルビン構造周辺の大域環境118も変動してまず電気的臨界寸法へのエッチング関連効果が研究される(図11参照)。大域近隣(global neighborhood)で変動するパラメータは集積度とエリアである。大域近隣構造は他の電気的測定のニーズにも応えることができる。例えば、これらの構造の歩留まりを測定して環境に応じた金属臨界寸法だけでなく環境の関数としての歩留まりが得られる。図12は本明細書に記載する金属ショート・フロー・チップ内のケルビン構造のロケーションを示す。これらのロケーションは使用可能なエリアを占有するように選択されている。テーブル3〜9は本明細書に記載する金属ショート・フロー・チップ内のケルビン構造の変動を説明する。これらの値は図22(a)〜22(b)で識別したスペースを占有するように選択されている。例えば、パターン集積度は45%付近に集中し、線幅と線間距離は1.0〜3.3μmの範囲内にある。これは例示の製品レイアウトの大半で集中する数字であるからである。
【0028】
【表3】
Figure 2004505433
【0029】
【表4】
Figure 2004505433
【0030】
【表5】
Figure 2004505433
【0031】
【表6】
Figure 2004505433
【0032】
【表7】
Figure 2004505433
【0033】
【表8】
Figure 2004505433
【0034】
【表9】
Figure 2004505433
【0035】
スネーク、コームおよびスネーク&コーム構造は主として各種パターンについでショートおよびオープンを検出するために設計されている。スネークは主としてオープンの検出に使用され、抵抗値の変動のモニタにも使用できる。コームはショートの検出に使用される。ショートおよびオープンは基本的な歩留まり損失機構であり、両方とも最小限にして高い製品歩留まりを得る必要がある。図13は本明細書に記載する金属ショート・フロー・チップ内のスネークおよびコーム1302のロケーションを示す。象限1 1304も例えば図14に示すケルビン構造内に入れ子になっているスネーク1402およびコーム1404を含む。図14に示す線幅(LW)および線間距離(S)はショートおよびオープンに与えるインパクトを研究するためのこれらの構造上で変動するパラメータである。テーブル10〜13は本明細書に記載する金属ショート・フロー・チップ内で使用されるスネークおよびコーム構造の変動を説明する。さらに、各パラメータは線幅、線間距離および集積度が占めるスペースが図22(a)〜22(c)に示す例示の製品レイアウトのそれと同様になるように選択されている。
【0036】
【表10】
Figure 2004505433
【0037】
【表11】
Figure 2004505433
【0038】
【表12】
Figure 2004505433
【0039】
【表13】
Figure 2004505433
【0040】
ボーダおよびフリンジ構造はショートに与える光近接補正(OPC)構造のインパクトを研究するために設計されている。これらの光近接補正は普通バイア歩留まりを向上させるために追加される。ただし、これらのボーダがある、またはない金属ショート歩留まりを検査してショート歩留まりへの悪影響はないという確証が得られる。ボーダ1502は、図15に示すように、両方共一般的に1504で示すコーム構造内のコーム線端部に配置される。図16は本明細書に記載する金属ショート・フロー・チップ内の一般的に1602で示すボーダ構造のロケーションを示す。
【0041】
走査型電子顕微鏡(SEM)構造はトップ・ダウンまたは断面SEMによる線幅の非電気的測定に使用される。本明細書に記載する金属ショート・フロー・チップ内のSEMバーの場合、線幅は従来のSEM技法による線間距離と同じである。図17は本明細書に記載する金属ショート・フロー・チップ内のSEM構造1702のロケーションを示す。これらの構造は図示の実施形態の各象限1704、1706、1708および1710の底部に配置されるが、これはこの位置でスペースが利用できるからである。
【0042】
図3〜17と付随する説明で、金属歩留まりの向上のための例示の特徴付けビヒクルについて説明した。バイア、デバイス、ケイ化物、ポリ他のその他の特徴付けビヒクルが指定され使用されることが多い。ただし、それらを設計する手順と技法は同じである。図を見やすくするために、例示の金属特徴付けビヒクルを抽出エンジンおよび歩留まりモデル上で実現される。
【0043】
抽出エンジン18は2つの主要な目的を有する。すなわち、(1)特徴付けビヒクルを設計する際に使用するレベル(例えば、線幅、線間距離、集積度)の範囲を決定するのに用いる。(2)その後歩留まりモデル内で使用されて歩留まりを予測するための製品レイアウトの属性を抽出するために用いる。(1)は、特徴付けビヒクルの例でスネーク、コームおよびケルビン構造の線幅、線間距離および集積度の選択方法に関してすでに述べた。したがって、以下に主として(2)に関して説明する。
【0044】
製品レイアウトから抽出できる属性の数はほぼ無限であるため、製品ごとにすべての属性を列挙または抽出することは不可能である。したがって、どの属性を抽出するかを案内するための手順が必要である。普通、特徴付けビヒクルはどの属性を抽出するか決定する。このプロセスは以下のステップを含む。
1.特徴付けビヒクル内のすべての構造を列挙する。
2.各構造をグループまたはファミリに分類してファミリ内のすべての構造が特定の属性についての実験を形成するようにする。例えば、上記の金属特徴付けビヒクルでは、ファミリ分類表は以下のようになる。
Figure 2004505433
【0045】
3.ファミリごとに、製品レイアウトからどの属性を抽出するか決定する。選択する属性はどの属性を探索するかという点から決定される。例えば、特定のファミリが異なる範囲の線間距離の歩留まりを探索する場合、線間距離または線間距離ごとのショート可能エリアのヒストグラムを抽出しなければならない。上記の例では、必要な属性リストは以下のようになる。
Figure 2004505433
【0046】
4.前述したように、適当な歩留まりモデル内で抽出した属性を使用する。
【0047】
その他の特徴付けビヒクルの場合、ファミリと必要な属性は明らかに異なる。ただし、手順および実施態様は上記の例と同様である。
【0048】
前述したように、歩留まりモデル16は好ましくは特徴付けビヒクル12によって画定された網線セットを用いる選択された製作プロセスを経たウェーハの少なくとも一部から測定されたデータから構成される。好ましい実施形態では、歩留まりは不定および系統的な構成要素からなる製品としてモデル化される。
【数1】
Figure 2004505433
【0049】
YsおよびYrを決定する方法および技法について以下に説明する。
【0050】
系統的歩留まりモデル化
極めて多くのタイプの系統的歩留まり損失機構があり、それらはメーカごとに異なるので、可能な系統的歩留まりモデルをすべて列挙することは実際的でない。以下に2つの極めて一般的な技法を説明し、特に本明細書に記載する特徴付けビヒクルと方法に限ってその技法の用例を示す。
【0051】
エリア・ベースのモデル
エリア・ベース・モデルは次のように書かれる。
【数2】
Figure 2004505433
【0052】
上式で、qは線幅、線間距離、長さ、線幅/線間距離の比、集積度などの特徴付けビヒクル内で探索する設計係数である。Y(q)は特徴付けビヒクルからの設計係数qを備えた構造の歩留まりである。A(q)はこの構造のショート可能エリア、A(q)は製品レイアウト上のタイプqのすべてのインスタンスのショート可能エリアである。Y(q)は不定欠陥が歩留まり損失機構のみであろうと仮定した場合のこの構造の予測歩留まりである。この量を計算する手順を不定歩留まりモデル化に関連して以下に説明する。
【0053】
ショート可能エリアの画定は図18の例で最もよく示されている。このタイプの試験構造はメーカがsの線間距離を備えた曲げを有する広い線を製造できるか否かを決定するために使用できる。例示の試験構造では、ショートは端子(1)と(2)の間に電圧を印加して端子(1)から(2)に流れる電流を測定することで測定される。この電流が指定のしきい値(普通1〜100nA)より大きい場合、ショートが検出される。ショート可能エリアは、ブリッジングが発生するとショートが測定されるエリアとして画定される。図18の例では、ショート可能エリアはほぼx*s)である。A(q)項は製品レイアウト内の図18に示すすべての出現する厳密なまたはほぼ厳密なパターン(すなわち、線間距離がsで45度に曲がった広い線)のショート可能エリアである。Yr(q)項は下記の臨界エリア方法を用いるこの構造の不定歩留まり限界を予測することで抽出される。
【0054】
このモデルの有効性は特徴付けビヒクル上に配置された構造の数と構造のサイズと同じ程度でしかないということを認識することが重要である。例えば、図18に示す角度が付いた曲がり試験構造が特徴付けビヒクル上に配置されないかまたは意味がある歩留まり数を得るほどの回数配置されなかった場合、この製品レイアウト上で幅広い線の曲がりの歩留まり損失をモデル化する望みはないであろう。どれ位大きい試験構造をいくつ特徴付けビヒクル上に配置するかを厳密に定義するのは困難であるが、実際の経験から特徴付けビヒクル上の各試験構造の全ショート可能エリアは理想的にはA(q)/Ao(q)<10を満たすべきである。
【0055】
ショートは一般に複数のオープン歩留まり損失機構に広がっている傾向があるので上記の説明はショートについてであった。ただし、ショート可能エリアをオープン原因エリアと交換する限り、オープン歩留まり損失機構もこの歩留まりモデルで同様にモデル化できる。
【0056】
インスタンス・ベースの歩留まりモデル
インスタンス・ベースの歩留まりモデルの一般的な形式は、
【数3】
Figure 2004505433
のようになる。
【0057】
上式で、Yo(q)およびYr(q)はエリア・ベースの歩留まりモデルと厳密に同じである。Ni(q)は単位格子・パターンまたは特徴付けビヒクル上の試験パターンに酷似した単位格子・パターが製品レイアウト上に出現する回数である。No(q)は単位格子・パターンが特徴付けビヒクル上に出現する回数である。
【0058】
例えば、図19は線間距離s付近の線の端部のT型末端の歩留まりを検証する簡単な試験パターンを示す。この試験パターンは端子(1)と(2)の間に電圧を印加してショート電流を測定することで測定される。このパターンが特徴付けビヒクルのどこかで25回繰り返されると、No(q)は25×5=125となる。試験構造あたり5つの単位格子があるからである。
【0059】
この単位格子が線間距離sで出現する回数が製品レイアウトから抽出されると、このタイプの構造の系統的な歩留まりを予測できる。例えば、各々の構造に500の単位格子を備えた5つの構造がある場合、No(q)=2500である。同じ製品のNi(q)が10、000の場合、98.20%の特徴付けビヒクル上の試験構造の歩留まりが測定された。下記の技法を用いて、Yr(q)を99.67%と推定することができる。これらの数字を以下の式に代入して、
【数4】
Figure 2004505433
が得られる。
【0060】
不定歩留まりモデル化
不定構成要素は、
【数5】
Figure 2004505433
のように記述できる。
【0061】
十分に記載されているかのようにこの詳細な説明に参照として組み込まれた「Modeling of Lithography Related Yield Losses for CAD of VSLI Circuits」、W.maly、IEEE Trans.on CAD、1985年7月、pp161〜177にも記載されているように、上式で、CA(x)は欠陥サイズxの臨界エリア、DSD(x)は欠陥サイズ分布である。Xoは確信を持って観察または測定できる最小欠陥サイズである。これは普通最小線間距離設計規則に設定される。臨界エリアはサイズxの欠陥が存在する場合、ショートが発生するエリアである。極めて小さいXの場合、臨界エリアは0付近であるが、極めて大きい欠陥サイズはチップの全エリアに近づく臨界エリアを有する。臨界エリアと抽出技法の別の説明は、すべて十分に記載されているかのようにこの詳細な説明に参照として組み込まれている、P.K.NagおよびW.Malyの「Yield Estimation of VLSI Circuits,」Techcon90、1990年10月16〜18日、San Jose、P.K.NagおよびW.Malyの「Hierachical Extraction of Critical Area for Shorts in Very Large ICs」Proceedings of the IEEE International Workshop on Detect and Fault Tolerance in VLSI Systems所収,IEEE Computer Society Press 1995年、pp.10〜18、I.Bubel,W.Maly,T.Waas,P.K.Nag,H.MHartmann,D.Schmitt−LandsiedelおよびS.Griepの「AFFCCA: A tool for Critical Area Analysis with Circular Defects and Lithography Deformed Layout」Proceedings of the IEEE International Workshop on Detect and Fault Tolerance in VLSI Systems所収,IEEE Computer Society Press 1995年、pp.19〜27、C.Ouyang およびW.Malyの「Efficient Extraction of Critical Area in Large VISI ICs」Proc.IEEE International Symposium on Semiconductor manufacturing、1996年、pp.301〜304、C.Ouyang,W.Pleskaz、およびW.Malyの「Extraction of Critical Area for opens in Large VLSI Circuits」Proc.IEEE International Workshop on Detect and Fault Tolerance of VLSI Systems、1996年、pp.21〜29に記載されている。
【0062】
欠陥サイズ分布はサイズがxの欠陥の欠陥密度を表す。欠陥サイズ分布のための提案されているモデルは多数ある(例えば、共に十分に記載されているかのようにこの詳細な説明に参照として組み込まれているW.Malyの「Yield Models − Comparative Study」、Defect and Fault Tolerance in VLSI Systems,Ed.by C.Stapper他、Plenum Press,New York,1990年およびC.H.Stapperの「Modeling of Integrated Circuit Defect Sensitivities」、IBM J.Res.Develop.,Vol.27,No.6、1983年11月)。ただし、図を見やすくするために、最も一般的な分布式
【数6】
Figure 2004505433
が使用される。上式で、Doは観察されたxoより大きい欠陥総数/cmを表す。Pは欠陥のサイズが減衰する速度を表す単位がない値である。通常、pは2〜4の間の数である。Kは正規化係数である。したがって、
【数7】
Figure 2004505433
となる。
【0063】
以下の2つの節で特徴付けビヒクルから欠陥サイズ分布を抽出する技法を説明する。
【0064】
ネスト構造技法
ネスト構造技法は欠陥サイズ分布を抽出するために設計されている。図20に示すように、ネスト構造は線幅がw、線間距離がsのN本の線で構成されている。この構造は線1と2、2と3、3と4、...、およびN−1、Nの間のショート電流を測定することで測定される。所与の仕様書の制限値を超える電流はすべてショートと見なされる。さらに、オープンは線1、2、3、の抵抗値を測定することで試験できる。一定の仕様書の制限値を超える抵抗はすべてオープン線と見なされる。いくつの線をショートさせるかを検証することで、欠陥サイズ分布を決定できる。
【0065】
2本の線のみがショートされる場合、欠陥サイズはsより大きく3w+2sを超えないことが必要である。欠陥がsより小さければショートは発生しないが、3w+2sより大きい欠陥は少なくとも3本の線のショートを引き起こすことが保証される。ショートされる線の数ごとにサイズの間隔を作成できる。
【0066】
【表14】
Figure 2004505433
【0067】
前記間隔は重なることに留意すべきである。したがって、欠陥サイズ分布は直接計算できない。この制約はpの抽出を制限するのみである。したがって、pを推定するために、すべての偶数番号の線、次にすべての奇数番号の線についてPの予想値が計算される。最後に、2つの値を平均してpを推定する。pを抽出すため、ln(ショートしたx本の線での欠陥数)対log([x−1]s+[x−2]w)がプロットされる。この線の勾配は−pであることが分かる。Do項は線の各グループで欠陥数をカウントし構造のエリアで割ることで抽出される。ただし、極めて大きいDoの場合、この推定は楽観的すぎる。試験構造と同様の構造から欠陥サイズ分布を抽出する手順に関する別の情報が例えば、十分に記載されているかのようにこの詳細な説明に参照として組み込まれたJ.Khare,W.MalyおよびM.E.Thomasの「Extraction of Defect Size Distribution in an IC layer Using Test Structure Data」、IEEE Transactions on Semiconductors Manufacturing」、pp.354〜368、Vol.7、No.3、1994年8月に記載されている。
【0068】
例として、100のダイの1枚のウェーハからとった以下のデータを考える。
【0069】
【表15】
Figure 2004505433
【0070】
構造サイズが1cmの場合、Doは98+11+4+2+1=133/(100*1)=1.33欠陥/cmである。また、ログのプロット(欠陥数)対log([x−1]s+[x−2]w)(図21を参照)によってP=2.05が分かる。
【0071】
コーム構造技法
線幅=線間距離=sのコームを仮定すると、この構造の歩留まりは、
【数8】
Figure 2004505433
として記述できることが分かる。
【0072】
したがって、In[|In(n)|]対In(s)のプロットの勾配から、pを推定することができる。Do抽出技法は上記と同じである。
【0073】
歩留まりインパクトおよび評価
十分な数の特徴付けビヒクルが実行され、各特徴付けビヒクルについて歩留まり推定が行われると、その結果がスプレッドシートに表示されて歩留まり活動の優先順位付けが可能になる。テーブル14〜16はそのようなスプレッドシートに含まれる情報の例である。スプレッドシートは金属歩留まり、ポリおよびアクティブ・エリア(AA)歩留まり(テーブル14)、コンタクトおよびバイア歩留まり(テーブル15)、およびデバイス歩留まり(テーブル16)に分割されている。左側のカラムは系統的な歩留まり損失機構を示し、右側のカラムは不定歩留まり損失機構を示す。系統的欠陥機構の正確なタイプは製品や技術によって異なるが、例を表XIV〜XVIに示す。
【0074】
普通、目標はスプレッドシートに列挙した各モジュールに対応する。モジュール歩留まりが目標から離れれば離れるほど、問題解決のためにより多くの強調とリソースとが向けられる。例えば、テーブル14〜16に示す例の各モジュールについて目標が人為的に95パーセントに設定されると、明らかに(M→M)バイア(75.2%)とそれに続く同様のバイア(M→M)(81.92%)、Mショート(82.25%)、およびポリへのコンタクト(87.22%)が目標を下回り、バイア(M→M)が最大量の作業を必要とし、ポリが最小量の作業を必要とする。
【0075】
各モジュール内で、最大歩留まり損失がどこにあるかを判定できる。すなわち、歩留まりを下げているのはある特定の系統的な機構なのか、単に不定の欠陥問題なのか、または2つの組み合わせなのか。例えば、テーブル15に示すように、バイア(M→M)歩留まり損失はM3レベルのロング・メタル・ランナ(long metal runner)に接続されたバイアに関する系統的な問題(77.40%)によって支配される。(M→M)のバイアは不定欠陥問題(92.49%)に加えて同じ問題(91.52%)を抱えている。バイア(M→M)の歩留まり問題を解決するにはこれらの問題の両方を解決する必要がある。
【0076】
テーブル14に示すように、M歩留まり損失は小さい線間距離に近い大きい線幅に影響を与える系統的な問題(96.66%)に加えて不定欠陥問題(85.23%)によっても支配される。金属1を改良するにはこれらの問題の両方を解決する必要がある。スプレッドシートの他のモジュールについても同様の結論を出すことができる。
【0077】
最悪の歩留まりモジュールの場合、このモジュールの別の特徴付けビヒクルを頻繁に実行する必要がある。普通、モジュール歩留まりのこれらの改良を試行、改善、確認するためにこれらの特徴付けビヒクルを分割する。目標内のモジュールの場合、モジュール歩留まりの下降などの動きが現在までないことを確認するためにショート・フロー・特徴付けビヒクルの定期的なモニタがまだ必要である。ただし、知られている問題を抱えたモジュールと比較してこれらの特徴付けビヒクルは実行頻度を減らすことができる。
【0078】
【表16】
Figure 2004505433
【0079】
【表17】
Figure 2004505433
【0080】
【表18】
Figure 2004505433

【図面の簡単な説明】
【図1】
本発明のシステムの好ましい実施形態によって実行されるステップを示すブロック図である。
【図2】
フィードバック・ループを実施するために、本発明のシステムによって実行される追加のステップを示すブロック図である。
【図3】
単一リソグラフィック層を備える例示的ショート・フロー・マスクのイメージである。
【図4】
例示的金属ショート・フロー・チップ上のパッド・フレームを示す図である。
【図5】
図4に示す各パッド・フレーム内のパッドを示す図である。
【図6】
2つのタイプの、ファン・デル・ポー構造を含むパッド・フレーム構造を示す図である。
【図7】
ファン・デル・ポー構造を含むパッド・フレームの、例示的チップ上の位置を示す図である。
【図8】
例示的ファン・デル・ポー構造を示す図である。
【図9】
例示的金属ショート・フロー・チップ上のネスト欠陥サイズ分布構造の例示的位置を示す図である。
【図10】
例示的ネスト欠陥サイズ分布構造を示す図である。
【図11】
例示的ケルビン臨界寸法構造を示す図である。
【図12】
例示的金属ショート・フロー・チップ上のケルビン構造の例示的位置を示す図である。
【図13】
例示的金属ショート・フロー・チップ上のおよびスネークおよびコームの例示的位置を示す図である。
【図14】
例示的金属ショート・フロー・チップで使用される例示的スネークおよびコーム構造を示す図である。
【図15】
例示的金属ショート・フロー・チップで使用されるボーダ構造の変形形態の例を示す図である。
【図16】
例示的金属ショート・フロー・チップ上のボーダ構造の例示的位置を示す図である。
【図17】
例示的金属ショート・フロー・チップ上の走査電子顕微鏡構造の例示的位置を示す図である。
【図18】
ショート可能エリアを示す例示的テスト構造を示す図である。
【図19】
線の端部のT字形末端の歩留まりを検査するための例示的テスト・パターンを示す図である。
【図20】
欠陥サイズ分布を抽出するための例示的ネスト構造を示す図である。
【図21】
サイズの変化に対する、欠陥の減少率を判定するためのプロットを示す図である。
【図22】
図22(a)、22(b)、22(c)は、サンプル製品レイアウトのメタル1層に関する線幅、線スペース、およびパターン密度分布をそれぞれ示す図である。

Claims (39)

  1. a)最終的な集積回路製品に組み込むべき少なくとも1つのタイプのフィーチャを表す少なくとも1つのフィーチャを含む、少なくとも1つのタイプの特徴付けビヒクルと、
    b)特徴付けビヒクルによって定義されるレイアウトを実施する歩留まりモデルであって、集積回路製品を製造する際に使用すべき製造サイクルを構成する、少なくとも1つのプロセス・オペレーションを受けた歩留まりモデルと、
    c)製品レイアウトと、
    d)製品レイアウトから所定のレイアウト特性を抽出するための抽出エンジンであって、その特性が歩留まりモデルと共に使用されて、歩留まり予測が生成される抽出エンジンとを備える、
    集積回路の歩留まりを予測するためのシステム。
  2. 特徴付けビヒクル・レイアウトが、製品レイアウト上に現れる各フィーチャの変動の範囲と同じ範囲を含む、請求項1に記載のシステム。
  3. 特徴付けビヒクルがショート・フロー・テスト・ビヒクルを備える、請求項2に記載のシステム。
  4. 特徴付けビヒクルが、提案される製品レイアウトを表すフィーチャを含む部分レイアウトを有するショート・フロー・テスト・ビヒクルを備える、請求項3に記載のシステム。
  5. 特徴付けビヒクルが、少なくとも1つの能動領域と、提案される製品レイアウトを表す少なくとも1つの事前選択した隣接フィーチャとを定義する、請求項4に記載のシステム。
  6. 特徴付けビヒクルが金属ショート・フロー・テスト・ビヒクルを備える、請求項3に記載のシステム。
  7. 金属ショート・フロー・テスト・ビヒクルが少なくとも1つの基本構造を含む、請求項6に記載のシステム。
  8. 前記少なくとも1つの基本構造が、
    a)ケルビン金属臨界寸法構造と、
    b)スネーク構造と、
    c)コーム構造と、
    d)スネークおよびコーム構造と、
    e)ネスト欠陥サイズ分布構造と、
    f)ファン・デル・ポー構造と、
    g)光近接補正構造と、
    h)走査電子顕微鏡構造とからなるグループから選択される、請求項7に記載のシステム。
  9. 金属ショート・フロー・テスト・ビヒクルが、単一金属層中に少なくとも1つの基本構造を含む、請求項8に記載のシステム。
  10. 金属ショート・フロー・テスト・ビヒクルが、複数の金属層中に少なくとも1つの基本構造を含む、請求項8に記載のシステム。
  11. 提案される製品レイアウトを表すフィーチャが少なくとも1つのバイアまたは接点を含む、請求項4に記載のシステム。
  12. 提案される製品レイアウトを表すフィーチャが少なくとも1つの能動デバイスを含む、請求項4に記載のシステム。
  13. 提案される製品レイアウトを表すフィーチャが少なくとも1つのケイ化物領域を含む、請求項4に記載のシステム。
  14. 提案される製品レイアウトを表すフィーチャが少なくとも1つのポリシリサイド領域またはポリシリコン領域を含む、請求項4に記載のシステム。
  15. 抽出エンジンが、特徴付けビヒクルを設計するときに使用するためのレイアウト・フィーチャ・レベルの範囲を決定するためにも使用される、請求項1に記載のシステム。
  16. レベルのレイアウト・フィーチャ範囲が、線幅、線スペース、および線密度を含む、請求項15に記載のシステム。
  17. a)最終的な集積回路製品に組み込むべき少なくとも1つのタイプのフィーチャを表す少なくとも1つのフィーチャを有する、少なくとも1つのタイプの特徴付けビヒクルを製造するための情報を提供すること、
    b)集積回路製品を製造する際に使用すべき製造サイクルを構成する少なくとも1つのプロセス・オペレーションを利用して、歩留まりモデルと、製品を表すレイアウト・フィーチャとを実施する特徴付けビヒクルを製造すること、
    c)製品レイアウトを提供すること、
    d)製品レイアウトから所定のレイアウト特性を抽出すること、
    e)抽出したレイアウト特性を歩留まりモデルとモデルと共に使用して、歩留まり予測を生成することを含む
    集積回路に関する歩留まりを予測するための方法。
  18. 特徴付けビヒクル・レイアウトが、製品レイアウト上に現れる各フィーチャの変動の範囲と同じ範囲を含む、請求項17に記載の方法。
  19. 特徴付けビヒクルがショート・フロー・テスト・ビヒクルを備える、請求項18に記載の方法。
  20. 特徴付けビヒクルが、提案される製品レイアウトを表すフィーチャを含む部分レイアウトを有するショート・フロー・テスト・ビヒクルを備える、請求項19に記載の方法。
  21. 特徴付けビヒクルが、少なくとも1つの能動領域と、提案される製品レイアウトを表す少なくとも1つの事前選択した隣接フィーチャとを定義する、請求項20に記載の方法。
  22. 特徴付けビヒクルが金属ショート・フロー・テスト・ビヒクルを備える、請求項19に記載の方法。
  23. 金属ショート・フロー・テスト・ビヒクルが少なくとも1つの基本構造を含む、請求項22に記載の方法。
  24. 前記少なくとも1つの基本構造が、
    a)ケルビン金属臨界寸法構造と、
    b)スネーク構造と、
    c)コーム構造と、
    d)スネークおよびコーム構造と、
    e)ネスト欠陥サイズ分布構造と、
    f)ファン・デル・ポー構造と、
    g)光近接補正構造と、
    h)走査電子顕微鏡構造とからなるグループから選択される、請求項23に記載の方法。
  25. 金属ショート・フロー・テスト・ビヒクルが、単一金属層中に少なくとも1つの基本構造を含む、請求項24に記載の方法。
  26. 金属ショート・フロー・テスト・ビヒクルが、複数の金属層中に少なくとも1つの基本構造を含む、請求項24に記載の方法。
  27. 提案される製品レイアウトを表すフィーチャが少なくとも1つのバイアまたは接点を含む、請求項20に記載の方法。
  28. 提案される製品レイアウトを表すフィーチャが少なくとも1つの能動デバイスを含む、請求項20に記載の方法。
  29. 提案される製品レイアウトを表すフィーチャが少なくとも1つのケイ化物領域を含む、請求項20に記載の方法。
  30. 提案される製品レイアウトを表すフィーチャが少なくとも1つのポリシリサイド領域またはポリシリコン領域を含む、請求項20に記載の方法。
  31. 抽出エンジンが、特徴付けビヒクルを設計するときに使用するためのレベルの範囲を決定するためにも使用される、請求項17に記載の方法。
  32. レベルの範囲が、線幅、線スペース、および線密度を含む、請求項31に記載の方法。
  33. 所定のレイアウト特性が、
    a)特徴付けビヒクル中のすべての構造をリストするステップと、
    b)各構造をファミリに分類し、それによって各ファミリ中のすべての構造が特定の属性にわたる実験を形成するステップと、
    c)各ファミリについて、どの属性を製品レイアウトに関して抽出すべきかを決定するステップとを含むプロセスを使用して、製品レイアウトから抽出される、請求項17に記載の方法。
  34. ファミリが、選択した数の線幅およびスペースにわたって基本的欠陥を調査するためのネスト構造を有するファミリを含む、請求項33に記載の方法。
  35. ファミリが、特定の幅の線幅およびスペースにわたって歩留まりを調査するためのスネークおよびコーム構造を有するファミリを含む、請求項33に記載の方法。
  36. 所定の範囲の線幅およびスペースが、比較的小さいスペースに並ぶ比較的広い線幅と、比較的狭い線幅に並ぶ比較的大きい線間スペースとを含む、請求項35に記載の方法。
  37. ファミリが、線密度、線幅、および間隔にわたる臨界寸法変動を調査するためのケルビン臨界寸法構造およびファン・デル・ポー構造を有するファミリを含む、請求項33に記載の方法。
  38. ファミリが、歩留まりに対する様々な光近接補正方式の効果を調査するためのボーダ構造を有するファミリを含む、請求項33に記載の方法。
  39. 特徴付けビヒクル・データおよび抽出したレイアウト属性が与えられた場合に、歩留まり損失機構を決定し、配置するためのシステム。
JP2001537527A 1999-11-18 2000-11-17 製品歩留まり予測用のシステムおよび方法 Pending JP2004505433A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/442,699 US6449749B1 (en) 1999-11-18 1999-11-18 System and method for product yield prediction
PCT/US2000/031665 WO2001035718A2 (en) 1999-11-18 2000-11-17 System and method for product yield prediction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007095740A Division JP2007201497A (ja) 1999-11-18 2007-03-30 製品歩留まり予測用のシステムおよび方法

Publications (2)

Publication Number Publication Date
JP2004505433A true JP2004505433A (ja) 2004-02-19
JP2004505433A5 JP2004505433A5 (ja) 2007-06-07

Family

ID=23757795

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001537527A Pending JP2004505433A (ja) 1999-11-18 2000-11-17 製品歩留まり予測用のシステムおよび方法
JP2007095740A Pending JP2007201497A (ja) 1999-11-18 2007-03-30 製品歩留まり予測用のシステムおよび方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2007095740A Pending JP2007201497A (ja) 1999-11-18 2007-03-30 製品歩留まり予測用のシステムおよび方法

Country Status (6)

Country Link
US (6) US6449749B1 (ja)
EP (1) EP1384179A4 (ja)
JP (2) JP2004505433A (ja)
CN (2) CN100336063C (ja)
AU (1) AU1774401A (ja)
WO (2) WO2001035718A2 (ja)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6449749B1 (en) * 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6738954B1 (en) * 1999-12-08 2004-05-18 International Business Machines Corporation Method for prediction random defect yields of integrated circuits with accuracy and computation time controls
US6560766B2 (en) * 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation
US7348594B2 (en) * 2001-08-31 2008-03-25 Pdf Solutions, Inc. Test structures and models for estimating the yield impact of dishing and/or voids
JP2005533363A (ja) * 2001-09-28 2005-11-04 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド 銅ダマシン技術におけるディッシングおよびエロージョン効果を評価するためのテスト構造
US6681376B1 (en) * 2001-10-17 2004-01-20 Cypress Semiconductor Corporation Integrated scheme for semiconductor device verification
US6751519B1 (en) * 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6966047B1 (en) * 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6826738B2 (en) * 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6914443B2 (en) * 2002-07-24 2005-07-05 Applied Materials Israel, Ltd. Apparatus and method for enhanced voltage contrast analysis
WO2004053944A2 (en) * 2002-12-11 2004-06-24 Pdf Solutions, Inc. Fast localization of electrical failures on an integrated circuit system and method
US6898780B2 (en) * 2002-12-20 2005-05-24 Lsi Logic Corporation Method and system for constructing a hierarchy-driven chip covering for optical proximity correction
AU2003291579A1 (en) * 2003-01-02 2004-07-29 Pdf Solutions, Inc. Yield improvement
US7752581B2 (en) * 2003-06-10 2010-07-06 International Business Machines Corporation Design structure and system for identification of defects on circuits or other arrayed products
US7346470B2 (en) * 2003-06-10 2008-03-18 International Business Machines Corporation System for identification of defects on circuits or other arrayed products
US7558419B1 (en) 2003-08-14 2009-07-07 Brion Technologies, Inc. System and method for detecting integrated circuit pattern defects
US7220990B2 (en) * 2003-08-25 2007-05-22 Tau-Metrix, Inc. Technique for evaluating a fabrication of a die and wafer
US7013441B2 (en) * 2003-09-26 2006-03-14 International Business Machines Corporation Method for modeling integrated circuit yield
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
WO2005040961A2 (en) * 2003-10-15 2005-05-06 Pdf Solutions, Inc. Method and configuration for connecting test structures or line arrays for monitoring integrated circuit manufacturing
DE10355573B4 (de) * 2003-11-28 2007-12-20 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Produktionsausbeute durch Steuern der Lithographie auf der Grundlage elektrischer Geschwindigkeitsdaten
US7251793B1 (en) * 2004-02-02 2007-07-31 Advanced Micro Devices, Inc. Predicting defect future effects in integrated circuit technology development to facilitate semiconductor wafer lot disposition
US7849366B1 (en) * 2004-03-26 2010-12-07 Advanced Micro Devices, Inc. Method and apparatus for predicting yield parameters based on fault classification
US8818784B1 (en) * 2004-06-23 2014-08-26 Cypress Semiconductor Corporation Hardware description language (HDL) incorporating statistically derived data and related methods
TW200622275A (en) * 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
US20070016321A1 (en) * 2005-07-18 2007-01-18 Dieter Rathei Method for screening risk quality semiconductor products
US7496478B2 (en) 2005-07-18 2009-02-24 Dieter Rathei Method of monitoring a semiconductor manufacturing trend
US7318206B2 (en) * 2005-09-30 2008-01-08 International Business Machines Corporation Offset determination for measurement system matching
US7187179B1 (en) 2005-10-19 2007-03-06 International Business Machines Corporation Wiring test structures for determining open and short circuits in semiconductor devices
US7386815B2 (en) 2005-10-27 2008-06-10 International Business Machines Corporation Test yield estimate for semiconductor products created from a library
US7469394B1 (en) * 2005-12-09 2008-12-23 Altera Corporation Timing variation aware compilation
KR100703982B1 (ko) * 2006-01-23 2007-04-09 삼성전자주식회사 반도체 집적 회로 장치의 레이아웃 분석 방법, 레이아웃 분석 시스템, 스탠다드 셀 라이브러리, 마스크 및 반도체 집적 회로 장치
US7477961B2 (en) * 2006-05-12 2009-01-13 International Business Machines Corporation Equivalent gate count yield estimation for integrated circuit devices
DE102006025351B4 (de) * 2006-05-31 2013-04-04 Globalfoundries Inc. Teststruktur zur Überwachung von Leckströmen in einer Metallisierungsschicht und Verfahren
JP2008033277A (ja) 2006-06-29 2008-02-14 Sharp Corp 設計データ又はマスクデータの補正方法および補正システム、設計データ又はマスクデータの検証方法および検証システム、半導体集積回路の歩留まり予測方法、デザインルールの改善方法、マスクの製造方法、並びに、半導体集積回路の製造方法
US7761824B2 (en) * 2006-07-05 2010-07-20 Chew Marko P System and method to generate an IC layout using simplified manufacturing rule
KR101269055B1 (ko) * 2006-08-19 2013-05-29 삼성전자주식회사 레이아웃의 수율을 높이기 위한 방법 및 상기 방법이저장된 기록 매체
US7448008B2 (en) * 2006-08-29 2008-11-04 International Business Machines Corporation Method, system, and program product for automated verification of gating logic using formal verification
US7448018B2 (en) * 2006-09-12 2008-11-04 International Business Machines Corporation System and method for employing patterning process statistics for ground rules waivers and optimization
DE102006051489B4 (de) * 2006-10-31 2011-12-22 Advanced Micro Devices, Inc. Teststruktur für durch OPC-hervorgerufene Kurzschlüsse zwischen Leitungen in einem Halbleiterbauelement und Messverfahren
US7886238B1 (en) * 2006-11-28 2011-02-08 Cadence Design Systems, Inc. Visual yield analysis of intergrated circuit layouts
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
WO2008081227A1 (en) * 2007-01-05 2008-07-10 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit
US7494893B1 (en) 2007-01-17 2009-02-24 Pdf Solutions, Inc. Identifying yield-relevant process parameters in integrated circuit device fabrication processes
US20080178127A1 (en) * 2007-01-19 2008-07-24 Thomas J Dewkett Silicon Multiple Core or Redundant Unit Optimization Tool
US7574682B2 (en) * 2007-02-28 2009-08-11 Freescale Semiconductor, Inc. Yield analysis and improvement using electrical sensitivity extraction
US8924904B2 (en) * 2007-05-24 2014-12-30 Applied Materials, Inc. Method and apparatus for determining factors for design consideration in yield analysis
US7937179B2 (en) * 2007-05-24 2011-05-03 Applied Materials, Inc. Dynamic inline yield analysis and prediction of a defect limited yield using inline inspection defects
US8799831B2 (en) * 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US7962864B2 (en) * 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
US20080312875A1 (en) * 2007-06-12 2008-12-18 Yu Guanyuan M Monitoring and control of integrated circuit device fabrication processes
US7902852B1 (en) 2007-07-10 2011-03-08 Pdf Solutions, Incorporated High density test structure array to support addressable high accuracy 4-terminal measurements
US7974723B2 (en) * 2008-03-06 2011-07-05 Applied Materials, Inc. Yield prediction feedback for controlling an equipment engineering system
US8001495B2 (en) 2008-04-17 2011-08-16 International Business Machines Corporation System and method of predicting problematic areas for lithography in a circuit design
US7682842B2 (en) * 2008-05-30 2010-03-23 International Business Machines Corporation Method of adaptively selecting chips for reducing in-line testing in a semiconductor manufacturing line
DE102008062153A1 (de) 2008-12-17 2010-12-30 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Schnelltransport von Glasplatten
US8082055B2 (en) * 2009-07-08 2011-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for a bin ratio forecast at new tape out stage
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8650518B2 (en) * 2010-01-08 2014-02-11 Cadence Design Systems, Inc. Method and apparatus for rule-based automatic layout parasitic extraction in a multi-technology environment
US8219964B2 (en) * 2010-01-14 2012-07-10 International Business Machines Corporation Method for creating electrically testable patterns
US8276102B2 (en) 2010-03-05 2012-09-25 International Business Machines Corporation Spatial correlation-based estimation of yield of integrated circuits
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8594963B2 (en) * 2010-09-01 2013-11-26 Macronix International Co., Ltd. In-line inspection yield prediction system
JP5566265B2 (ja) * 2010-11-09 2014-08-06 東京エレクトロン株式会社 基板処理装置、プログラム、コンピュータ記憶媒体及び基板の搬送方法
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US9129076B2 (en) * 2011-09-05 2015-09-08 United Microelectronics Corp. Hierarchical wafer yield prediction method and hierarchical lifetime prediction method
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8631375B2 (en) 2012-04-10 2014-01-14 International Business Machines Corporation Via selection in integrated circuit design
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9058034B2 (en) * 2012-08-09 2015-06-16 International Business Machines Corporation Integrated circuit product yield optimization using the results of performance path testing
US8539429B1 (en) * 2012-08-13 2013-09-17 International Business Machines Corporation System yield optimization using the results of integrated circuit chip performance path testing
US8745553B2 (en) * 2012-08-23 2014-06-03 Globalfoundries Inc. Method and apparatus for applying post graphic data system stream enhancements
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9431068B2 (en) 2012-10-31 2016-08-30 Mie Fujitsu Semiconductor Limited Dynamic random access memory (DRAM) with low variation transistor peripheral circuits
US9524916B2 (en) 2012-10-31 2016-12-20 International Business Machines Corporation Structures and methods for determining TDDB reliability at reduced spacings using the structures
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9922161B2 (en) * 2013-02-27 2018-03-20 The Regents Of The University Of California IC layout adjustment method and tool for improving dielectric reliability at interconnects
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN112784519A (zh) * 2019-11-05 2021-05-11 鸿富锦精密电子(天津)有限公司 Pcb走线参数设定装置、方法及存储介质
US11456224B2 (en) * 2020-08-11 2022-09-27 Nanya Technology Corporation Semiconductor structure with test structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5453864A (en) * 1977-10-05 1979-04-27 Sanyo Electric Co Ltd Monitoring method of line widths
JPH08162510A (ja) * 1994-12-09 1996-06-21 Texas Instr Japan Ltd 歩留り予測装置とその方法
US5598341A (en) * 1995-03-10 1997-01-28 Advanced Micro Devices, Inc. Real-time in-line defect disposition and yield forecasting system
JPH09306837A (ja) * 1996-05-14 1997-11-28 Kanegafuchi Chem Ind Co Ltd 薄膜状半導体およびその製造方法
JPH11174658A (ja) * 1997-12-09 1999-07-02 Toshiba Corp マスクパターン補正方法とその補正システム

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751647A (en) * 1971-09-22 1973-08-07 Ibm Semiconductor and integrated circuit device yield modeling
US4835486A (en) * 1986-04-28 1989-05-30 Burr-Brown Corporation Isolation amplifier with precise timing of signals coupled across isolation barrier
US4795964A (en) 1986-08-01 1989-01-03 Texas Instruments Incorporated Method and apparatus for measuring the capacitance of complementary field-effect transistor devices
US4835466A (en) * 1987-02-06 1989-05-30 Fairchild Semiconductor Corporation Apparatus and method for detecting spot defects in integrated circuits
JP2695160B2 (ja) 1987-04-30 1997-12-24 株式会社日立製作所 任意形状抵抗体の端子間抵抗計算方法
US5067101A (en) 1988-11-29 1991-11-19 Mitsubishi Denki Kabushiki Kaisha Topography simulation method
US5070469A (en) 1988-11-29 1991-12-03 Mitsubishi Denki Kabushiki Kaisha Topography simulation method
US5068547A (en) 1990-09-05 1991-11-26 Lsi Logic Corporation Process monitor circuit
JPH04167537A (ja) * 1990-10-31 1992-06-15 Matsushita Electric Ind Co Ltd テストチップ
JP2679500B2 (ja) 1990-12-17 1997-11-19 モトローラ・インコーポレイテッド 総合的なシステム歩留りを計算するための方法
US5301118A (en) 1991-11-18 1994-04-05 International Business Machines Corporation Monte carlo simulation design methodology
US5798649A (en) 1991-12-26 1998-08-25 Texas Instruments Incorporated Method for detecting defects in semiconductor insulators
JP2729130B2 (ja) 1992-04-16 1998-03-18 三菱電機株式会社 半導体装置の製造パラメタの設定方法及びその装置
JP2914040B2 (ja) * 1992-09-22 1999-06-28 日産自動車株式会社 四輪駆動車の駆動力配分制御装置
US5286656A (en) 1992-11-02 1994-02-15 National Semiconductor Corporation Individualized prepackage AC performance testing of IC dies on a wafer using DC parametric test patterns
JP3352153B2 (ja) * 1993-06-17 2002-12-03 株式会社東芝 配水流量予測装置
JP3001351B2 (ja) 1993-06-24 2000-01-24 日本電気株式会社 シミュレーション方法
JPH0746895A (ja) 1993-07-29 1995-02-14 Canon Inc ステッピングモータ駆動回路
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
IL109268A (en) 1994-04-10 1999-01-26 Advanced Recognition Tech Method and system for image recognition
JPH0851159A (ja) * 1994-08-05 1996-02-20 Mitsubishi Electric Corp 半導体集積回路
US5486786A (en) 1994-08-09 1996-01-23 Lsi Logic Corporation Process monitor for CMOS integrated circuits
JPH08148537A (ja) 1994-11-18 1996-06-07 Toshiba Corp 半導体集積回路
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US6072804A (en) 1995-05-24 2000-06-06 Thomson Consumer Electronics, Inc. Ring bus data transfer system
JP2770788B2 (ja) 1995-06-13 1998-07-02 富士ゼロックス株式会社 リングバスマルチプロセッサ装置及びリングバスマルチプロセッサ装置を構成するためのプロセッサボード
JPH098085A (ja) * 1995-06-16 1997-01-10 Casio Comput Co Ltd 基板の歩留まり予測演算方法
US5867033A (en) 1996-05-24 1999-02-02 Lsi Logic Corporation Circuit for testing the operation of a semiconductor device
US5767542A (en) 1996-05-28 1998-06-16 Analog Devices, Inc. Matching parasitic capacitances and characteristics of field effect transistors
US5852581A (en) 1996-06-13 1998-12-22 Micron Technology, Inc. Method of stress testing memory integrated circuits
US6075418A (en) 1996-09-17 2000-06-13 Xilinx, Inc. System with downstream set or clear for measuring signal propagation delays on integrated circuits
US5790479A (en) 1996-09-17 1998-08-04 Xilinx, Inc. Method for characterizing interconnect timing characteristics using reference ring oscillator circuit
US5773315A (en) * 1996-10-28 1998-06-30 Advanced Micro Devices, Inc. Product wafer yield prediction method employing a unit cell approach
US5966527A (en) 1996-10-28 1999-10-12 Advanced Micro Devices, Inc. Apparatus, article of manufacture, method and system for simulating a mass-produced semiconductor device behavior
US5822258A (en) 1997-05-05 1998-10-13 Micron Technology, Inc. Circuit and method for testing a memory device with a cell plate generator having a variable current
US6066179A (en) 1997-06-13 2000-05-23 University Of Edinburgh Property estimation of an integrated circuit
US5903012A (en) 1997-07-28 1999-05-11 International Business Machines Corporation Process variation monitor for integrated circuits
US6118137A (en) 1997-09-08 2000-09-12 Advanced Micro Devices, Inc. Test structure responsive to electrical signals for determining lithographic misalignment of conductors relative to vias
US6075417A (en) 1998-01-05 2000-06-13 Advanced Micro Devices, Inc. Ring oscillator test structure
US6124143A (en) 1998-01-26 2000-09-26 Lsi Logic Corporation Process monitor circuitry for integrated circuits
JP3739201B2 (ja) * 1998-03-06 2006-01-25 富士通株式会社 半導体チップの相関解析方法及び装置、半導体チップ歩留まり調整方法並びに記憶媒体
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6393602B1 (en) * 1998-10-21 2002-05-21 Texas Instruments Incorporated Method of a comprehensive sequential analysis of the yield losses of semiconductor wafers
US6134191A (en) 1999-02-26 2000-10-17 Xilinx, Inc. Oscillator for measuring on-chip delays
US6298470B1 (en) * 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6184048B1 (en) 1999-11-03 2001-02-06 Texas Instruments Incorporated Testing method and apparatus assuring semiconductor device quality and reliability
US6449749B1 (en) * 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5453864A (en) * 1977-10-05 1979-04-27 Sanyo Electric Co Ltd Monitoring method of line widths
JPH08162510A (ja) * 1994-12-09 1996-06-21 Texas Instr Japan Ltd 歩留り予測装置とその方法
US5598341A (en) * 1995-03-10 1997-01-28 Advanced Micro Devices, Inc. Real-time in-line defect disposition and yield forecasting system
JPH09306837A (ja) * 1996-05-14 1997-11-28 Kanegafuchi Chem Ind Co Ltd 薄膜状半導体およびその製造方法
JPH11174658A (ja) * 1997-12-09 1999-07-02 Toshiba Corp マスクパターン補正方法とその補正システム

Also Published As

Publication number Publication date
US20050158888A1 (en) 2005-07-21
AU1774401A (en) 2001-05-30
US20080282210A1 (en) 2008-11-13
CN1975741A (zh) 2007-06-06
CN100336063C (zh) 2007-09-05
US20030145292A1 (en) 2003-07-31
CN1535436A (zh) 2004-10-06
US7373625B2 (en) 2008-05-13
US6449749B1 (en) 2002-09-10
WO2001035718A9 (en) 2002-05-30
US7356800B2 (en) 2008-04-08
US7174521B2 (en) 2007-02-06
EP1384179A2 (en) 2004-01-28
US6901564B2 (en) 2005-05-31
WO2001037150A1 (en) 2001-05-25
JP2007201497A (ja) 2007-08-09
EP1384179A4 (en) 2006-06-07
WO2001035718A3 (en) 2003-10-30
US7673262B2 (en) 2010-03-02
WO2001035718A2 (en) 2001-05-25
US20060277506A1 (en) 2006-12-07
US20070118242A1 (en) 2007-05-24

Similar Documents

Publication Publication Date Title
JP2004505433A (ja) 製品歩留まり予測用のシステムおよび方法
TWI694343B (zh) 基於製作風險評定之半導體製作製程控制
JP4070998B2 (ja) テスト・ダイ
Kuo et al. An overview of manufacturing yield and reliability modeling for semiconductor products
JP5425779B2 (ja) 実際の欠陥が潜在的にシステム的な欠陥であるか、または潜在的にランダムな欠陥であるかを判断する、コンピューターに実装された方法
IL290018B2 (en) Monitoring the production of integrated circuits on a semiconductor board
US8194968B2 (en) Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
Keim et al. A rapid yield learning flow based on production integrated layout-aware diagnosis
US20090257645A1 (en) Methods and systems for determining a defect criticality index for defects on wafers
US20090007030A1 (en) Design-based monitoring
Khare et al. From contamination to defects, faults and yield loss: simulation and applications
US20070114396A1 (en) Critical area calculation method and yield calculation method
US6701477B1 (en) Method for identifying the cause of yield loss in integrated circuit manufacture
Khare et al. Inductive contamination analysis (ICA) with SRAM application
Bruls Reliability aspects of defect analysis
Nag et al. Simulation of yield/cost learning curves with Y4
Schvan et al. Yield projection based on electrical fault distribution and critical structure analysis
Nahar The yield models and defect density monitors for integrated circuit diagnosis
Appello et al. Rapid root cause analysis and process change validation with design-centric volume diagnostics in production yield enhancement
Khare Contamination-defect-fault relationship: Modeling and simulation
Griep et al. Design based failure analysis and yield improvement in CMOS‐circuits
CHIPS 5 DEFECT MONITORING AND YIELD PROJECTION
Cheek et al. Functional yield modeling
Director et al. Yield Estimation and Prediction

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20020730

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20030402

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070402

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070402

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070402

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20081027

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20081027

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101022

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101027

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110318