JP2003188155A - 有機シリカガラスのビアエッチング方法 - Google Patents

有機シリカガラスのビアエッチング方法

Info

Publication number
JP2003188155A
JP2003188155A JP2002282571A JP2002282571A JP2003188155A JP 2003188155 A JP2003188155 A JP 2003188155A JP 2002282571 A JP2002282571 A JP 2002282571A JP 2002282571 A JP2002282571 A JP 2002282571A JP 2003188155 A JP2003188155 A JP 2003188155A
Authority
JP
Japan
Prior art keywords
layer
etching
wafer
silica glass
source gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002282571A
Other languages
English (en)
Inventor
Keith J Thompson
ジェイ、トンプソン キース
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JP2003188155A publication Critical patent/JP2003188155A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 有機シリカガラスのビアエッチングにおい
て、確実にエッチングを行い、しかもオーバーエッチン
グにより銅が誘電体層に拡散することがないようにする
必要がある。 【解決手段】 基板、エッチストッパ層、有機シリカガ
ラス層、フォトレジスト層を有するウエーハをプラズマ
エッチングするにあたり、混合原料ガスの成分を1段階
目と2段階目で変えることにより、1段目で高速のエッ
チングを行い、2段階目のガスの材料に対する選択性を
大きくして、オーバーエッチングを防ぎ、全体として、
ビアエッチングを確実に実施することが出来る。

Description

【発明の詳細な説明】 【0001】 【発明の属する技術分野】本発明は一般的には半導体デ
バイスの分野に関し、さらに具体的には有機シリカガラ
ス(OSG)のビアエッチング方法(method f
or via etching in)に関する。 【0002】 【従来の技術】テレビジョン、電話、ラジオ及びコンピ
ューターのような電子装置は、集積回路及びマイクロプ
ロセッサーのような半導体部品を用いて製造されること
が多い。マイクロプロセッサーの速度を上げる努力の中
で、半導体部品製造業者は配線のRC時間遅延に強い関
心を持つに至った。よく知られている変化の中にはアル
ミニュームから銅への配線の変更が含まれているが、こ
の方法だけでは速度を上げる要求に応えられない。さら
に効果的な方法は同時に線抵抗と線容量を減らすことで
ある。これは低誘電率誘電材料(すなわち低誘電率で高
電気抵抗の誘電材料)を高誘電率のシリカ誘電体に代え
て導入することで達成出来る。加えて、加工中に銅を露
出しないよう働き、同時にビアエッチングのエッチスト
ッパ層として働く付随するエッチストッパ及び絶縁層も
また、高誘電率材料から、低誘電率材料に切りかえるこ
とが出来る。たとえば、この業界は窒化ケイ素エッチス
トッパ層から炭化ケイ素エッチストッパ層に移行してき
た。 【0003】 【発明が解決しようとする課題】これら低誘電率誘電材
料(low−k dielectric materi
als)を使用しているのでビアエッチングは細心の注
意を払って実行しなければならない。すなわち、エッチ
ング工程が終わる前に、エッチストッパ層は下の銅層に
到達するまでエッチングされる可能性がある。その結
果、ある量の銅が低誘電率誘電体層に拡散し、配線層間
の短絡をもたらしこれがマイクロプロセッサーを壊して
しまう可能性がある。 【0004】 【課題を解決するための手段】本発明の一つの実施例に
よると、ビアエッチングの一つの方法は、基板、該基板
から外側へ付着したエッチストッパ層、該エッチストッ
パ層から外側へ付着した有機シリカガラス層、及び該有
機シリカガラス層から外側に付着したフォトレジスト層
を有するウェーハを準備すること、及び該ウエーハを加
工室に置くことを包含している。この方法はさらに、有
機シリカガラス層の第1の一部をエッチングするために
第1の混合原料ガスを加工室に導入すること及び有機シ
リカガラス層の第2の部分をエッチストッパ層に達する
まで所定の時間、下へエッチングするために第2の混合
原料ガスを加工室に導入することを包含している。第2
の混合原料ガスはフルオロカーボン、希ガス、一酸化炭
素及び窒素を含んでいる。 【0005】本発明の実施例には多数の技術的な利点が
示されている。本発明の諸実施例はこれらの利点のすべ
て、いくつかを包含していてもよいし、あるいは全く包
含していなくてもよい。プラズマエッチング工程におい
て反応ガスのある種の組合せを用いることにより、高い
エッチング速度及び適正なビア形状の形成を保ちなが
ら、エッチストッパ層に対しては高い選択性を得ること
が出来る。この高い選択性により、OSG層へ銅が拡散
する危険性を実質的に低減するとともに、すべてのビア
を高速であけること(higher clearin
g)が保証される。より薄いエッチストッパ層も得るこ
とが出来、線抵抗と線容量の低減が助けられ、配線のR
C時間遅延が減少する。 【0006】他の技術的な利点は、当業者には添付図
面、説明及び特許請求の範囲の記述から容易に明瞭とな
る。 【0007】 【発明の実施の形態】本発明及びその特徴と利点をより
完全に理解するために、添付の図面と共に以下の詳細な
説明を参照すべきである。本発明の実施例と利点は図1
〜図3を参照することにより最もよく理解出来る。図中
同一の数字は同一の部品を表す。 【0008】図1は、本発明の一つの実施例による、1
個または2個以上のウェーハ200をエッチングするた
めのプラズマエッチング装置100の略図である。プラ
ズマエッチング装置100は原料ガス供給装置102、
反応装置104及びガス排気装置106を包含してもよ
い。一般に原料ガス供給装置102は、混合原料ガス1
10を反応装置104に供給するように作動し、反応装
置104では、ウェーハ200をエッチングするために
用いられる反応性プラズマ108を形成するために混合
原料ガス110が利用され、排気装置106で排気ガス
112が除かれる。混合原料ガス110の化学組成はウ
ェーハ200の種々の構成材料を選択的にエッチングす
るために変化させることが出来る。排気ガス112の組
成はエッチングされる材料の指標となり、あるいは混合
原料ガス110がいつ定常状態に到達したかの指標とな
る。制御システム(明示してない)でプラズマエッチン
グ装置100のそれぞれの構成要素の操作を制御し所望
の方法でウェーハ200をエッチングしてもよい。プラ
ズマエッチング装置100は、本発明の範囲から逸脱す
ることなく、他の適当な構成要素又は装置を包含するこ
とが出来る。 【0009】原料ガス供給装置102には酸素、窒素、
フルオロカーボン、一酸化炭素、アルゴン、キセノン及
び他の適当なガス類のような個別の原料ガス類が包含さ
れている。原料ガス供給装置102の原料ガス制御器は
個別の原料ガス類を受入れ、これらガスを混合し反応装
置104に供給される混合原料ガス110を調製する。
混合原料ガス110には、混合原料ガス110中で使用
される個別の原料ガス及びその量によって定まる付随す
る化学組成がある。詳細は後述するように、混合原料ガ
ス110の化学組成により、種々の材料に対する反応性
プラズマ108の選択性が定まる。原料ガス供給装置1
02は、本発明の範囲から逸脱することなく、他の適当
なデバイス又は装置を包含することが出来る。 【0010】反応装置104はプラズマ室114を包含
し、プラズマ室114は上部電極116、回転台118
及び回転台118に結合した下部電極120を包含す
る。電力供給装置122は上部電極116及び下部電極
120に結合している。エッチングされるウェーハ20
0は普通、エッチング工程の間、回転台118上で回転
することが出来る。電極116及び120を起動する
と、プラズマ室114を流れる混合原料ガス110をイ
オン化するように作動し、混合原料ガス110に放電を
開始し反応性プラズマ108が形成される。反応装置1
04は本発明の範囲から逸脱することなく、他の適当な
デバイス又は装置を包含することが出来る。 【0011】排気装置106は終点検知器又はポンプ装
置ような装置を包含してもよい。ポンプ装置はプラズマ
室114中の圧力を許容出来る減圧度に維持するように
運転される。終点検知器は排気ガス112の組成を測定
するように働く。終点検知器はウェーハ200のどの材
料がエッチング工程中に消費されたかを感知出来る。排
気装置106は本発明の範囲から逸脱することなく、他
の適当なデバイス又は装置を包含することが出来る。 【0012】プラズマエッチング装置100の通常の操
作ではウェーハ200はプラズマ室114中に置かれ
る。プラズマ室114は次いで真空シールされ、プラズ
マエッチング装置100を運転する。制御装置により排
気装置106中のポンプ装置及び原料ガス供給装置10
2が起動される。混合原料ガス110は最初特定の時
間、プラズマ室114をパージするガスだけを流しても
よい。プラズマ室114をパージした後、混合原料ガス
110は所定のエッチング化学組成に変えられる。適当
なエッチング化学組成が安定した後、電力供給装置12
2を起動する。電力供給装置122から電極116及び
120に高周波電力(RF power)が供給される
ことにより、混合原料ガス110はイオン化され、放電
が開始され反応性プラズマ108が形成される。反応性
プラズマ108はウェーハ200の方向に向けられてい
る。反応性プラズマ108によりウェーハ200の露出
表面は異方性エッチングされる。反応性プラズマ108
によりエッチングされるべき材料を貫通してエッチング
され次の材料にエッチングが始まると、排気装置106
中の終点検知器がエッチングを受けている材料が変わっ
たことを感知する。実行するエッチング工程にもよる
が、制御装置はエッチングされる材料の変化に対応して
電力供給装置122を停止させることが出来、あるいは
制御装置は混合原料ガス110の化学組成を変えること
により反応性プラズマ108の組成を変えて、新しい材
料に異方性エッチングを行わせてもよい。 【0013】本発明の教えるところでは、混合原料ガス
110は、有機シリカガラス(OSG)にビアエッチン
グをするための高選択性が得られ、他方エッチング速度
及び均一性のような他の重要な基準も維持している、1
種又は2種以上の所定の化学組成を包含している。誘電
材料に対するビアエッチングの一つの方法が図2A〜2
Dで示されている。 【0014】図2A〜2Dは、本発明の一つの実施例に
よるOSGのビアエッチングの方法を説明するためのウ
ェーハ200の部分立面図である。ウェーハ200は基
板202、誘電体層204、緩衝層206、金属層20
8、エッチストッパ層210およびOSG層212、緩
衝層214及びフォトレジスト層216を包含すること
が出来る。ウェーハ200を構成する層はより少なくて
も、より多くてもよく、又は上述した以外の材料で出来
た層があってもよい。ウェーハ200は種々の層を有す
る半導体ウエーハの一つの例に過ぎない。 【0015】ウェーハ200は、シリコン,ゲルマニウ
ムのような半導体チップの製造に用いられる適当な材料
のいずれかから形成することが出来る。図2Aには示さ
れていないが、基板202はトランジスタ類、ダイオー
ド類、抵抗類、キャパシタ類のような種々のマイクロ電
子デバイスのいずれかを有している。基板200は適当
な任意の厚さに出来る。 【0016】誘電体層204は酸化物又は窒化物のよう
な適当な種類の誘電体のいずれかを包含し、半導体製造
工程で用いられる適当な成長技術及び/又は付着技術の
いずれかを使用して形成される。誘電体層204は必須
のものではないが、一つの実施例では誘電体層204は
基板202中に形成されたマイクロ電子デバイス類を金
属層208から電気的に絶縁する。誘電体層204はま
た、基板202から外側へ堆積しているゲートを形成す
るのにも用いられる。誘電体層204は適当な任意の厚
さに出来る。 【0017】緩衝層206は、窒化シリコン又は炭化ケ
イ素のような適当な種類の誘電体のいずれかを包含し、
半導体製造工程で用いられる適当な成長技術及び/又は
付着技術のいずれかを使用して形成される。一つの実施
例では緩衝層206は金属層208のエッチングのエッ
チストッパとして用いられる。緩衝層206は適当な任
意の厚さに出来るが、代表的厚さは300〜1000オ
ングストロームである。 【0018】金属層208は銅、アルミニウムのような
適当な種類の導電材料のいずれかで出来ており、半導体
製造工程で用いられる適当な成長技術及び/又は付着技
術のいずれかを使用して形成される。金属層208は、
全体としてか又は部分的に、基板202に形成された一
つ又は2つ以上のマイクロ電子デバイスから基板202
上の他のマイクロ電子デバイスに通じる導電路として機
能する。一つの実施例では金属層208は約5000オ
ングストロームの厚さに形成されるが、他の適当な厚さ
も用いることが出来る。 【0019】エッチストッパ層210は窒化シリコン又
は炭化ケイ素のような適当な種類の誘電体のいずれかで
出来ており、半導体製造工程で用いられる任意の適当な
成長技術及び/又は付着技術のいずれかを使用して形成
される。後に詳細を述べるように、ビアエッチングの
際、OSG層212のエッチングで金属層208の金属
の一部がOSG層212中に拡散しないように、エッチ
ストッパ層210は使用される。エッチストッパ層21
0の代表的な厚さは300オングストローム〜1000
オングストロームである。しかし、他の適当な厚さを用
いることも出来る。一つの実施例ではエッチストッパ層
210は約500オングストローム以下に形成される。 【0020】一つの実施例ではOSG層212は有機シ
リカガラス(OSG)で形成される。しかし、他の適当
な有機誘電材料をOSG層212として使用してもよ
い。OSG層212に使用される代表的な誘電材料は高
抵抗、低誘電率の(low−K)誘電材料である。OS
G層212は好ましくは高抵抗、低誘電率の誘電材料で
作られるが、これは半導体製造業者が、たとえばマイク
ロプロセッサーの処理速度を上げるために、配線抵抗と
配線容量を低減することを望むためである。一つの実施
例ではOSG層212は約8000〜12000オング
ストロームに形成されるが、他の適当な厚さも使用出来
る。 【0021】緩衝層214は緩衝層206と同様のもの
であり、窒化シリコン又は炭化ケイ素のような適当な種
類の誘電体のいずれかで出来ており、半導体製造工程で
用いられる任意の適当な成長技術及び/又は付着技術の
いずれかを使用して形成される。緩衝層214もエッチ
ストッパ層として、緩衝層214から外側へ付着した金
属層(図示せず)のエッチングのためのエッチストッパ
層として用いられる。緩衝層214の代表的な厚さは3
00オングストローム〜1000オングストロームであ
る。しかし、他の適当な厚さを用いることも出来る。 【0022】フォトレジスト216は、慣用のフォトリ
ソグラフィーの技術で作られる。フォトレジスト216
の作成には適当なフォトレジスト材料のいずれかを用い
ることが出来る。フォトレジスト216は一つ又は2つ
以上の窓218を包含しているが、これは半導体製造技
術で知られている適当なフォトリソグラフィーの技術を
用いて形成される。窓218は、詳細を後述するように
ウェーハ200中にビアを設けるべき場所に存在する。 【0023】ウェーハ200におけるビアの形成につい
ては詳細は、図2B〜2Dの関連付けて、図1を付随的
に参照しながら以下に説明する。図2B〜2Dには示し
ていないが、下記の説明はウェーハ200がプラズマ室
114(図1)に置かれている工程についてのものであ
る。しかし、エッチング工程は他の工程室で一つ又は二
つ以上の種々の技術を用いて実施してもよい。 【0024】図2Bは、緩衝層214の一部及びOSG
層212の第1の部分220のエッチングを説明してい
る。このエッチングプロセスは適当なエッチングプロセ
スのいずれかを用いて実施すればよいが、しかし、一つ
の実施例では、低選択性で、重合性の低い、主エッチン
グが、フルオロカーボンと窒素を含むイオン化した混合
原料ガス110から形成される反応性プラズマ108に
より行われる。好ましくは、大部分のOSG層212
が、この相対的に高速のエッチングプロセスによりエッ
チングされ除かれる。たとえば、OSG層212が約8
000オングストロームの厚さであるとすると、第1の
部分220のエッチング深さは約7000オングストロ
ームとなる。しかし、OSG層212は適当なエッチン
グ技術のいずれかを用いて、任意の適当な深さにエッチ
ングすることが出来る。 【0025】図2Cは、OSG層212の第2の部分2
22から下へエッチストッパ層210に達するエッチン
グを説明しているが、ここでは上述の図2Bの主エッチ
ングで用いられたイオン化した混合原料ガス110とは
異なるイオン化した混合原料ガス110から形成される
反応性プラズマ108が用いられている。図2B及び2
Cで示された二つのプロセスにおいて混合原料ガス11
0が異なる理由は、ビアのエッチングが金属層208表
面に段々近づいているからである。金属層208からの
OSG層212への金属の拡散が起こると、ウェーハ2
00中に組み込まれた一つ又は二つ以上のマイクロ電子
デバイスを破壊することになるおそれがあるので、ビア
エッチングのこの段階ではオーバーエッチングはどのよ
うなものも避けなければならない。第2の部分222の
エッチングは30〜90秒といったようなあらかじめ決
めた時間実施される。しかし、他の適当な時間であって
もよい。 【0026】反応性プラズマ108を形成するために使
用する混合原料ガス110は一つの実施例においては、
フルオロカーボン、希ガス、一酸化炭素及び窒素を包含
する。他の実施例では酸素も混合原料ガス110に加え
られる。フルオロカーボン、希ガス、一酸化炭素及び窒
素の体積流量は、好ましくは、OSG層212の材料に
対する選択性と、エッチストッパ層210の材料に対す
る選択性が15:1以上になるようにする。例示する
と、フルオロカーボンの体積流量が2〜20sccm、
希ガスの体積流量が100〜400sccm、一酸化炭
素の体積流量が50〜200sccm、窒素の体積流量
が90〜250sccmであり、酸素を加えた場合、酸
素の体積流量が約10sccm未満である。酸素が混合
原料ガス110の一部となる一つの実施例では、一酸化
炭素と酸素の体積流量の比は約20:1である。 【0027】OSGはCH3有機基を持っているので、
重合が進み、エッチングプロセスの間に出来るポリマー
量が増加する。これにより、もし窒素を使用しないと、
エッチストップが起こる可能性がある。そこで、OSG
のCH3有機基を攻撃するために窒素の体積流量を相対
的に高くすることが要求され、これによりエッチストッ
プを防ぐことが出来る。上述のように一つの実施例では
窒素の十分な体積流量は90〜250sccmである。
さらに有利な窒素の体積流量は95〜180sccmで
あり、OSGのCH3有機基を攻撃するのに卓越した結
果が得られる窒素の特定の体積流量は100〜110s
ccmである。他の実施例では、少なくともOSGのシ
リカ基を除去する速度と同等の速度でOSGのエチル基
を除去するのに十分な窒素の体積流量である。 【0028】エッチングされる材料並びにプロセスと品
質のパラメーターによって、第2の部分222をエッチ
ングする混合原料ガス110を構成する複数のガスは、
望みの結果が得られるように調整される。重要な結果は
すべての孔があけられ(all vias are c
leared)、一方OSG層212に金属が少しでも
拡散したりしないように、金属層208の金属に対する
オーバーエッチングを避けることである。これが高い選
択性が必要とされる理由である。高い選択性を達成する
ことによりエッチストッパ層210をより薄く出来、そ
れにより結線によるRC遅延が軽減される点で、ウェー
ハ200に組み込まれた1個または複数のデバイスが改
善される。ビアの形成を完成するにはエッチストッパ層
210は除去されなければならない。これが図2Dに示
されている。 【0029】図2Dはビア形成を完成するためのエッチ
ストッパ層210の一部の除去を説明している。適当な
よく知られたエッチング技術のいずれかをエッチストッ
パ層210を除去するために使用出来る。このようによ
く知られた技術の一つはe−ストップエッチングと呼ば
れており、この技術では、たとえばアルゴンボンバード
メント(bombardment)226によりエッチ
ストッパ層210の一部が除去される前に、側壁224
が適当なパシベーション技術(passivation
technique)を用いて形成される。エッチス
トッパ層210の一部が除去された後、ウェーハ200
を半導体製造技術で知られている適当な清浄化技術のい
ずれかを用いて清浄にする。その後、金属層208は第
2の金属層228及びプラグ230と、図3に示すよう
に結合することが出来る。 【0030】図3は金属層208と第2の金属層228
をプラグ230を用いて結合することを説明している。
第2の金属層228及びプラグ230は好ましくは金属
層210と同じ材料で形成し、半導体製造に用いられる
適当な成長技術及び/又は付着技術のいずれかを使用し
て形成される。図示はされてないが、第2の金属層22
8から外側にウェーハ200上に、基板内の他のマイク
ロ電子デバイスを結合するように他の金属層を加えるよ
うな、さらなる加工を行うことが出来る。 【0031】本発明の実施例と利点について詳細に記述
したが、当業者は請求項に定義された本発明の精神と範
囲から逸脱することなく、種々の改変、付加あるいは除
去を行うことが出来るであろう。 【0032】以上の説明に関して更に以下の項を開示す
る。 (1) 基板、該基板から外側へ付着した約500オン
グストローム以下の厚さの炭化ケイ素層、該炭化ケイ素
層から外側へ付着した有機シリカガラス層、及び該有機
シリカガラス層から外側に付着したフォトレジスト層、
を有するウェーハを準備すること;該ウエーハを加工室
に置くこと;第1の混合原料ガスを該加工室に導入する
こと;該第1の混合原料ガスを用いて有機シリカガラス
層の第1部分をエッチングすること;フルオロカーボ
ン、アルゴン、一酸化炭素、窒素及び酸素を含む第2の
混合原料ガスを該加工室に導入すること;フルオロカー
ボンの体積流量が2〜20sccm、アルゴンの体積流
量が100〜400sccm、一酸化炭素の体積流量が
50〜200sccm及び酸素の体積流量が約10sc
cm未満であり、少なくとも有機シリカガラスからシリ
カ基を除去する速度と同等の速度で有機シリカガラスの
エチル基を除去するのに十分な窒素の体積流量の該第2
の混合原料ガスを用いて、約30〜90秒の間、該有機
シリカガラスの第2の部分を該炭化ケイ素層に達するま
で下にエッチングすること;エッチストッパ層をエッチ
ングすること;及び該ウエーハを清浄化すること;を包
含する誘電材料のビアエッチング方法。 (2) 一酸化炭素:酸素の体積流量の比が約20:1
である第1項記載の方法。 (3) 窒素の体積流量が90〜250sccmである
第1項記載の方法。 (4) 窒素の体積流量が95〜180sccmである
第1項記載の方法。 (5) 窒素の体積流量が100〜110sccmであ
る第1項記載の方法。 (6) 基板、該基板から外側へ付着したエッチストッ
パ層、該エッチストッパ層から外側へ付着した有機シリ
カガラス層、及び該有機シリカガラス層から外側に付着
したフォトレジスト層、を有するウェーハを準備するこ
と;該ウエーハを加工室に置くこと;第1の混合原料ガ
スを該加工室に導入すること;該第1の混合原料ガスを
用いて該有機シリカガラス層の第1部分をエッチングす
ること;フルオロカーボン、希ガス、一酸化炭素、窒素
及び酸素を含み、窒素ガスの体積流量が有機シリカガラ
スのエチル基を攻撃するために90〜250sccmで
ある第2の混合原料ガスを、該加工室に導入すること;
フルオロカーボン、希ガス、一酸化炭素、窒素及び酸素
の体積流量が、有機シリカガラスと炭化ケイ素との選択
性が約15対1以上であるような該第2の混合原料ガス
を用いて、所定の時間、該有機シリカガラスの第2の部
分をエッチストッパ層に達するまで下にエッチングする
こと;エッチストッパ層を除去すること;及び該ウエー
ハを清浄化すること;を包含する誘電材料のビアエッチ
ング方法。 (7) 前記のウェーハを準備することが、炭化ケイ素
及び窒化ケイ素から成る群から選ばれた材料から形成さ
れたエッチストッパ層を有するウェーハを準備すること
を包含し、該エッチストッパ層が約500オングストロ
ーム以下の厚さで形成される、第6項記載の方法。 (8) フルオロカーボンの体積流量が2〜20scc
m、希ガスの体積流量が100〜400sccm、一酸
化炭素の体積流量が50〜200sccm及び酸素の体
積流量が約10sccm未満である第6項記載の方法。 (9) 一酸化炭素:酸素の体積流量の比が約20:1
である第6項記載の方法。 (10) 混合原料ガスを用いて有機シリカガラスの第
2の部分をエッチストッパ層に達するまで所定の時間下
にエッチングすることが、約30〜90秒の間エッチン
グすることを包含する第6項記載の方法。 (11) 本発明の一つの実施例によると、誘電材料の
ビアエッチング方法の一つは、基板(202)、基板か
ら外側へ付着したエッチストッパ層(210)、エッチ
ストッパ層(210)から外側へ付着した有機シリカガ
ラス層(212)及び有機シリカガラス層(212)か
ら外側に付着したフォトレジスト層(216)を有する
ウェーハ(200)を準備すること及び該ウエーハを加
工室(114)に置くことを包含している。この方法は
さらに最初の混合原料ガス(110)を用いて有機シリ
カガラス層(212)の第1の部分をエッチングするた
めに、第1の混合原料ガス(110)を加工室(11
4)に導入すること及び有機シリカガラス層(212)
の第2の部分をエッチストッパ層(210)に達するま
で下に所定の時間エッチングするために第2の混合原料
ガス(110)を加工室(114)に導入することを包
含している。第2の混合原料ガス(110)はフルオロ
カーボン、希ガス、一酸化炭素及び窒素を含んでいる。
【図面の簡単な説明】 【図1】本発明の一つの実施例による1個あるいは2個
以上のウェーハをエッチングするためのプラズマエッチ
ング装置の略図である。 【図2A】本発明の一つの実施例による有機シリカガラ
ス層のビアエッチング方法を説明するためのウェーハの
部分立面図である。 【図2B】本発明の一つの実施例による有機シリカガラ
ス層のビアエッチング方法を説明するためのウェーハの
部分立面図である。 【図2C】本発明の一つの実施例による有機シリカガラ
ス層のビアエッチング方法を説明するためのウェーハの
部分立面図である。 【図2D】本発明の一つの実施例による有機シリカガラ
ス層のビアエッチング方法を説明するためのウェーハの
部分立面図である。 【図3】銅プラグにより結合された2つの金属層につい
て説明する図2A〜図2Dのウェーハの部分立面図であ
る。
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4M104 AA01 AA02 BB02 BB04 CC01 DD04 DD07 DD08 DD15 DD17 DD20 EE08 EE14 EE18 HH16 HH20 5F004 BA04 CA01 CA02 DA01 DA02 DA03 DA23 DA25 DA26 DB00 EA23 EA28 EB01 EB03 5F033 HH08 HH11 JJ08 JJ11 KK08 KK11 QQ09 QQ10 QQ13 QQ15 QQ25 QQ37 QQ92 RR01 RR06 RR25 TT07 WW00 WW02 WW06 XX24 XX27 XX28

Claims (1)

  1. 【特許請求の範囲】 【請求項1】 該基板、該基板から外側へ付着した約5
    00オングストローム以下の厚さの炭化ケイ素層、該炭
    化ケイ素層から外側へ付着した有機シリカガラス層、及
    び該有機シリカガラス層から外側に付着したフォトレジ
    スト層を有するウェーハを準備すること;該ウエーハを
    加工室に置くこと;第1の混合原料ガスを該加工室に導
    入すること;該第1の混合原料ガスを用いて有機シリカ
    ガラス層の第1部分をエッチングすること;フルオロカ
    ーボン、アルゴン、一酸化炭素、窒素及び酸素を含む第
    2の混合原料ガスを該加工室に導入すること;フルオロ
    カーボンの体積流量が2〜20sccm、アルゴンの体
    積流量が100〜400sccm、一酸化炭素の体積流
    量が50〜200sccm及び酸素の体積流量が約10
    sccm未満であり、少なくとも有機シリカガラスから
    シリカ基を除去する速度と同等の速度で有機シリカガラ
    スのエチル基を除去するのに十分な窒素の体積流量の該
    第2の混合原料ガスを用いて、約30〜90秒の間、該
    有機シリカガラスの第2の部分を該炭化ケイ素層に達す
    るまで下にエッチングすること;エッチストッパ層をエ
    ッチングすること;及び該ウエーハを清浄化すること;
    を包含する誘電材料のビアエッチング方法。
JP2002282571A 2001-09-28 2002-09-27 有機シリカガラスのビアエッチング方法 Pending JP2003188155A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32584801P 2001-09-28 2001-09-28
US325848 2001-09-28

Publications (1)

Publication Number Publication Date
JP2003188155A true JP2003188155A (ja) 2003-07-04

Family

ID=23269710

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002282571A Pending JP2003188155A (ja) 2001-09-28 2002-09-27 有機シリカガラスのビアエッチング方法

Country Status (3)

Country Link
US (1) US6914004B2 (ja)
EP (1) EP1308994A3 (ja)
JP (1) JP2003188155A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005076336A1 (ja) * 2004-02-09 2005-08-18 Tadahiro Ohmi 半導体装置の製造方法および絶縁膜のエッチング方法
JP2014090022A (ja) * 2012-10-29 2014-05-15 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI235455B (en) * 2003-05-21 2005-07-01 Semiconductor Leading Edge Tec Method for manufacturing semiconductor device
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
ITMI20031591A1 (it) * 2003-08-01 2005-02-02 St Microelectronics Srl Metodo per fabbricare strutture di isolamento
SG120976A1 (en) * 2004-02-11 2006-04-26 Taiwan Semiconductor Mfg Method for forming openings in low-k dielectric layers
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US20070042509A1 (en) * 2005-08-18 2007-02-22 Texas Instruments Inc. Detecting endpoint using luminescence in the fabrication of a microelectronics device
KR20090037103A (ko) * 2007-10-11 2009-04-15 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN101459125B (zh) * 2007-12-13 2011-08-17 中芯国际集成电路制造(上海)有限公司 连接孔的形成方法
CN101764081B (zh) * 2008-12-25 2011-12-07 中芯国际集成电路制造(上海)有限公司 连接孔的制造方法
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
CN103456624A (zh) 2013-08-30 2013-12-18 京东方科技集团股份有限公司 过孔刻蚀方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW351837B (en) 1997-10-29 1999-02-01 United Semiconductor Corp Method for improving etching process
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6211063B1 (en) 1999-05-25 2001-04-03 Taiwan Semiconductor Manufacturing Company Method to fabricate self-aligned dual damascene structures
TW455948B (en) 1999-09-13 2001-09-21 Motorola Inc Process for etching an insulating layer and forming a semiconductor device
JP2001110784A (ja) * 1999-10-12 2001-04-20 Hitachi Ltd プラズマ処理装置および処理方法
JP3400770B2 (ja) 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
TW486733B (en) 1999-12-28 2002-05-11 Toshiba Corp Dry etching method and manufacturing method of semiconductor device for realizing high selective etching
US6720249B1 (en) 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6620733B2 (en) * 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
JP2002270586A (ja) 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6686293B2 (en) 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US6897154B2 (en) 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005076336A1 (ja) * 2004-02-09 2005-08-18 Tadahiro Ohmi 半導体装置の製造方法および絶縁膜のエッチング方法
US7528074B2 (en) 2004-02-09 2009-05-05 Foundation For Advancement Of International Science Method of manufacturing a semiconductor device and method of etching an insulating film
KR101202636B1 (ko) * 2004-02-09 2012-11-19 고에키자이단호진 고쿠사이카가쿠 신고우자이단 반도체 장치의 제조 방법 및 절연막의 에칭 방법
JP2014090022A (ja) * 2012-10-29 2014-05-15 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
EP1308994A2 (en) 2003-05-07
EP1308994A3 (en) 2004-06-16
US6914004B2 (en) 2005-07-05
US20030064601A1 (en) 2003-04-03

Similar Documents

Publication Publication Date Title
US11404271B2 (en) Film deposition apparatus for fine pattern forming
US7763979B2 (en) Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
TWI352388B (en) Methods for the optimization of substrate etching
JP2003188155A (ja) 有機シリカガラスのビアエッチング方法
JP5671253B2 (ja) 半導体装置の製造方法
EP1983554A2 (en) Hydrogen ashing enhanced with water vapor and diluent gas
CN100423208C (zh) 等离子体蚀刻方法和蚀刻工具以及蚀刻构件的方法
JP2003100864A (ja) 二重ダマシーン構造体を形成する方法
JP2001077086A (ja) 半導体装置のドライエッチング方法
JP2001358218A (ja) 有機膜のエッチング方法及び素子の製造方法
JP2000195859A (ja) 高誘電体膜形成方法
WO2007135906A1 (ja) 層間絶縁膜のドライエッチング方法
JP4015510B2 (ja) 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
JPH1187340A (ja) 半導体装置及びその製造方法
JP2004214566A (ja) 半導体装置の製造方法および半導体装置
US6740598B2 (en) Wiring layer dry etching method and semiconductor device manufacturing method
CN1790667A (zh) 通孔活性离子刻蚀方法
JP4067357B2 (ja) エッチング方法
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
JP4034197B2 (ja) 半導体装置の製造方法
JPH0794483A (ja) プラズマエッチング方法
JPH10177992A (ja) 微細コンタクトホールのテーパエッチング方法
JP4071064B2 (ja) エッチング方法
JP2006128245A (ja) 絶縁膜の加工方法
JP2001332510A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050927

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081121

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090417