CN103456624A - 过孔刻蚀方法 - Google Patents

过孔刻蚀方法 Download PDF

Info

Publication number
CN103456624A
CN103456624A CN2013103894942A CN201310389494A CN103456624A CN 103456624 A CN103456624 A CN 103456624A CN 2013103894942 A CN2013103894942 A CN 2013103894942A CN 201310389494 A CN201310389494 A CN 201310389494A CN 103456624 A CN103456624 A CN 103456624A
Authority
CN
China
Prior art keywords
etching
via hole
via etch
gate insulator
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2013103894942A
Other languages
English (en)
Inventor
李炳天
蒋冬华
傅永义
赵吾阳
李淳东
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BOE Technology Group Co Ltd
Chengdu BOE Optoelectronics Technology Co Ltd
Original Assignee
BOE Technology Group Co Ltd
Chengdu BOE Optoelectronics Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BOE Technology Group Co Ltd, Chengdu BOE Optoelectronics Technology Co Ltd filed Critical BOE Technology Group Co Ltd
Priority to CN2013103894942A priority Critical patent/CN103456624A/zh
Priority to PCT/CN2013/088465 priority patent/WO2015027596A1/zh
Priority to US14/361,083 priority patent/US9564354B2/en
Publication of CN103456624A publication Critical patent/CN103456624A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明公开了一种过孔刻蚀方法,涉及半导体制造领域,克服了现有技术过孔刻蚀方法过孔终点无法控制且坡度角不利的缺陷。本发明实施例的过孔刻蚀方法,包括:形成过孔刻蚀结构,过孔刻蚀结构包括依次形成在基板上的低温多晶硅层、栅极绝缘层、栅极金属层、层间绝缘层;在过孔刻蚀结构上形成包括过孔掩膜图形的掩膜层;采用第一刻蚀方法刻蚀过孔刻蚀结构,刻蚀至栅极绝缘层的第一厚度处;采用第二刻蚀方法刻蚀过孔刻蚀结构,刻蚀掉剩余厚度的栅极绝缘层,露出低温多晶硅层;移除掩膜层,形成过孔结构。

Description

过孔刻蚀方法
技术领域
本发明涉及半导体制造领域,尤其涉及一种过孔刻蚀方法。
背景技术
近年来,随着显示产品的应用领域越来越广泛,对显示技术的研究也变得越来越深入。作为一种新型制造工艺,LTPS(英文:Low TemperaturePoly-Silicon,中文:低温多晶硅)技术利用准分子激光退火工艺将非晶硅(a-Si)薄膜层转变为多晶硅(Poly-Si)薄膜层。相比非晶硅材料,多晶硅材料的电子迁移率有100倍以上的增加,因此使用LTPS技术可使显示产品具有更快的响应时间,具有更高的分辨率,更佳的画面显示品质。另外使用LTPS技术,能够减少集成电路IC,简化显示装置的外围,实现窄边框技术。
如图1所示,图1提供了一种应用LTPS的阵列基板中间结构,该中间结构包括:基板1、低温多晶硅层2、栅极绝缘层3、栅极金属层4、层间绝缘层5以及源漏极过孔6等等。为制备上述阵列基板中间结构,形成如图1所示的过孔,现有刻蚀工艺通常利用干法刻蚀或湿法刻蚀来完成过孔的刻蚀工作。
然而,发明人发现现有技术中至少存在如下问题:若要形成如图1所示的过孔,现有技术过孔刻蚀方法至少需要刻蚀栅极绝缘层以及层间绝缘层。通常来说,栅极绝缘层以及层间绝缘层的厚度之和大于0.6um,而低温多晶硅的厚度小于0.05um,因此,利用现有技术过孔刻蚀方法极容易发生过刻现象,从而出现低温多晶硅过刻蚀甚至将低温多晶硅全部刻蚀掉的情况,对阵列基板的性能产生不利影响。另一方面,现有技术过孔刻蚀方法通过多层连续刻蚀完成过孔的制备工作,因此可能存在过孔坡度角问题。举例来说,在相邻膜层过渡位置处,由于现有技术过孔刻蚀方法对不同膜层材质刻蚀速率的差异,因此会在过孔上形成了梯台,从而影响了过孔坡度角。若要消除该坡度角问题,还需进一步利用氢氟酸和氨的混合液进行湿法刻蚀,不仅增加了刻蚀工艺的复杂程度,而且对坡度角的修复效果有限。
发明内容
本发明的实施例提供一种过孔刻蚀方法,克服了现有技术过孔刻蚀方法过孔终点无法控制且坡度角不利的缺陷。
为解决上述技术问题,本发明的实施例采用如下技术方案:
一种过孔刻蚀方法,包括:
形成过孔刻蚀结构,所述过孔刻蚀结构包括依次形成在基板上的低温多晶硅层、栅极绝缘层、栅极金属层、层间绝缘层;
在所述过孔刻蚀结构上形成包括过孔掩膜图形的掩膜层;
采用第一刻蚀方法刻蚀所述过孔刻蚀结构,刻蚀至所述栅极绝缘层的第一厚度处;
采用第二刻蚀方法刻蚀所述过孔刻蚀结构,刻蚀掉剩余厚度的所述栅极绝缘层,露出所述低温多晶硅层;
移除所述掩膜层,形成过孔结构。
优选的,所述第一刻蚀方式为干法刻蚀;所述第二刻蚀方法为利用有机刻蚀气体的干法刻蚀,所述有机刻蚀气体为包括CF4、H2、C4F8、Ar、O2的混合气体。
优选的,所述第一刻蚀方法以及所述第二刻蚀方法的刻蚀腔体压力为0~20mtorr,刻蚀功率不小于5000W。
优选的,所述栅极绝缘层的所述第一厚度为700~1400
Figure BDA0000375058460000021
进一步的,所述栅极绝缘层的材料为氮化硅、氧化硅、碳化硅材料中的任意一种或任意几种。
进一步的,形成的所述过孔结构的坡度角为55°~75°。
本发明实施例提供的一种过孔刻蚀方法,该过孔刻蚀方法形成过孔的过程中采用了两次刻蚀工艺,从而能够在形成过孔结构的同时保留低温多晶硅层,而且可以形成较好的过孔坡度角。该过孔刻蚀方法工艺流程简单,形成的过孔结构较佳。
附图说明
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1为本发明实施例过孔刻蚀结构的最终结构示意图;
图2为本发明实施例过孔刻蚀方法的流程示意图;
图3为本发明实施例过孔刻蚀结构的结构示意图之一;
图4为本发明实施例过孔刻蚀结构的结构示意图之二;
图5为本发明实施例过孔刻蚀结构的结构示意图之三;
图6为本发明实施例过孔刻蚀结构的结构示意图之四。
具体实施方式
本发明的实施例提供一种过孔刻蚀方法,克服了现有技术过孔刻蚀方法过孔终点无法控制且坡度角不利的缺陷。
以下描述中,为了说明而不是为了限定,提出了诸如特定系统结构、接口、技术之类的具体细节,以便透切理解本发明。然而,本领域的技术人员应当清楚,在没有这些具体细节的其它实施例中也可以实现本发明。在其它情况中,省略对众所周知的装置、电路以及方法的详细说明,以免不必要的细节妨碍本发明的描述。
下面结合下述附图对本发明实施例做详细描述。
本发明实施例提供了一种过孔刻蚀方法,如图2所示,该过孔刻蚀方法包括:
步骤S101:形成过孔刻蚀结构。
具体的,如图3所示,过孔刻蚀结构包括基板1、低温多晶硅层2、栅极绝缘层3、栅极金属层4、层间绝缘层5。需要说明的是,由于阵列基板制备工艺的不同,生成的过孔刻蚀结构并不仅限于如图3所示的膜层结构,例如:在层间绝缘层上方还可能设置有其他的绝缘膜层结构,此时本发明实施例的过孔刻蚀方法还需刻蚀掉除栅极绝缘层以及层间绝缘层外的其他绝缘膜层。在本实施例中仅以过孔刻蚀结构中包括有栅极绝缘层以及层间绝缘层为例进行介绍。本领域技术人员应该可以理解的是,本发明中所提及的过孔刻蚀结构以及过孔刻蚀结构的制备工艺并不构成对本发明实施例过孔刻蚀方法的进一步限定,在此不做赘述。
步骤S102:在所述过孔刻蚀结构上形成包括过孔掩膜图形的掩膜层。
具体的,如图4所示,在完成上述步骤S101的过孔刻蚀结构上形成掩膜层7,该掩膜层7中包括有用于形成过孔的过孔掩膜图形。例如,在如图3所示的孔刻蚀结构上旋转涂覆一层掩膜材料,利用构图工艺,生成包括过孔掩膜图形的掩膜层。其中,在本发明实施例中,构图工艺包括涂胶、曝光、显影、刻蚀、光刻胶剥离等步骤。
步骤S103:采用第一刻蚀方法刻蚀所述过孔刻蚀结构,刻蚀至所述栅极绝缘层的第一厚度处。
具体的,对完成上述步骤S102的过孔刻蚀结构进行第一刻蚀方法刻蚀。进一步的,第一刻蚀方法可包括干法刻蚀。如图5所示,采用第一刻蚀方法刻蚀过孔刻蚀结构,刻蚀的终点为栅极绝缘层3的第一厚度处。将所述栅极绝缘层的所述第一厚度定义为D1。具体的,第一厚度D1可为700~1400
Figure BDA0000375058460000051
。通过第一刻蚀方法刻蚀,过孔刻蚀结构形成如图5所示的结构。
优选的,所述第一刻蚀方法的刻蚀腔体压力为0~20mtorr,刻蚀功率不小于5000W。
需要说明的是,由于第一刻蚀方法刻蚀终点为栅极绝缘层的第一厚度处,因此第一刻蚀方法至少需要刻蚀层间绝缘层以及部分栅极绝缘层;若过孔刻蚀结构中还包括设置在层间绝缘层上方的其他绝缘层时,第一刻蚀方法还需要刻蚀掉其他绝缘层。
步骤S104:采用第二刻蚀方法刻蚀所述过孔刻蚀结构,刻蚀掉剩余厚度的所述栅极绝缘层,露出所述低温多晶硅层。
具体的,对完成上述步骤S103的过孔刻蚀结构进行第二刻蚀方法刻蚀。采用第二刻蚀方法刻蚀过孔刻蚀结构,第二刻蚀方法刻蚀掉上述步骤剩余的栅极绝缘层露出低温多晶硅层。也就是说,第二刻蚀方法将上述步骤剩余的第一厚度栅极绝缘层刻蚀掉,且第二刻蚀方法的刻蚀终点为低温多晶硅层的对应位置处。通过第二刻蚀方法刻蚀,过孔刻蚀结构形成如图6所示的结构。
进一步的,第二刻蚀方法可包括为利用有机刻蚀气体的干法刻蚀,所述有机刻蚀气体为包括CF4、H2、C4F8、Ar、O2的混合气体。需要说明的是,利用上述有机刻蚀气体的干法刻蚀可令第二刻蚀方法具有较高的刻蚀选择性,使得第二刻蚀方法在刻蚀掉栅极绝缘层的同时最大程度的使低温多晶硅层得到保留。
优选的,所述第二刻蚀方法的刻蚀腔体压力为0~20mtorr,刻蚀功率不小于5000W。
步骤S105:移除所述掩膜层,形成过孔结构。
具体的,对完成上述步骤S104的过孔刻蚀结构采用剥离工艺,移除掩膜层的掩膜材料,在过孔刻蚀结构上形成过孔结构。此时过孔刻蚀结构形成如图1所示的结构。
进一步的,本发明实施例中过孔刻蚀方法提及的栅极绝缘层,其材料可为氮化硅、氧化硅、碳化硅材料中的任意一种或任意几种,或者也可以为本领域技术人员在本领域中常用的其他绝缘材料。
优选的,本发明实施例中过孔刻蚀方法形成的所述过孔结构的坡度角为55°~75°。
本发明实施例提供的一种过孔刻蚀方法,该过孔刻蚀方法工艺流程较为简单,形成过孔的过程中采用了两次刻蚀工艺。通过第一刻蚀方法以及第二刻蚀方法在形成过孔结构的同时保留低温多晶硅层,克服了现有技术易于过刻蚀低温多晶硅的缺陷;同时,由于采用了干法刻蚀工艺,保证了形成的过孔具有较好的坡度角。
以上所述,仅为本发明的具体实施方式,但本发明的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本发明揭露的技术范围内,可轻易想到变化或替换,都应涵盖在本发明的保护范围之内。因此,本发明的保护范围应以所述权利要求的保护范围为准。

Claims (6)

1.一种过孔刻蚀方法,其特征在于,包括:
形成过孔刻蚀结构,所述过孔刻蚀结构包括依次形成在基板上的低温多晶硅层、栅极绝缘层、栅极金属层、层间绝缘层;
在所述过孔刻蚀结构上形成包括过孔掩膜图形的掩膜层;
采用第一刻蚀方法刻蚀所述过孔刻蚀结构,刻蚀至所述栅极绝缘层的第一厚度处;
采用第二刻蚀方法刻蚀所述过孔刻蚀结构,刻蚀掉剩余厚度的所述栅极绝缘层,露出所述低温多晶硅层;
移除所述掩膜层,形成过孔结构。
2.根据权利要求1所述的过孔刻蚀方法,其特征在于,所述第一刻蚀方式为干法刻蚀;所述第二刻蚀方法为利用有机刻蚀气体的干法刻蚀,所述有机刻蚀气体为包括CF4、H2、C4F8、Ar、O2的混合气体。
3.根据权利要求1或2所述的过孔刻蚀方法,其特征在于,所述第一刻蚀方法以及所述第二刻蚀方法的刻蚀腔体压力为0~20mtorr,刻蚀功率不小于5000W。
4.根据权利要求1所述的过孔刻蚀方法,其特征在于,所述栅极绝缘层的所述第一厚度为700~1400
Figure FDA0000375058450000011
5.根据权利要求1所述的过孔刻蚀方法,其特征在于,所述栅极绝缘层的材料为氮化硅、氧化硅、碳化硅材料中的任意一种或任意几种。
6.根据权利要求1所述的过孔刻蚀方法,其特征在于,形成的所述过孔结构的坡度角为55°~75°。
CN2013103894942A 2013-08-30 2013-08-30 过孔刻蚀方法 Pending CN103456624A (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2013103894942A CN103456624A (zh) 2013-08-30 2013-08-30 过孔刻蚀方法
PCT/CN2013/088465 WO2015027596A1 (zh) 2013-08-30 2013-12-03 过孔刻蚀方法
US14/361,083 US9564354B2 (en) 2013-08-30 2013-12-03 Via-hole etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2013103894942A CN103456624A (zh) 2013-08-30 2013-08-30 过孔刻蚀方法

Publications (1)

Publication Number Publication Date
CN103456624A true CN103456624A (zh) 2013-12-18

Family

ID=49738871

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2013103894942A Pending CN103456624A (zh) 2013-08-30 2013-08-30 过孔刻蚀方法

Country Status (3)

Country Link
US (1) US9564354B2 (zh)
CN (1) CN103456624A (zh)
WO (1) WO2015027596A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105914145A (zh) * 2016-04-21 2016-08-31 上海华力微电子有限公司 阻挡介质层的刻蚀方法
WO2018171199A1 (zh) * 2017-03-24 2018-09-27 京东方科技集团股份有限公司 制备阵列基板的方法、阵列基板和显示装置

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110600482B (zh) * 2019-08-09 2022-02-22 武汉华星光电半导体显示技术有限公司 一种阵列基板及其制作方法、显示面板

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050260804A1 (en) * 2004-05-24 2005-11-24 Tae-Wook Kang Semiconductor device and method of fabricating the same
JP2007019393A (ja) * 2005-07-11 2007-01-25 Toshiba Matsushita Display Technology Co Ltd 薄膜トランジスタ及びその製造方法
CN101894791A (zh) * 2009-05-18 2010-11-24 中芯国际集成电路制造(北京)有限公司 接触孔的形成方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741741A (en) * 1996-05-23 1998-04-21 Vanguard International Semiconductor Corporation Method for making planar metal interconnections and metal plugs on semiconductor substrates
US6284642B1 (en) * 1999-08-11 2001-09-04 Taiwan Semiconductor Manufacturing Company Integrated method of damascene and borderless via process
US6914004B2 (en) * 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
CN100499035C (zh) 2003-10-03 2009-06-10 株式会社半导体能源研究所 半导体器件的制造方法
US20070066074A1 (en) * 2005-09-19 2007-03-22 Nace Rossi Shallow trench isolation structures and a method for forming shallow trench isolation structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050260804A1 (en) * 2004-05-24 2005-11-24 Tae-Wook Kang Semiconductor device and method of fabricating the same
JP2007019393A (ja) * 2005-07-11 2007-01-25 Toshiba Matsushita Display Technology Co Ltd 薄膜トランジスタ及びその製造方法
CN101894791A (zh) * 2009-05-18 2010-11-24 中芯国际集成电路制造(北京)有限公司 接触孔的形成方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105914145A (zh) * 2016-04-21 2016-08-31 上海华力微电子有限公司 阻挡介质层的刻蚀方法
CN105914145B (zh) * 2016-04-21 2019-05-03 上海华力微电子有限公司 阻挡介质层的刻蚀方法
WO2018171199A1 (zh) * 2017-03-24 2018-09-27 京东方科技集团股份有限公司 制备阵列基板的方法、阵列基板和显示装置
US11037959B2 (en) 2017-03-24 2021-06-15 Boe Technology Group Co., Ltd. Method of producing array substrate, array substrate, and display apparatus

Also Published As

Publication number Publication date
WO2015027596A1 (zh) 2015-03-05
US9564354B2 (en) 2017-02-07
US20150303099A1 (en) 2015-10-22

Similar Documents

Publication Publication Date Title
CN104347371B (zh) 半导体结构的形成方法
US7807578B2 (en) Frequency doubling using spacer mask
CN104716032B (zh) 使用间隔件双重图案化印刷多个结构宽度的方法
US9196623B2 (en) Semiconductor circuit structure and process of making the same
US9536987B2 (en) Line-end cutting method for fin structures of FinFETs formed by double patterning technology
US9269820B2 (en) Manufacturing method of polysilicon layer, and polysilicon thin film transistor and manufacturing method thereof
CN103123910B (zh) 阵列基板及其制造方法、显示装置
CN105374871A (zh) 鳍状结构及其形成方法
KR101901045B1 (ko) 박막 트랜지스터 및 그 준비 방법, 어레이 기판, 및 디스플레이 장치
TW201203311A (en) Method for forming contact hole of semiconductor device
TWI508131B (zh) 形成精細圖案之方法
CN105762195B (zh) 金属氧化物薄膜晶体管及其制备方法
JP2009071306A (ja) 半導体素子の微細パターン形成方法
KR20130070351A (ko) 반도체장치 제조 방법
CN105140276A (zh) 薄膜晶体管制作方法及阵列基板制作方法
CN102790055A (zh) Dram结构及其制造方法与ic结构及其制造方法
CN103456624A (zh) 过孔刻蚀方法
US9331172B2 (en) Method for making HKMG dummy gate structure with amorphous/ONO masking structure and procedure
CN106158748A (zh) 半导体元件及其制作方法
CN105552035B (zh) 低温多晶硅tft阵列基板的制作方法及其结构
JP5747423B2 (ja) 薄膜トランジスタデバイスを作成する方法
CN105161409B (zh) U形栅极的形成方法
CN104538455A (zh) 一种轻掺杂漏极区的制作方法、薄膜晶体管及阵列基板
CN102709329A (zh) 薄膜晶体管及其制造方法
KR20120122714A (ko) 반도체 장치의 홀 패턴 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20131218