JP2002289700A - 半導体素子のデュアルゲート製造方法 - Google Patents

半導体素子のデュアルゲート製造方法

Info

Publication number
JP2002289700A
JP2002289700A JP2001386717A JP2001386717A JP2002289700A JP 2002289700 A JP2002289700 A JP 2002289700A JP 2001386717 A JP2001386717 A JP 2001386717A JP 2001386717 A JP2001386717 A JP 2001386717A JP 2002289700 A JP2002289700 A JP 2002289700A
Authority
JP
Japan
Prior art keywords
film
gate
metal
metal film
nmos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001386717A
Other languages
English (en)
Inventor
Tae Kyun Kim
泰 均 金
Se Aug Jang
世 億 張
Tae Ho Cha
泰 昊 車
In Seok Yeo
寅 碩 呂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of JP2002289700A publication Critical patent/JP2002289700A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】 単一の金属ゲートの製造工程にダマシーン工
程を追加、行うことによって、優れた素子特性を備えた
デュアル金属ゲートを形成する。 【解決手段】 PMOS、NMOS領域を備える半導体
基板11提供段階、基板全面に、第1ゲート絶縁膜1
5、第1金属膜16を順次蒸着する段階、該両膜を順次
パターニング、両領域の一領域に第1ゲート50を形
成、他領域にダミーゲート40を形成する段階、両ゲー
トの両側壁にスペーサ18を形成、両ゲートの両側の基
板にソース/ドレイン領域を形成する段階、前記結果物
上に層間絶縁膜20を蒸着する段階、層間絶縁膜を研
磨、第1金属膜を露出させる段階、ダミーゲートを除
去、基板の所定部分を露出させる段階、露出した基板、
層間絶縁膜上に、第2ゲート絶縁膜22、第2金属膜2
3を順次蒸着する段階、層間絶縁膜が露出するよう、前
記両膜を研磨、第2ゲート70を形成する段階とを含
む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体素子のデュ
アルゲート製造方法に関し、より詳しくは、ダマシーン
(damascene)工程を適用したデュアル金属ゲ
ートの製造方法に関する。
【0002】
【従来の技術】近年、サブ−0.10ミクロン(sub
−0.10micron)素子の開発が本格化しつつ、
従来のゲート電極として使用されたポリシリコンゲート
電極やポリサイドゲート電極等が次のような限界に直面
することになった。すなわち、ポリシリコンゲートは、
ゲート空乏化現象によるゲート絶縁膜の有効厚みの増
加、p又はnポリシリコンゲートから基板へのドー
パント浸透現象及びドーパント分布変動によるスレッシ
ョルド電圧の変化などのような問題を生ずる。また、従
来のポリシリコンを用いたゲートでは、微細線幅で要求
される低抵抗値を実現できないという問題がある。従っ
て、従来のポリシリコンを用いたゲートを代替できる新
物質及び新たな構造のゲート電極の開発が要請されてい
る。
【0003】このような要請に応ずるため、金属ゲート
電極の開発が積極的に進行されているが、金属ゲート電
極の場合、根本的にドーパントを使用しないので、従来
のポリシリコンゲートで発生した問題点が存在しないだ
けでなく、金属ゲート電極として、仕事関数がシリコン
の中間バンドギャップに位置する金属を使用することに
よって、NMOSトランジスタ及びPMOSトランジス
タ領域において対称的にスレッショルド電圧を形成でき
る単一の金属ゲートを形成することができる。ここで、
金属ゲート電極物質としては、W、WN、Ti、Ti
N、Mo、MoN、Ta、TaN、TiAl、Ti
AlN等が使用されている。
【0004】しかしながら、単一の金属ゲートで形成さ
れたCMOSFET素子を製造する場合、NMOS及び
PMOS領域においてフラットバンド電圧が減少し、そ
の結果、スレッショルド電圧が増加する。従って、前記
スレッショルド電圧を低くするため、カウンタドーピン
グによる埋没チャンネルを形成するが、これは、MOS
FET素子のショットチャンネルエフェクトの増加や漏
洩電流の増加などの問題を招く。これにより、従来のデ
ュアルポリシリコンゲートの作動原理を基本にして、互
いに異なる仕事関数値を有する異種の金属ゲートを各々
NMOS領域及びPMOS領域に分離して使用すること
によって、最終的にデュアル金属ゲートを形成しようと
する試みが活発になされている。
【0005】図1乃至図5を参照して従来技術による半
導体素子のデュアルゲート製造方法を説明する。図1乃
至図5は、従来のデュアル金属ゲートの形成方法を説明
するための断面図である。従来のデュアル金属ゲートの
形成方法は、まず図1を参照すると、半導体基板1上に
フィールド酸化膜2を形成した後、公知の技術であるマ
スク及びイオン注入工程によりNMOS領域及びPMO
S領域3a、3bを各々形成する。その後、半導体基板
1上に薄膜のスクリーン酸化膜(図示せず)を形成した
後、フォトマスク工程を用いてNMOS領域及びPMO
S領域3a、3bにそれぞれスレッショルド電圧調整の
ためのイオン注入工程を分離して行う。
【0006】次に、図2に示すように、スクリーン酸化
膜を除去した後、半導体基板1の全面にゲート絶縁膜
4、NMOS用金属膜5及びバリアメタル6を順次形成
する。この際、NMOS用金属膜5は、そのフェルミエ
ネルギーがシリコンの伝導バンドの近くに存在する金属
物質を意味する。その後、図3に示すように、図示して
はいないが、NMOS領域3a上にエッチングマスク
(図示せず)を形成し、これをマスクとして用いてフォ
ト/エッチング工程によりPMOS領域3b上のバリア
メタル6とNMOS用金属膜5を順次除去する。次に、
図4に示すように、前記結果物を含む半導体基板1の全
面にPMOS用金属膜7を蒸着する。
【0007】その後、図5に示すように、図示してはい
ないが、PMOS用金属膜7上にエッチングマスク(図
示せず)を形成し、これをマスクとして用いてフォト/
エッチング工程によりNMOS領域及びPMOS領域3
a、3b上にデュアル金属ゲート8a、8bを各々形成
する。その後、半導体基板1の全面にスペーサ窒化膜を
蒸着及び全面エッチング(blanket etch)
工程を実施して、デュアル金属ゲート8a、8bの両側
壁にスペーサ9を形成する。そして、NMOS領域及び
PMOS領域3a、3b上にソース/ドレインイオン注
入を実施して、ソース/ドレイン領域10a、10bを
形成することによって、デュアル金属ゲートから構成さ
れたCMOSFET素子を完成する。
【0008】
【発明が解決しようとする課題】しかしながら、従来の
デュアル金属ゲートから構成されたCMOSFET素子
は、次のような問題が発生する。NMOS領域及びPM
OS用金属膜をパターニングして金属ゲートを形成する
際、金属ゲートエッチングのレシピを設定することが困
難であり、エッチング及びイオン注入工程でのプラズマ
損傷や、ゲート形成後に進行する後続の熱工程での熱損
傷などの問題が発生するという問題がある。また、NM
OS領域及びPMOS領域に金属ゲート8a、8bを形
成する際、その高さ及び構成物質が互い異なるため、図
3に示すようなフォト/エッチング工程の進行時、半導
体基板1の損失が発生しないようにエッチング工程を設
定することが非常に難しいという問題がある。
【0009】本発明は、上述したような従来の半導体素
子のデュアルゲート製造方法の問題点を解決するために
なされたもので、その目的は、単一の金属ゲートの製造
工程にダマシーン工程を追加、行うことによって、優れ
た素子特性を備えたデュアル金属ゲートを形成できる半
導体素子のデュアルゲート製造方法を提供することにあ
る。
【0010】
【課題を解決するための手段】上記目的を達成するため
になされた、本発明による半導体素子のデュアルゲート
製造方法は、PMOS領域及びNMOS領域を備える半
導体基板を用意する段階と、前記半導体基板の全面に、
第1ゲート絶縁膜及び第1金属膜を順次蒸着する段階
と、前記第1金属膜及び前記第1ゲート絶縁膜を順次パ
ターニングして、前記PMOS領域及び前記NMOS領
域の一方の領域に第1ゲートを形成し、他方の領域にダ
ミーゲートを形成する段階と、前記第1ゲート及び前記
ダミーゲートの両側壁にスペーサを形成し、前記第1ゲ
ート及び前記ダミゲートの両側方の半導体基板にソース
/ドレイン領域を形成する段階と、前記ソース/ドレイ
ン領域が形成された全体結果物上に層間絶縁膜を蒸着す
る段階と、前記層間絶縁膜を研磨して、前記第1金属膜
を露出させる段階と、前記ダミーゲートを除去して、前
記半導体基板の所定部分を露出させる段階と、前記露出
した半導体基板及び前記層間絶縁膜上に、第2ゲート絶
縁膜及び第2金属膜を順次蒸着する段階と、前記層間絶
縁膜が露出するように、前記第2金属膜及び前記第2ゲ
ート絶縁膜を研磨して、第2ゲートを形成する段階とを
含むことを特徴とする。
【0011】また、上記目的を達成するためになされ
た、本発明による半導体素子のデュアルゲート製造方法
は、PMOS領域及びNMOS領域を備える半導体基板
を用意する段階と、前記半導体基板の全面に、第1ゲー
ト絶縁膜、第1金属膜及び第1マスク膜を順次蒸着する
段階と、前記第1マスク膜をパターニングする段階と、
前記第1マスク膜をエッチング障壁として用いて前記第
1金属膜及び前記第1ゲート絶縁膜を順次エッチングし
て、前記PMOS領域及び前記NMOS領域にそれぞれ
ゲート構造を形成する段階と、前記ゲート構造の両側壁
にスペーサを形成し、前記ゲート構造の両側方の半導体
基板にソース/ドレイン領域を形成する段階と、前記ソ
ース/ドレイン領域が形成された全体結果物上に層間絶
縁膜を蒸着する段階と、前記層間絶縁膜及び前記第1マ
スク膜を研磨して、前記第1金属膜を露出させる段階
と、前記露出した第1金属膜を含む全体結果物の上部
に、前記PMOS領域及び前記NMOS領域のいずれか
一方の領域を選択して第2マスク膜を蒸着する段階と、
前記第2マスク膜をエッチング障壁として用いて前記第
1金属膜及び前記第1ゲート絶縁膜を順次エッチングし
て、前記半導体基板の所定部分を露出させる段階と、前
記露出した半導体基板、前記層間絶縁膜及び前記第2マ
スク膜上に、第2ゲート絶縁膜及び第2金属膜を順次蒸
着する段階と、前記層間絶縁膜が露出するように、前記
第2金属膜及び前記第2ゲート絶縁膜を研磨して、ゲー
ト構造を完成する段階とを含むことを特徴とする。
【0012】
【発明の実施の形態】次に、本発明にかかる半導体素子
のデュアルゲート製造方法の実施の形態の具体例を図面
を参照しながら説明する。図6乃至図14は、本発明の
デュアルゲートの製造方法で、ダマシーン工程を用いた
デュアル金属ゲートの製造方法を説明するための断面図
である。
【0013】まず、図6に示すように、半導体基板11
に活性領域と素子分離領域とを規定した後、素子分離領
域にフィールド酸化膜12を形成する。その後、公知の
技術であるマスク及びイオン注入工程を実施して、半導
体基板11内にN−ウェル13a(以下、PMOS領
域)及びP−ウェル13b(以下、NMOS領域)を形
成する。次に、図7に示すように、PMOS領域及びN
MOS領域13a、13bを含む半導体基板11の全面
にスクリーン酸化膜14を形成する。この際、スクリー
ン酸化膜14は、好ましくは50〜100Åの厚みで形
成する。その後、フォト/マスク工程を用いてPMOS
領域及びNMOS領域13a、13b上にそれぞれスレ
ッショルド電圧イオン注入工程を行った後、スクリーン
酸化膜14を除去する。この際、イオン注入のドーパン
トは、NMOS領域13bに対しては、ホウ素や二フッ
化ホウ素(BF2)を使用し、PMOS領域13aに対
しては、砒素やリンを用いてイオン注入を行う。
【0014】次に、図8に示すように、PMOS領域及
びNMOS領域13a、13bを含む半導体基板11上
に、第1ゲート絶縁膜15及び第1金属膜16を順次蒸
着する。この際、第1ゲート絶縁膜15は、好ましく
は、酸化膜、オキシナイトライド膜及び高誘電率膜のう
ちのいずれか1つを選択して蒸着する。また、第1金属
膜16は、PMOS用金属膜又はNMOS用金属膜で形
成できるが、本実施例では、NMOS用金属膜を例にし
て説明する。ここで、NMOS用金属膜は、フェルミエ
ネルギーがシリコンの伝導バンドの近くに存在するもの
で、すなわち仕事関数値が4.2eV以下の金属膜であ
ることを特徴とする。
【0015】その後、図9に示すように、PMOS領域
及びNMOS領域13a、13b上の第1金属膜16上
部にマスク膜17を形成する。この際、マスク膜17
は、好ましくは800〜1000Åの厚みで形成する。
次に、マスク膜17上部にエッチングマスク(図示せ
ず)を形成し、エッチングマスクを用いてマスク膜17
をパターニングする。次に、エッチングマスクを除去し
た後、マスク膜17をマスクとして用いて第1金属膜1
6及び第1ゲート絶縁膜15を順次パターニングして、
PMOS領域13a上にダミーゲート40構造を形成す
ると同時に、NMOS領域13b上に第1金属ゲート5
0構造を各々形成する。
【0016】その後、図10に示すように、PMOS領
域及びNMOS領域13a、13b上に形成された各々
のゲート構造40、50を含む全体構造の表面上に、公
知の後続工程、例えばダミーゲート40と第1金属ゲー
ト50両側の半導体基板11に低濃度不純物イオン注入
を実施した後、ダミーゲート40と第1金属ゲート50
の両側壁にスペーサ18を形成する。そして、スペーサ
18両側の半導体基板11に高濃度不純物イオン注入を
実施して、PMOS領域及びNMOS領域13a、13
b上にLDD(lightly doped drai
n)構造を有するソース/ドレイン19a、19b領域
を各々形成する。この際、スペーサ18は、低濃度不純
物イオン注入を行った後の全体構造上にスペーサ窒化膜
(図示せず)を蒸着し、これを全面エッチングすること
によって形成される。
【0017】次に、図11に示すように、ダミーゲート
40構造及び第1金属ゲート50構造が形成された半導
体基板11の全面に層間絶縁膜20を蒸着し、ダミーゲ
ート40構造及び第1金属ゲート50構造がそれぞれ露
出するように層間絶縁膜20を研磨する。この際、層間
絶縁膜20は、好ましくは4000〜6000Åの厚み
で蒸着する。その後、図12に示すように、PMOS領
域及びNMOS領域13a、13bのいずれか一方、例
えばNMOS領域13b上の第1金属ゲート50構造を
被覆するマスク膜21を形成する。この際、マスク膜2
1は、好ましくは800〜1000Åの厚みで蒸着され
る。次に、マスク膜21をエッチング障壁として用い
て、PMOS領域13a上の第1金属膜16及び第1ゲ
ート絶縁膜15を、乾式又は湿式エッチング方法のいず
れかを選択してPMOS領域13aの半導体基板11を
露出させるエッチング工程を実施することによって、ダ
マシーン構造60を形成する。
【0018】その後、図13に示すように、層間絶縁膜
20と、ダマシーン構造60及びマスク膜21を含む全
体構造上に、第2ゲート絶縁膜22及び第2金属膜23
を順次形成する。この際、第2ゲート絶縁膜22は、酸
化膜、オキシナイトライド膜及び高誘電率膜のうちのい
ずれか1つを選択して蒸着する。また、第2金属膜23
は、好ましくはPMOS用金属膜で蒸着する。PMOS
用金属膜は、フェルミエネルギーがシリコンの価電子帯
の近くに存在するもので、すなわち仕事関数値が4.8
eV以上の金属膜てあることを特徴とする。
【0019】次に、図14に示すように、層間絶縁膜2
0が露出するまで第2金属膜23、第2ゲート絶縁膜2
2及びマスク膜21を順次除去して第2金属ゲート70
を形成することによって、半導体素子のデュアル金属ゲ
ートを完成する。上述した実施例では、第1金属膜16
をNMOS用金属膜で蒸着し、第2金属膜23をPMO
S用金属膜で蒸着したが、第1金属膜16をPMOS用
金属膜で蒸着し、第2金属膜23をNMOS用金属膜で
蒸着して、半導体素子のデュアル金属ゲートを形成して
もよい。
【0020】尚、本発明は、本実施例に限られるもので
はない。本発明の趣旨から逸脱しない範囲内で多様に変
更実施することが可能である。
【0021】
【発明の効果】以上説明したように、本発明による半導
体素子のデュアルゲート製造方法は、通常の単位金属ゲ
ートの製造工程にダマシーン工程を追加、実施すること
によって、デュアル金属ゲートから構成されたMOSF
ET素子を製造する。その結果、全体製造工程を単純化
且つ安定化させることができる。
【0022】また、金属ゲートに加えられるプラズマ損
傷及び熱損傷を最小化するダマシーン工程を適用するこ
とによって、優れたプロファイル及び素子特性を有する
デュアル金属ゲートを製造することができる。
【0023】さらに、従来のエッチング方法を用いて金
属ゲートをパターニングするデュアル金属ゲートの製造
工程で発生する工程及び素子特性上の問題点を効率的に
解決できる技術的基盤を提供することによって、高集積
半導体素子を安定に製造することができる。
【図面の簡単な説明】
【図1】従来技術による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図2】従来技術による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図3】従来技術による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図4】従来技術による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図5】従来技術による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図6】本発明による半導体素子のデュアルゲート製造
方法を説明するための断面図である。
【図7】本発明による半導体素子のデュアルゲート製造
方法を説明するための断面図である。
【図8】本発明による半導体素子のデュアルゲート製造
方法を説明するための断面図である。
【図9】本発明による半導体素子のデュアルゲート製造
方法を説明するための断面図である。
【図10】本発明による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図11】本発明による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図12】本発明による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図13】本発明による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【図14】本発明による半導体素子のデュアルゲート製
造方法を説明するための断面図である。
【符号の説明】
11 半導体基板 12 フィールド酸化膜 13a PMOS領域 13b NMOS領域 14 スクリン酸化膜 15 第1ゲート絶縁膜 16 第1金属膜 17 マスク膜 18 スペーサ 19a、19b ソース/ドレイン領域 20 層間絶縁膜 21 マスク膜 22 第2ゲート絶縁膜 23 第2金属膜 40 ダミーゲート 50 第1金属ゲート 60 ダマシーン構造 70 第2金属ゲート
───────────────────────────────────────────────────── フロントページの続き (72)発明者 張 世 億 大韓民国 京畿道 利川市 夫鉢邑 新河 里 481−1 サムイクアパート 104− 1201 (72)発明者 車 泰 昊 大韓民国 京畿道 利川市 夫鉢邑 牙美 里 山 136−1 現代電子高潭寄宿舎 102−602 (72)発明者 呂 寅 碩 大韓民国 ソウル 鍾路區 母岳洞 現代 アパート 106−404 Fターム(参考) 4M104 AA01 BB04 CC05 DD04 DD34 DD71 EE09 EE17 GG09 GG10 GG14 HH14 HH20 5F048 AA07 AC03 BB01 BB04 BB09 BB10 BB11 BB13 BC06 BD04 BE03 BG12 DA18 DA25

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 PMOS領域及びNMOS領域を備える
    半導体基板を用意する段階と、 前記半導体基板の全面に、第1ゲート絶縁膜及び第1金
    属膜を順次蒸着する段階と、 前記第1金属膜及び前記第1ゲート絶縁膜を順次パター
    ニングして、前記PMOS領域及び前記NMOS領域の
    一方の領域に第1ゲートを形成し、他方の領域にダミー
    ゲートを形成する段階と、 前記第1ゲート及び前記ダミーゲートの両側壁にスペー
    サを形成し、前記第1ゲート及び前記ダミゲートの両側
    方の半導体基板にソース/ドレイン領域を形成する段階
    と、 前記ソース/ドレイン領域が形成された全体結果物上に
    層間絶縁膜を蒸着する段階と、 前記層間絶縁膜を研磨して、前記第1金属膜を露出させ
    る段階と、 前記ダミーゲートを除去して、前記半導体基板の所定部
    分を露出させる段階と、 前記露出した半導体基板及び前記層間絶縁膜上に、第2
    ゲート絶縁膜及び第2金属膜を順次蒸着する段階と、 前記層間絶縁膜が露出するように、前記第2金属膜及び
    前記第2ゲート絶縁膜を研磨して、第2ゲートを形成す
    る段階とを含むことを特徴とする半導体素子のデュアル
    ゲート製造方法。
  2. 【請求項2】 PMOS領域及びNMOS領域を備える
    半導体基板を用意する段階と、 前記半導体基板の全面に、第1ゲート絶縁膜、第1金属
    膜及び第1マスク膜を順次蒸着する段階と、 前記第1マスク膜をパターニングする段階と、 前記第1マスク膜をエッチング障壁として用いて前記第
    1金属膜及び前記第1ゲート絶縁膜を順次エッチングし
    て、前記PMOS領域及び前記NMOS領域にそれぞれ
    ゲート構造を形成する段階と、 前記ゲート構造の両側壁にスペーサを形成し、前記ゲー
    ト構造の両側方の半導体基板にソース/ドレイン領域を
    形成する段階と、 前記ソース/ドレイン領域が形成された全体結果物上に
    層間絶縁膜を蒸着する段階と、 前記層間絶縁膜及び前記第1マスク膜を研磨して、前記
    第1金属膜を露出させる段階と、 前記露出した第1金属膜を含む全体結果物の上部に、前
    記PMOS領域及び前記NMOS領域のいずれか一方の
    領域を選択して第2マスク膜を蒸着する段階と、 前記第2マスク膜をエッチング障壁として用いて前記第
    1金属膜及び前記第1ゲート絶縁膜を順次エッチングし
    て、前記半導体基板の所定部分を露出させる段階と、 前記露出した半導体基板、前記層間絶縁膜及び前記第2
    マスク膜上に、第2ゲート絶縁膜及び第2金属膜を順次
    蒸着する段階と、 前記層間絶縁膜が露出するように、前記第2金属膜及び
    前記第2ゲート絶縁膜を研磨して、ゲート構造を完成す
    る段階とを含むことを特徴とする半導体素子のデュアル
    ゲート製造方法。
  3. 【請求項3】 前記第1金属膜は、NMOS用金属膜で
    あり、前記第2金属膜は、PMOS用金属膜であること
    を特徴とする請求項1又は2に記載の半導体素子のデュ
    アルゲート製造方法。
  4. 【請求項4】 前記NMOS用金属膜は、仕事関数値が
    4.2eV以下の金属から形成されることを特徴とする
    請求項3に記載の半導体素子のデュアルゲート製造方
    法。
  5. 【請求項5】 前記PMOS用金属膜は、仕事関数値が
    4.8eV以上の金属から形成されることを特徴とする
    請求項3に記載の半導体素子のデュアルゲート製造方
    法。
  6. 【請求項6】 前記第1金属膜は、PMOS用金属膜で
    あり、前記第2金属膜は、NMOS用金属膜であること
    を特徴とする請求項1又は2に記載の半導体素子のデュ
    アルゲート製造方法。
  7. 【請求項7】 前記NMOS用金属膜は、仕事関数値が
    4.2eV以下の金属から形成されることを特徴とする
    請求項6に記載の半導体素子のデュアルゲート製造方
    法。
  8. 【請求項8】 前記第1金属膜は、1000〜3000
    Åの厚みで蒸着されることを特徴とする請求項1又は2
    に記載の半導体素子のデュアルゲート製造方法。
  9. 【請求項9】 前記第1及び第2ゲート絶縁膜の各々
    は、酸化膜、オキシナイトライド膜及び高誘電率膜の内
    のいずれか1つであることを特徴とする請求項1又は2
    に記載の半導体素子のデュアルゲート製造方法。
  10. 【請求項10】 前記第1及び第2マスク膜の各々は、
    800〜1000Åの厚みで蒸着されることを特徴とす
    る請求項2に記載の半導体素子のデュアルゲート製造方
    法。
  11. 【請求項11】 前記層間絶縁膜は、4000〜600
    0Åの厚みで蒸着されることを特徴とする請求項1又は
    2に記載の半導体素子のデュアルゲート製造方法。
  12. 【請求項12】 前記第1金属膜及び前記第1ゲート絶
    縁膜のエッチングは、乾式又は湿式エッチングにより行
    われることを特徴とする請求項1又は2に記載の半導体
    素子のデュアルゲート製造方法。
JP2001386717A 2000-12-29 2001-12-19 半導体素子のデュアルゲート製造方法 Pending JP2002289700A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2000-085605 2000-12-29
KR10-2000-0085605A KR100422342B1 (ko) 2000-12-29 2000-12-29 반도체 소자의 게이트 제조방법

Publications (1)

Publication Number Publication Date
JP2002289700A true JP2002289700A (ja) 2002-10-04

Family

ID=19703942

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001386717A Pending JP2002289700A (ja) 2000-12-29 2001-12-19 半導体素子のデュアルゲート製造方法

Country Status (4)

Country Link
US (1) US6514827B2 (ja)
JP (1) JP2002289700A (ja)
KR (1) KR100422342B1 (ja)
TW (1) TW508678B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007080913A (ja) * 2005-09-12 2007-03-29 Fujitsu Ltd 半導体装置およびその製造方法
JP2007123548A (ja) * 2005-10-28 2007-05-17 Renesas Technology Corp 半導体装置の製造方法
JP2008016538A (ja) * 2006-07-04 2008-01-24 Renesas Technology Corp Mos構造を有する半導体装置及びその製造方法
US7432147B2 (en) 2004-12-28 2008-10-07 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2008300869A (ja) * 2001-04-11 2008-12-11 Samsung Electronics Co Ltd デュアルゲートを有するcmos型半導体装置形成方法
JP2011066406A (ja) * 2009-09-18 2011-03-31 Internatl Business Mach Corp <Ibm> PFETチャネルSiGeを有する金属ゲート及び高k誘電体デバイス

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100899565B1 (ko) * 2002-10-21 2009-05-27 주식회사 하이닉스반도체 반도체 소자의 듀얼 금속 게이트 형성방법
US6645818B1 (en) * 2002-11-13 2003-11-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal gate for N- and P-FETs
JP3790237B2 (ja) * 2003-08-26 2006-06-28 株式会社東芝 半導体装置の製造方法
KR100488546B1 (ko) * 2003-08-29 2005-05-11 삼성전자주식회사 트랜지스터의 제조방법
CN1316587C (zh) * 2003-09-19 2007-05-16 旺宏电子股份有限公司 结绝缘有源组件的形成方法
US7026203B2 (en) * 2003-12-31 2006-04-11 Dongbuanam Semiconductor Inc. Method for forming dual gate electrodes using damascene gate process
US7332421B2 (en) * 2003-12-31 2008-02-19 Dongbu Electronics Co., Ltd. Method of fabricating gate electrode of semiconductor device
US6974736B2 (en) * 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7397090B2 (en) * 2004-06-10 2008-07-08 Agency For Science, Technology And Research Gate electrode architecture for improved work function tuning and method of manufacture
US7902058B2 (en) * 2004-09-29 2011-03-08 Intel Corporation Inducing strain in the channels of metal gate transistors
US20060252267A1 (en) * 2005-05-06 2006-11-09 Wang Wai S Topology-selective oxide CMP
US20070048920A1 (en) * 2005-08-25 2007-03-01 Sematech Methods for dual metal gate CMOS integration
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
DE102007041207B4 (de) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
US20090108294A1 (en) * 2007-10-30 2009-04-30 International Business Machines Corporation Scalable high-k dielectric gate stack
US7804141B2 (en) * 2008-02-19 2010-09-28 United Microelectronics Corp. Semiconductor element structure and method for making the same
WO2011068694A2 (en) * 2009-12-04 2011-06-09 Rambus Inc. Dram sense amplifier that supports low memory-cell capacitance
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
CN102779753B (zh) * 2011-05-12 2015-05-06 中芯国际集成电路制造(上海)有限公司 半导体器件制造方法
US8772146B2 (en) 2012-08-28 2014-07-08 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
DE102014217874B3 (de) * 2014-09-08 2015-11-26 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Herstellung einer Halbleiterstruktur, bei dem eine Gatestruktur mit einem Gatedielektrikumsmaterial für einen ferroelektrischen Transistor gebildet wird
KR102311552B1 (ko) 2014-12-04 2021-10-12 삼성전자주식회사 반도체 소자 및 그 제조 방법
EP3388699B1 (en) * 2017-04-13 2021-09-01 Black & Decker Inc. Snap nut concrete anchor assembly

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5168072A (en) * 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
US5447874A (en) 1994-07-29 1995-09-05 Grivna; Gordon Method for making a semiconductor device comprising a dual metal gate using a chemical mechanical polish
JP3371189B2 (ja) * 1996-04-30 2003-01-27 ソニー株式会社 Mosトランジスタの製造方法およびcmosトランジスタの製造方法
JP3466874B2 (ja) * 1997-06-11 2003-11-17 株式会社東芝 半導体装置及びその製造方法
US6025232A (en) * 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions
US6043157A (en) 1997-12-18 2000-03-28 Advanced Micro Devices Semiconductor device having dual gate electrode material and process of fabrication thereof
JP4015756B2 (ja) 1998-06-30 2007-11-28 ユーディナデバイス株式会社 半導体装置の製造方法
US6130123A (en) * 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000036592A (ja) 1998-07-17 2000-02-02 Fujitsu Ltd 半導体装置の製造方法
TW392308B (en) * 1998-09-05 2000-06-01 United Microelectronics Corp Method of making metal oxide semiconductor (MOS) in IC
JP3201357B2 (ja) * 1998-09-25 2001-08-20 日本電気株式会社 複数のゲート絶縁膜を有する半導体装置の製造方法
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US6093628A (en) * 1998-10-01 2000-07-25 Chartered Semiconductor Manufacturing, Ltd Ultra-low sheet resistance metal/poly-si gate for deep sub-micron CMOS application
US6211026B1 (en) * 1998-12-01 2001-04-03 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming elevated source/drain regions of a field effect transistor, and methods of forming field effect transistors
JP2000223699A (ja) * 1999-02-04 2000-08-11 Toshiba Corp 半導体装置の製造方法
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6187657B1 (en) 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6194299B1 (en) * 1999-06-03 2001-02-27 Advanced Micro Devices, Inc. Method for fabrication of a low resistivity MOSFET gate with thick metal on polysilicon
US6214680B1 (en) * 1999-12-13 2001-04-10 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate a sub-quarter-micron MOSFET with lightly doped source/drain regions
KR100387721B1 (ko) * 1999-12-31 2003-06-18 주식회사 하이닉스반도체 반도체소자의 제조방법
US6303447B1 (en) * 2000-02-11 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008300869A (ja) * 2001-04-11 2008-12-11 Samsung Electronics Co Ltd デュアルゲートを有するcmos型半導体装置形成方法
US7432147B2 (en) 2004-12-28 2008-10-07 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2007080913A (ja) * 2005-09-12 2007-03-29 Fujitsu Ltd 半導体装置およびその製造方法
JP2007123548A (ja) * 2005-10-28 2007-05-17 Renesas Technology Corp 半導体装置の製造方法
JP2008016538A (ja) * 2006-07-04 2008-01-24 Renesas Technology Corp Mos構造を有する半導体装置及びその製造方法
JP2011066406A (ja) * 2009-09-18 2011-03-31 Internatl Business Mach Corp <Ibm> PFETチャネルSiGeを有する金属ゲート及び高k誘電体デバイス

Also Published As

Publication number Publication date
TW508678B (en) 2002-11-01
US20020086445A1 (en) 2002-07-04
US6514827B2 (en) 2003-02-04
KR100422342B1 (ko) 2004-03-10
KR20020056282A (ko) 2002-07-10

Similar Documents

Publication Publication Date Title
JP2002289700A (ja) 半導体素子のデュアルゲート製造方法
JP2002198441A (ja) 半導体素子のデュアル金属ゲート形成方法
US6573134B2 (en) Dual metal gate CMOS devices and method for making the same
JP4884397B2 (ja) Cmosfet内の歪みを最適化するための方法
US7935993B2 (en) Semiconductor device structure having enhanced performance FET device
TW494478B (en) Method of fabricating a polysilicon capacitor utilizing fet and bipolar base polysilicon layers
KR101033700B1 (ko) 동일 기판 상에 도전 타입이 같은 로우 및 하이 퍼포먼스장치를 갖는 반도체 장치 구조
US20060134874A1 (en) Manufacture method of MOS semiconductor device having extension and pocket
JP2009181978A (ja) 半導体装置およびその製造方法
US7312129B2 (en) Method for producing two gates controlling the same channel
JP2001156290A (ja) 半導体装置
JP2002539638A (ja) Mis電界効果型トランジスタの製造方法
US7939896B2 (en) SOI substrate contact with extended silicide area
KR100658088B1 (ko) 저 누설량을 갖는 mos 트랜지스터
KR100384774B1 (ko) 반도체 소자의 게이트 제조방법
JPH04218925A (ja) 半導体装置およびその製造方法
US6368960B1 (en) Double sidewall raised silicided source/drain CMOS transistor
KR100433492B1 (ko) 반도체 소자의 트랜지스터 형성 방법
JP2007294836A (ja) 絶縁ゲート型電界効果トランジスタの製法
US20080142884A1 (en) Semiconductor device
KR100361521B1 (ko) 반도체 소자의 게이트 제조방법
JP2002313940A (ja) 半導体装置の製造方法
JPS63308382A (ja) Ldd構造を有するトランジスタの製造方法
JP2007214503A (ja) 半導体装置の製造方法
US20090093092A1 (en) Soi substrate contact with extended silicide area