JP2002033390A - ダミー絶縁層を用いた集積回路素子の導電性コンタクト体の形成方法 - Google Patents

ダミー絶縁層を用いた集積回路素子の導電性コンタクト体の形成方法

Info

Publication number
JP2002033390A
JP2002033390A JP2001165230A JP2001165230A JP2002033390A JP 2002033390 A JP2002033390 A JP 2002033390A JP 2001165230 A JP2001165230 A JP 2001165230A JP 2001165230 A JP2001165230 A JP 2001165230A JP 2002033390 A JP2002033390 A JP 2002033390A
Authority
JP
Japan
Prior art keywords
insulating layer
conductive
dummy
layer
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001165230A
Other languages
English (en)
Other versions
JP4190164B2 (ja
Inventor
Chishu Kin
智 洙 金
Chang-Woong Chu
昌 雄 秋
Dong-Hyun Kim
東 鉉 金
Yong-Chul Oh
容 哲 呉
Hyoung-Joon Kim
亨 俊 金
Heiin Nan
炳 允 南
Keien Boku
▲けい▼ 媛 朴
Sang Hyeop Lee
相 協 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2002033390A publication Critical patent/JP2002033390A/ja
Application granted granted Critical
Publication of JP4190164B2 publication Critical patent/JP4190164B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

(57)【要約】 【課題】 集積回路素子の導電性コンタクト体の製造方
法を提供する。 【解決手段】 ダミー絶縁層を用いる多数の相互離隔さ
れたラインを含み、ダミー絶縁層は相互離隔されたライ
ンから1次選択される一部の間に形成される。1次選択
された相互離隔されたラインの一部と他の相互離隔され
たラインの2次選択される一部との間に層間絶縁層が形
成される。層間絶縁層は所定のエッチング液に対してダ
ミー絶縁層よりも低いエッチング率を有する。ダミー絶
縁層は相互離隔されたラインの前記1次選択された一部
の間のダミー絶縁層の少なくとも一部を除去するために
所定のエッチング液によりエッチングされる。ダミー絶
縁層の少なくとも一部が除去された相互離隔されたライ
ンの1次選択された一部の間に導電層が形成され、導電
層は相互離隔されたラインの1次選択された一部の間の
集積回路に電気的に接続される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は集積回路素子の製造
方法に係り、特に、層間絶縁層に導電性コンタクト体を
製造する方法に関する。
【0002】
【従来の技術】半導体素子の高集積化が進むにつれて、
写真エッチング工程における誤整列マージンが狭くなり
つつある。このため、半導体素子を製造するとき、十分
な誤整列マージンが確保し難くなり、その結果、ゲート
ラインとゲートラインに隣り合うコンタクトパッドとの
間、ビットラインとビットラインに隣り合う埋め込みコ
ンタクト(Buried Contact;以下、B
C)との間、あるいはゲートラインとBCまたは直接コ
ンタクト(Direct Contact;以下、D
C)との間に電気的な短絡が生じる場合がある。
【0003】これを克服するために、自己整列コンタク
ト(Self Aligned Contact;SA
C)エッチング工程が試みられている。しかし、このS
ACエッチング工程は、オープニングされない点及び低
い選択比の点から、限界がある。
【0004】詳細に説明すれば、高い選択比を得るため
には、エッチング工程を、ポリマーが多く生じる工程
(polymer enrich process)とし
て行う必要がある。しかし、このエッチング工程は、反
応性イオンエッチング(Reactive Ion Et
ching;以下、RIE)など、エッチングのラッグ
が激しい条件に該当する。これらの条件下で行われる乾
式エッチングは、半導体基板上での乾式エッチングの均
一度を悪化させ、その結果、オーバーエッチング量を増
やす必要性が生じてくる。これは、選択比を低くする結
果となる。
【0005】さらに、半導体素子の高い集積度は、コン
タクト体が埋め込まれるコンタクトホールの縦横比の増
加を必要とし、ゲートまたは配線の上側及び側面に十分
な厚さのシリコン窒化物(Si34)を残留させて十分
な絶縁ショルダーを確保することを必要とする。これに
伴い、SACエッチングによりコンタクトホールを形成
するとき、十分な選択比を得るために、シリコン窒化物
のハードマスクまたはスペーサを厚くする必要がある。
これは、コンタクトホールを形成するときの縦横比をさ
らに増加させる結果となる。さらに、コンタクトホール
を形成するときの縦横比の増加は、十分なコンタクトホ
ール底面臨界線幅の確保を一層難しくする。このため、
コンタクトホールがオープニングされないという不良が
生じる。しかし、前述したように、絶縁ショルダーを確
保するためには、このような縦横比の増加が回避できな
い。
【0006】
【発明が解決しようとする課題】本発明は上記事情に鑑
みてなされたものであり、その目的は、電気的な短絡が
生じること、及びコンタクトホールがオープニングされ
ないことを防止し、さらに、半導体素子の高集積化に対
する写真エッチング工程における誤整列マージンが確保
できる導電性コンタクト体の製造方法を提供することで
ある。
【0007】
【課題を解決するための手段】前記目的を達成するため
に、本発明の一観点は、ダミー絶縁層を用いる多数の相
互離隔されたラインを含む。特に、前記ダミー絶縁層
は、前記相互離隔されたラインから1次選択される一部
の間に形成される。前記1次選択された相互離隔された
ラインの一部と他の相互離隔されたラインの2次選択さ
れる一部との間に層間絶縁層が形成される。前記層間絶
縁層は、所定のエッチング液に対して前記ダミー絶縁層
よりも低いエッチング率を有する。前記ダミー絶縁層
は、相互離隔されたラインの前記1次選択された一部の
間のダミー絶縁層の少なくとも一部を除去するために、
所定のエッチング液によりエッチングされる。前記ダミ
ー絶縁層の少なくとも一部が除去された相互離隔された
ラインの1次選択された一部の間に導電層が形成され、
前記導電層は相互離隔されたラインの1次選択された一
部の間の集積回路に電気的に接続される。
【0008】本発明の実施形態によれば、電気的な短絡
を適切に防止でき、さらに、コンタクトホールがオープ
ニングされないことを適切に防止できる。
【0009】本発明の他の観点は、多数の導電ラインを
形成する。多数の導電ラインは、集積回路基板上にライ
ン状の導電パターンと前記導電パターンの側面及び上面
上に遮へい絶縁層を含む。ダミー絶縁層が前記導電ライ
ン間のギャップに形成される。前記導電ライン間のギャ
ップの一部を選択的に露出するダミーオープニングを与
えるために、前記ダミー絶縁層がパターニングされる。
層間絶縁層パターンが前記ダミーオープニングに埋め込
まれる。前記層間絶縁層をエッチングマスクとして露出
される前記ダミー絶縁層パターンを選択的に除去し、コ
ンタクトオープニングを形成する。前記コンタクトオー
プニングを埋め込んで、前記基板に電気的に接続される
導電層を形成する。前記導電層をエッチングして、前記
遮へい絶縁層及び前記層間絶縁層パターンにより取り囲
まれた導電性コンタクト体に分離する。
【0010】前記目的を達成するために、本発明の他の
観点は、集積回路基板上に下部絶縁層を介在するライン
状の導電パターンと前記導電パターンの側面を保護する
スペーサ及び前記導電パターンの上面を保護するハード
マスクを含む多数の導電ラインを形成する。前記ハード
マスク及びスペーサをエッチングマスクとして前記下部
絶縁層を選択的にエッチングして下部の前記基板を露出
する。前記ハードマスク及びスペーサを覆い、前記露出
される基板上を覆うように延びるストッパ層を形成す
る。前記ストッパ層上の導電ライン間のギャップにダミ
ー絶縁層を形成する。前記導電ライン間のギャップを選
択的に露出するダミーオープニングを与えるために、前
記ダミー絶縁層をパターニングする。前記ダミー絶縁層
を選択的に露出し、前記ダミーオープニングを埋め込む
層間絶縁層パターンを形成する。前記層間絶縁層パター
ンをエッチングマスクとして露出される前記ダミー絶縁
層パターンを選択的に除去し、前記ダミー絶縁層パター
ンが位置していた部位の下方の前記ストッパ層の部分を
露出するコンタクトオープニングを形成する。前記コン
タクトオープニングにより露出されたストッパ層の部分
を除去する。前記コンタクトオープニングを埋め込ん
で、前記基板に電気的に接続される導電層を形成する。
前記導電層及び前記層間絶縁層パターンをエッチングし
て前記スペーサ及び前記層間絶縁層パターンにより取り
囲まれた導電性コンタクト体に分離する。本発明によれ
ば、ゲートまたはビットラインなどの導電パターンが損
傷されたり、あるいはこの導電パターンの側壁または上
側を保護するスペーサまたはハードマスクが損傷された
りすることを防止し、さらに、導電性コンタクト体を形
成できる。
【0011】
【発明の実施の形態】以下、添付した図面に基づき、本
発明の望ましい実施形態について詳細に説明する。しか
し、本発明の実施形態は各種の形態に変形でき、本発明
の範囲が後述する実施形態によって限定されることはな
い。本発明の実施形態は当業界における通常の知識を有
した者に本発明をより完全に説明するために提供される
ものである。従って、図面における要素の形状はより明
確な説明のために誇張されている。また、同一の要素に
は同一の符号を使用した。さらに、ある層が他の層また
は半導体基板の"上"にあると記載される場合、前記ある
層は前記他の層または半導体基板に直接的に接触して存
在でき、あるいはそれらの間に第3の層が介在できる。
【0012】本発明の実施形態は、高いエッチング率を
有する絶縁物質からなるダミー絶縁層と、このダミー絶
縁層に比べて低いエッチング率を有する層間絶縁層を導
入し、ダミー絶縁層と層間絶縁層との間になされる高い
エッチング選択比を用いてダミー絶縁層を選択的に除去
することにより、コンタクト体が形成される部分を露出
するコンタクトオープニングを形成する点を記載する。
【0013】本発明の実施形態において、このコンタク
トオープニングを形成するために、ダミー絶縁層を選択
的にパターニングしてコンタクト体が形成されない部分
を露出するダミーオープニングを先に形成し、層間絶縁
層はこのダミーオープニングを埋め込むように形成され
る。その後、前記パターニングされたダミー絶縁層を除
去してコンタクトオープニングを形成する。コンタクト
オープニングを導電物質で埋め込み、全面エッチングま
たは研磨によりコンタクト体に分離する。
【0014】本発明によれば、既存のSACとは異なっ
て高い乾式エッチング選択比が要求されないので、デザ
インルールの急激な減少及び縦横比の増加に応えうるも
のとなる。
【0015】以下、図面を参照する本発明の実施形態を
通じて、本発明による半導体素子の導電性コンタクト体
の製造方法について具体的に説明する。
【0016】図1ないし図6は、本発明の第1実施形態
による半導体素子の導電性コンタクト体の製造方法を説
明するために概略的に示した平面図及び断面図である。
【0017】図1Aは、半導体基板100上にゲートラ
イン300を形成する段階を説明するために概略的に示
した平面図であり、図1Bは、図1AのX1-X1'切断線
に沿って示した断面図である。
【0018】具体的に、半導体基板100上に、通常の
トランジスター製造工程を用い、ゲートライン300を
ライン状または相互離隔されるように形成する。例え
ば、半導体基板100に浅いトレンチ分離工程を用いて
素子分離領域200を形成した後、素子分離領域200
によって設定される活性領域120上を横切るライン状
の導電パターンからゲート330、350を形成する。
【0019】ゲート330、350は、下部にゲート酸
化層310を挟んで形成される。ゲート330、350
は各種の導電物質から形成できるが、ゲート酸化層31
0上に多晶質シリコン層330及びシリサイド層350
を順次形成した後、これらをパターニングすることによ
り行われる。このとき、シリサイド層350はタングス
テンシリサイド(WSi)などの金属シリサイドからな
りうる。しかし、ゲートは、タングステン(W)などの
金属物質からなりうる。
【0020】前述したゲートパターニングを行う前に、
前記シリサイド層350上に絶縁物質、例えば、シリコ
ン窒化物(Si34)またはシリコン酸化物(Si
2)を蒸着してハードマスク370をさらに形成でき
る。ハードマスク370はシリコン酸窒化物(SiO
N)またはシリコン炭化物(SiC)、アルミニウム酸
化物(Al23)などから形成できる。このとき、シリ
コン酸化物から前記ハードマスク370を形成する場
合、膜質の密度を高く具現できる蒸着方法、例えば、化
学気相蒸着(CVD;Chemical Vapor D
eposition)またはPE-CVD(Plasm
a Enhanced −CVD)、AP-CVD(At
mosphere Pressure-CVD)、HDP
-CVD(HighDensity Plasma−CV
D)など、実質的にCVD方式によりシリコン酸化層を
形成することが望ましい。
【0021】これは、後続するコンタクト体の形成工程
において、ハードマスク370の高いエッチング選択比
を具現するためである。これにより、前記工程によって
ハードマスク370が損傷されて、実質的にゲート33
0、350が露出されることを防止できる。このハード
マスク370は、前記ゲート330、350をパターニ
ングするときに共にパターニングされて、ゲート33
0、350の上側を遮へいして保護する。このとき、ハ
ードマスク370の厚さは後続する工程条件によって変
わりうるが、シリコン窒化物から形成される場合、ほぼ
500Åないし1500Åの厚さで形成できる。
【0022】ゲート330、350が形成された後、ゲ
ート330、350の側壁を覆うスペーサ390を通常
のスペーサ工程により形成する。このとき、スペーサ3
90はシリコン窒化物またはシリコン酸化物などの絶縁
物質から形成できる。シリコン酸化物からスペーサ39
0を形成する場合、前述したように、後続するコンタク
ト体の形成工程中にスペーサ390が損傷されることを
防止して、実質的にゲート330、350の側壁が露出
されることを防止するために、高い選択比を具現するよ
うに一層高い膜質の密度で形成されることが望ましい。
例えば、CVDまたはPECVDを用い、スペーサ39
0をなすシリコン酸化物層が形成されることが望まし
い。さらに、シリコン酸窒化物またはシリコン炭化物、
アルミニウム酸化物などから前記スペーサ390を形成
できる。
【0023】前述したように、スペーサ390を側壁
に、ハードマスク370を上側に備えるゲート330、
350を含むゲートライン300を形成した後、実質的
に、スペーサ390及びハードマスク370上を覆うス
トッパ層395をさらに形成できる。このストッパ層3
95は、後続するコンタクト体の形成工程に用いられる
エッチングまたは研磨工程において、エッチングの終了
点として用いられる。さらに、ストッパ層395は前記
スペーサ390またはハードマスク370がこのような
エッチングまたは研磨工程中に激しく損傷されてゲート
330、350が露出されることを防止することをより
確実に保障する役目をする。
【0024】従って、前記ストッパ層395は、前記ス
ペーサ390及びハードマスク370を覆うライナー状
に形成でき、後続工程において、高い選択比を具現でき
る絶縁物質、例えば、シリコン窒化物から形成されるこ
とが望ましい。しかし、シリコン窒化物のほかにも、低
い乾式または湿式エッチング率を示せるシリコン酸窒化
物、シリコン炭化物またはアルミニウム酸化物から形成
できる。
【0025】このように、スペーサ390、ハードマス
ク370またはストッパ層395はゲート330、35
0などの導電パターンを後続するエッチング工程から保
護する遮へい絶縁層として用いられる。
【0026】一方、前述したように、半導体素子の高集
積化によるSAC工程の問題点は、主として半導体基板
100のセル領域110で生じるため、後述する本発明
の実施形態は、このセル領域110を対象として述べら
れる。
【0027】図2Aは、ダミー絶縁層400を形成し、
ダミー絶縁層400上にフォトレジストパターン550
を形成する段階を説明するために概略的に示した平面図
であり、図2Bは、図2AのX2-X2'-Y2-Y2'-Z2-Z
2'切断線に沿って示した断面図である。
【0028】具体的に、半導体基板100上にゲートラ
イン300間のギャップを埋め込むダミー絶縁層400
を形成する。ダミー絶縁層400は、ゲートライン30
0間のギャップを十分に埋め込む程度の流動性を有する
絶縁物質から形成される。また、ハードマスク370ま
たはスペーサ390、実質的にはストッパ層395に比
べて高い乾式又は/及び湿式エッチング率を有して、こ
のような膜質に対し高いエッチング選択比を有しうる絶
縁物質からダミー絶縁層400を形成することが望まし
い。
【0029】これは、本発明において必須に伴う後続す
るダミー絶縁層400をパターニングまたは除去する工
程により、スペーサ390またはハードマスク370が
激しく損傷されてゲート330、350に侵害が生じる
ことを確実に防止するためである。加えて、前記ダミー
絶縁層400は、以降に形成される実質的な層間絶縁層
をなす絶縁物質に比べて極めて高い湿式または乾式エッ
チング率を有する絶縁物質からなることが望ましい。
【0030】従って、ダミー絶縁層400は、前記スト
ッパ層395及び後続して形成される実質的に層間絶縁
層として用いられる絶縁物質によって、各種の絶縁物質
が用いられる。しかし、ダミー絶縁層400は、少なく
ともストッパ層395及び後続して形成される、実質的
に層間絶縁層として用いられる絶縁物質に対して極めて
高い湿式または乾式エッチング選択比を呈しうる絶縁物
質から形成されることが望ましい。
【0031】例えば、前記ダミー絶縁層400は、流動
性酸化物(FOX;Flowable Oxide;ダ
ウコーニング社製)または水素シルセスキオキサン(H
SQ;HydroSilsesQuioxane)など
のように、流動性に富んでいる特性を示すシリコン酸化
物から形成できる。これらのFOX層またはHSQ層
は、スピンオン方式などの塗布方式により、ゲートライ
ン300を覆うように形成される。この膜質のほかに
も、前記スピンオン方式により塗布される膜質、例え
ば、SOG(Spin On Glass)系の絶縁物質
は、実質的に、通常のシリコン酸化物に比べて高い湿式
エッチング率を示すと知られているので、前記ダミー絶
縁層400に適用できる。
【0032】この説明において、通常のシリコン酸化物
とは、塗布方式ではない方式により膜質をなすシリコン
酸化物を言う。例えば、HDP(High Densi
tyPlasma)−CVDシリコン酸化物またはCV
D-シリコン酸化物のように、実質的にCVD方式によ
り形成されるシリコン酸化物を言う。あるいは、BPS
G(BoroPhospho Silicate Gla
ss)またはTEOS(Tetra Ethyl Ort
ho Silicate)などのシリコン酸化物を言
う。
【0033】このように、通常のシリコン酸化物は、前
記FOXまたはHSQに比べて極めて低い湿式エッチン
グ率を示すと知られている。例えば、HDP-CVDシ
リコン酸化物の場合、FOXに比べて希釈されたフッ酸
(HF)溶液に対しほぼ1/100以下と低い湿式エッ
チング率を示すと知られている。このように、FOX層
などの高い湿式エッチング率は、主としてFOX層など
が通常のシリコン酸化物層に比べて極めて低い密度を示
すのに起因すると知られている。
【0034】さらに、ダミー絶縁層400は、以降に湿
式または乾式エッチングにより選択的に除去されるた
め、前述のように塗布により形成されるシリコン酸化物
の膜質のほかにも、ポリマーよりなる有機物質として高
い乾式エッチング率を示せる絶縁物質から前記ダミー絶
縁層400を形成できる。すなわち、ポリマーなどの有
機物は、一般に、シリコン酸化物などの無機物に対して
極めて高い乾式エッチング率を示す。このとき、乾式エ
ッチング率は通常の異方性乾式エッチングを意味するよ
りはむしろアッシングなどの等方性乾式エッチングを意
味する。
【0035】例えば、写真工程に用いられるレジスト物
質などの有機物質を前記ダミー絶縁層400として用い
うる。そして、写真エッチング工程において、有機反射
防止層ARLとして用いられる有機物質もやはり前記ダ
ミー絶縁層400として用いうる。一方、有機絶縁体と
しては、フレアー(FLARE;"AlliedSig
nal Advanced Microelectron
ic Materials"で製造)またはSiLKなど
の物質が挙げられる。これらの有機物質は、アッシング
または酸素ソースを用いる乾式エッチングなどにより、
高いエッチング率で除去できる。
【0036】一方、前述のように、HSQまたはFOX
よりなるダミー絶縁層400は、HSQまたはFOXの
豊富な流動性によって比較的に平らな表面を有しうる。
したがって、平らな表面を有するダミー絶縁層400上
にフォトレジスト層を形成し、露光及び現像を行って、
セル領域110において、コンタクト体が位置する部分
以外の部分を露出する部分600と設定するフォトレジ
ストパターン550を形成する。微細なフォトレジスト
パターン550を形成するために、フォトレジスト層の
下部に反射防止層510をさらに形成できる。
【0037】ダミー絶縁層400のコンタクト体が形成
されない位置を露出する部分600は、活性領域120
と活性領域120との間の素子分離領域200上に該当
する。活性領域120は(-)の形態または(T)の形
態が配列された状態よりなりうるため、これらの活性領
域120間を露出する長い楕円形または(-)の形とし
てフォトレジストパターン550による露出部分600
が設定できる。したがって、フォトレジストパターン5
50は、コンタクト体が形成される部分を実質的に覆っ
て遮へいする。
【0038】一方、このように形成されるダミー絶縁層
400上に、ダミー絶縁層400に比べて緻密な構造を
有する物質よりなる保護ライナー層(図示せず)が前記
反射防止層510の下部に導入できる。この保護ライナ
ー層は、フォトレジストパターン550を形成する現像
工程などにおいて、ダミー絶縁層400が損傷されるこ
とを防止するために導入される。したがって、この保護
ライナー層は、例えば、PECVD、AP-CVD、H
DP-CVDのようにCVDに基づく方法により形成さ
れるシリコン酸化物層から形成できる。
【0039】図3Aは、ダミー絶縁層400をパターニ
ングしてダミーオープニング450を有するダミー絶縁
層パターン401を形成する段階を説明するために概略
的に示した平面図であり、図3Bは、図3AのX3-X3'
-Y3-Y3'-Z3-Z3'切断線に沿って示した断面図であ
る。
【0040】具体的に、前記フォトレジストパターン5
50をエッチングマスクとしてダミー絶縁層400を選
択的にエッチングし、ゲートライン300間を露出する
ダミーオープニング450を有するダミー絶縁層パター
ン401を形成する。このとき、前記ダミーオープニン
グ450を形成するエッチング工程は選択的な乾式エッ
チング工程により行われ、このようなエッチング工程の
エッチング終了はストッパ層395によってなされう
る。このように形成されたダミーオープニング450
は、コンタクト体が形成されない部分のゲートライン3
00間を実質的に露出させる。
【0041】一方、ダミー絶縁層400が有機物質より
なる場合、前記ダミー絶縁層パターン401を形成する
パターニング工程は、別途のエッチングマスク(図示せ
ず)を用い、酸素ソースを用いる乾式エッチングまたは
アッシング工程により行いうる。また、前記フレアーを
用いてダミー絶縁層400を形成する場合には、窒素及
び水素ガスを含むエッチングガスを用い、乾式エッチン
グにより前記パターニング工程を行いうる。このとき、
フォトレジストパターン550及びダミー絶縁層400
をなすフレアーは前記乾式エッチングにおいてエッチン
グ選択比を示すため、前記別途のエッチングマスクは省
略できる。
【0042】このように形成されたダミーオープニング
450は下部のゲートライン300に交差するように形
成されることが望ましい。したがって、ダミーオープニ
ング450によって多数のゲートライン300が露出で
きる。このため、ダミーオープニング450を形成する
とき極めて高い解像度の写真工程が要求されず、その結
果、写真工程のマージンを大きく確保できる。
【0043】図4Aは、ダミーオープニング450を埋
め込む層間絶縁層パターン700を形成する段階を説明
するために概略的に示した平面図であり、図4Bは、図
4AのX4-X4'-Y4-Y4'-Z4-Z4'切断線に沿って示し
た断面図である。
【0044】具体的に、ダミーオープニング450を埋
め込む層間絶縁層を形成する。そして、層間絶縁層を化
学機械的な研磨(Chemical Mechanic
alPolishing;以下"CMP"と称する)また
は乾式エッチバックしてダミーオープニング450内に
限定させる。このとき、湿式エッチバックも用いうる。
このような研磨またはエッチバックはダミー絶縁層パタ
ーン401の表面が露出されるように行われ、その結
果、層間絶縁層パターン700が形成される。
【0045】一方、前記層間絶縁層は前記ダミー絶縁層
パターン401に比べて極めて低い湿式または乾式エッ
チング率を有する絶縁物質から形成されることが望まし
い。例えば、前述のように、ダミー絶縁層パターン40
1がFOXまたはHSQなど、塗布方式によるシリコン
酸化物から形成される場合、層間絶縁層パターン700
は前記FOXまたはHSQなどの物質に比べて密度が高
いため、湿式エッチング率が相対的に低いCVD-シリ
コン酸化物から形成できる。例えば、前記層間絶縁層パ
ターン700は、通常のBPSGなどのシリケートガラ
ス類から形成されるか、あるいはHDP-CVD、AP-
CVDまたはPE CVDなどのCVD方式により形成
されるシリコン酸化物またはTEOSから形成できる。
【0046】また、層間絶縁層パターン700は、ダミ
ー絶縁層パターン401が通常のシリコン酸化物よりな
るとき、シリコン窒化物から形成できる。シリコン窒化
物は、通常、シリコン酸化物に比べて極めて低い湿式エ
ッチング率を示すので、前述のような組み合わせが可能
である。または、シリコン酸化物に比べて極めて低い湿
式エッチング率を示すシリコン酸窒化物、シリコン炭化
物またはアルミニウム酸化物からも、層間絶縁層パター
ン700は形成できる。
【0047】さらに、前記ダミー絶縁層パターン401
をFOXなどの物質から形成し、層間絶縁層パターン7
00をTOSZ(Tonnen社製)などのSOG系の
絶縁物質から形成できる。このとき、TOSZから層間
絶縁層パターン700を形成する場合、TOSZを蒸着
した後で湿式アニーリングを行って緻密化を図る段階が
伴われる。この湿式アニーリングは、前記ダミー絶縁層
パターン401をなすFOXなどの絶縁物質も緻密化で
きる。これは、この実施形態において有害なため、ダミ
ー絶縁層パターン401のFOXなどが湿式アニーリン
グから影響されることを防止するために、TOSZを蒸
着する前に、ダミー絶縁層パターン401が形成された
結果物上にシリコン窒化物などの反応防止層をさらに形
成する。この反応防止層は、前記湿式アニーリングにお
いて酸素のダミー絶縁層パターン401への拡散または
浸透を防止し、その結果、ダミー絶縁層パターン401
をなすFOXなどの緻密化を防止できる。
【0048】結論的に、ダミー絶縁層パターン401は
層間絶縁層パターン700に比べて極めて高い湿式(場
合によっては乾式)エッチング率を示す絶縁物質であれ
ば、いかなる絶縁物質からなっても関係ない。逆に、層
間絶縁層パターン700はダミー絶縁層パターン401
に比べて低い湿式(場合によっては乾式)エッチング率
を有して、ダミー絶縁層パターン401に比べて高い湿
式(または乾式)エッチング選択比を有する絶縁物質で
あれば、いかなる絶縁物質からなっても関係ない。
【0049】一方、このような層間絶縁層パターン70
0は、ゲートライン300間を埋め込む必要があるた
め、これらのゲートライン300間の縦横比が極めて高
くなり、その結果、その内部にシームまたはボイド70
5が生じる場合がある。このボイド705は層間絶縁層
パターン705の中心部に生じうるが、層間絶縁層パタ
ーン700をなす絶縁物質によって閉鎖されて隔離され
た状態で存在することになる。すなわち、CVDの蒸着
特性により層間絶縁層パターン700がオープニング4
50の内側面及び底面から共に成長されて、ボイド70
5がゲートライン300間の層間絶縁層パターン700
の中心部に生じる。このため、ボイド705が層間絶縁
層パターン700の両側面から外側に延びて側方向に露
出されない。これにより、後続する導電物質の蒸着工程
において、このようなボイド705またはシームに導電
物質が埋め込まれて残留しても、この残留する導電物質
がブリッジなどの電気的な短絡を与える不良として作用
することを防止できる。
【0050】図5Aは、ダミー絶縁層パターン401を
選択的に除去する段階を説明するために概略的に示した
平面図であり、図5Bは、図5AのX5-X5'-Y5-Y5'-
5-Z5'切断線に沿って示した断面図である。
【0051】具体的に、層間絶縁層パターン700をエ
ッチングマスクとしてダミー絶縁層パターン401を選
択的に除去し、コンタクトオープニング750を形成す
る。このため、コンタクトオープニング750はダミー
絶縁層パターン401が位置していた部分に位置するこ
とになる。このとき、前述のように、半導体素子の集積
化によるSAC工程の問題点は主としてセル領域110
で生じるので、セル領域110を選択的に露出するセル
オープンを施しうる。例えば、層間絶縁層パターン70
0及びダミー絶縁層パターン401が形成された結果物
上にセル領域110を選択的に露出する別途の第2フォ
トレジストパターン(図示せず)またはエッチングマス
ク(図示せず)を形成するセルオープン工程をダミー絶
縁層パターン401を選択的に除去する工程前に行いう
る。これらの第2フォトレジストパターンまたはエッチ
ングマスクはセル領域110以外の周辺領域またはコア
領域を遮へいするので、周辺領域またはコア領域におけ
る前記ダミー絶縁層パターンの部分は前記ダミー絶縁層
パターン401を除去する段階後にも残存できる。
【0052】前記ダミー絶縁層パターン401の除去
は、湿式エッチング液を導入する湿式エッチングを使っ
て行う。この湿式エッチングは、前記ダミー絶縁層パタ
ーン401と層間絶縁層パターン700との湿式エッチ
ング率の違いを用いた選択的なエッチングにより行われ
る。湿式エッチング液としては、通常の湿式エッチング
工程に用いられるエッチング液を用いうる。例えば、シ
リコン酸化物に対する希釈されたHF溶液またはBOE
(Buffered Oxide Etchant)溶液
などをエッチング液として用いうる。
【0053】ダミー絶縁層パターン401をなす前記H
SQまたはFOXなどは、層間絶縁層パターン700を
なす通常のシリコン酸化物に比べて極めて高い湿式エッ
チング速度を示す。例えば、FOXよりなるダミー絶縁
層パターン401に比べて、CVD-シリコン酸化物よ
りなる層間絶縁層パターン700は、フッ酸溶液を含む
湿式エッチング液に対してほぼ100:1またはそれ以
下の低い湿式エッチング率を示す。このように、ダミー
絶縁層パターン401及び層間絶縁層パターン700が
ほぼ100:1の高い湿式エッチング比を示せるので、
前記湿式エッチングは実質的にダミー絶縁層パターン4
01を選択的に除去することになる。
【0054】さらに、前述のように、層間絶縁層パター
ン700が湿式アニーリングが行われて緻密化されたT
OSZなどのSOG系よりなる場合、ダミー絶縁層パタ
ーン401をなすFOXなどは前記湿式エッチング時に
極めて高いエッチング選択比を具現し、選択的に除去さ
れる。
【0055】このようなダミー絶縁層パターン401を
除去する湿式エッチングはダミー絶縁層パターン401
が完全に除去されるまで行われる。すなわち、下部のス
トッパ層395をエッチング終了として前記湿式エッチ
ングを行う。ストッパ層395は、前述のように、シリ
コン窒化物よりなりうるので、ダミー絶縁層パターン4
01に比べて極めて低い湿式エッチング率を示せ、その
結果、エッチング終了の作用を十分に果たしうる。
【0056】したがって、前記湿式エッチングによって
下部のスペーサ390及びハードマスク370が損傷さ
れることを防止できる。そして、実質的にスペーサ39
5及びハードマスク370が、前述のようにシリコン窒
化物よりなる場合、前記ダミー絶縁層パターン401は
スペーサ395及びハードマスク370に対して極めて
高い湿式選択比にて除去されるので、実質的にスペーサ
395及びハードマスク370が損傷されることが防止
できる。さらに、従来のSAC工程における乾式エッチ
ングによる侵食発生の恐れがないので、前記スペーサ3
95及びハードマスク370の損傷が一層確実に防止で
きる。
【0057】前述のように、ダミー絶縁層パターン40
1を湿式エッチングにより選択的に除去した後、露出さ
れるストッパ層395を別途に除去してゲート330、
350のスペーサ395に隣接する下部の半導体基板1
00を露出させるコンタクトオープニング750を形成
する。この半導体基板100は活性領域120を含んで
いて、後続して形成されるコンタクト体が電気的に接続
される部分を活性領域120は含む。
【0058】このコンタクトオープニング750は、通
常のSAC工程において形成するコンタクトホールがゲ
ートライン間の特定の半導体基板の部分だけを選択的に
露出することとは異なって、多数のゲートライン300
及びこれに隣接する半導体基板100上を共に露出する
ように形成される。
【0059】一方、ダミー絶縁層パターン401が有機
物質から形成される場合、酸素ソースを用いる乾式エッ
チングまたはアッシングにより、シリコン酸化物などの
無機物よりなる層間絶縁層パターン700に対して選択
的にダミー絶縁層パターン401は除去できる。さら
に、ダミー絶縁層パターン401がフレアーなどよりな
る場合には、窒素及び水素ガスを含むエッチングガスを
用いる乾式エッチング工程により前記選択的な除去工程
を行いうる。
【0060】加えて、このようにコンタクトオープニン
グ750の側壁をなす層間絶縁層パターン700の側壁
には、層間絶縁層パターン700内に生じたボイド(図
4Bの705)が延びたり、あるいは露出されることは
ない。これは、前述のように、層間絶縁層パターン70
0の蒸着特性によるものである。
【0061】図6Aは、コンタクトオープニング750
によって露出された半導体基板100に電気的に接続さ
れる導電性コンタクト体800を形成する段階を説明す
るために概略的に示した平面図であり、図6Bは、図6
AのX6-X6'-Y6-Y6'-Z6-Z6'切断線に沿って示した
断面図である。
【0062】具体的に、形成されたコンタクトオープニ
ング700を埋め込んで露出される半導体基板100に
電気的に接続される導電層を蒸着した後、導電層の全面
をCMPまたは全面エッチバックして導電層をゲートラ
イン300間に各々分離し、導電性コンタクト体800
を形成する。これにより、導電性コンタクト体800の
対向する両側壁はゲート330、350のスペーサ39
0によって分離され、対向する他の両側壁は層間絶縁層
700によって分離される。
【0063】導電層は通常の導電体、例えば、多晶質シ
リコン、タングステン、チタニウム(Ti)、チタニウ
ム窒化物(TiN)、タングステン(W)、タングステ
ンシリサイド(WSi)、白金(Pt)、アルミニウム
(Al)または銅(Cu)などよりなりうる。前記CM
Pまたは全面エッチバックはストッパ層395またはス
トッパ層395の上部のハードマスク370によって終
了できる。このとき、層間絶縁層700の中心部に存在
するシームまたはボイド(図4Aの750)はコンタク
トオープニング750の側面に露出あるいは延びないの
で、前記導電層を蒸着するとき、シームまたはボイドに
導電物質が埋め込まれて生じるブリッジなどの導電性コ
ンタクト体800間の短絡の不良が生じない。
【0064】前述のように、本発明の第1実施形態によ
れば、湿式エッチング選択比を用い、ダミーオープニン
グ450に埋め込まれた層間絶縁層700をエッチング
マスクとしてダミー絶縁層パターン401を選択的に除
去できる。このとき、前記選択的なエッチング工程が高
い湿式エッチング選択比を具現するので、ゲート33
0、350のスペーサ390及びハードマスク370の
損傷または下部のゲート330、350の損傷が防止で
きる。さらに、ダミーオープニング450を形成する工
程によってスペーサ390またはハードマスク370の
露出される部位が損傷されても、この露出部位は後続す
る層間絶縁層700によって遮へいされるので、電気的
な短絡などが十分に防止できる。
【0065】さらに、従来のSAC工程とは異なって、
高い縦横比を要するコンタクトホールの形成工程が本発
明の第1実施形態では不要であって、コンタクトホール
の底面臨界線幅の確保が難しく、しかも極めて高い乾式
エッチング選択比を要する乾式エッチング工程が不要で
ある。または、高い解像度を要する写真工程が排除でき
る。
【0066】前述のように、第1実施形態においては、
ダミー絶縁層パターン401と層間絶縁層パターン70
0との間の高い湿式エッチング選択比を具現するため
に、ダミー絶縁層パターン401をFOXまたはHSQ
など、塗布により形成されるシリコン酸化物のように高
い湿式エッチング率を有する絶縁物質から形成し、層間
絶縁層パターン700を通常のシリコン酸化物、例え
ば、実質的にCVDによって形成されるシリコン酸化物
から形成すると記載したが、本発明がこれに限定される
ことはない。
【0067】実質的に、ダミー絶縁層パターン401を
通常のシリコン酸化物から形成しても、層間絶縁層パタ
ーン700をシリコン窒化物などのシリコン酸化物及び
湿式エッチング選択比が高い絶縁物質から形成する場
合、第1実施形態において述べたような本発明の効果を
具現できる。
【0068】そして、層間絶縁層パターン700をシリ
コン窒化物から形成し、ダミー絶縁層パターン401を
通常のシリコン酸化物から形成すれば、ダミー絶縁層パ
ターン401を除去する工程をセル領域110内におい
て選択的に行いうる。これにより、セル領域110以外
の領域、例えば、コア領域または周辺領域にダミー絶縁
層を選択的に残留でき、この残留されるダミー絶縁層は
実質的に半導体装置の絶縁層として用いられる。
【0069】一方、通常のシリコン酸化物をエッチング
する湿式エッチバック、例えば、BOEまたはHF溶液
などはシリコン窒化物に対しては極めて低い湿式エッチ
ング率を示す。したがって、前記湿式エッチング液を用
いる湿式エッチングは、極めて高いエッチング選択比に
てダミー絶縁層パターン401を選択的に除去できる。
【0070】そして、このようにシリコン窒化物から層
間絶縁層パターン700を形成する場合、ダミーオープ
ニング450を形成する乾式エッチング工程によってス
トッパ層395、ハードマスク370またはスペーサ3
90などが損傷されても、このダミーオープニング45
0を埋め込む層間絶縁層パターン700のシリコン窒化
物によって補償できる。
【0071】このように、本発明の第1実施形態は、ゲ
ート330、350間のギャップを埋め込む導電性コン
タクト体800、例えば、DCパッドまたはBCパッド
を形成する場合を例として挙げているが、本発明は各種
のコンタクト体、例えば、キャパシターのストレージノ
ードパッドまたは導電性プラグなどにも適用できる。以
下、前述のような本発明の変形を本発明の第2実施形態
を通じて詳細に説明する。
【0072】図7ないし図9は、本発明の第2実施形態
の導電ライン間を埋め込むダミー絶縁層を形成する方法
を説明するために概略的に示した断面図であり、図10
は、本発明の第2実施形態によるダミー絶縁層上にフォ
トレジストパターンを形成する段階を概略的に示した平
面図であり、図11は、図10のA1-A1'-A2-A2'-A
3-A3'-A4-A4'切断線に沿う断面図である。そして、
図12ないし図18は、本発明の第2実施形態による導
電性コンタクト体を形成する方法を説明するために図1
0のA1-A1'-A2-A2'-A3-A3'-A4-A4'切断線に沿
う断面に対して示した断面図である。
【0073】図7は、半導体基板1100上にビットラ
インパターン1310、1330を形成する段階を概略
的に示したものである。
【0074】具体的に、半導体基板1100上に下部絶
縁層1200を形成する。半導体基板1100上にはゲ
ートラインを含むトランジスター構造(図示せず)など
が形成されている場合もある。前記下部絶縁層1200
は、通常の絶縁物質、例えば、シリコン酸化物から形成
できる。
【0075】次に、下部絶縁層1200上に障壁層13
10及び導電パターン1330よりなるビットラインパ
ターン1310、1330を通常の工程により形成す
る。障壁層1310としては、チタニウム/チタニウム
窒化物(Ti/TiN)の二重層を用いうる。導電パタ
ーン1330はタングステンWなどの導電物質よりなり
うる。次に、ビットラインパターン1310、1330
の上側を保護するハードマスク1350及び側壁を保護
するスペーサ1370を形成する。これらのハードマス
ク1350及びスペーサ1370は、シリコン窒化物か
ら形成されることが望ましい。しかし、シリコン酸化
物、シリコン酸窒化物、シリコン炭化物またはアルミニ
ウム酸化物などの絶縁物質からも形成できる。
【0076】図8は、スペーサ1370によって露出さ
れる下部絶縁層1200の部分を選択的にパターニング
する段階を概略的に示したものである。
【0077】具体的に、スペーサ1370及びハードマ
スク1350をエッチングマスクとして、露出される下
部絶縁層1200を選択的にエッチングする。このエッ
チングによって下部の半導体基板1100を露出する。
第2実施形態においては、半導体基板1100上を露出
することを例示して説明したが、下部絶縁層1200の
下部に他の導電パッド(図示せず)が存在し、その結
果、前記エッチングによって導電パッドが選択的に露出
される場合もある。
【0078】図9は、ハードマスク1350及びスペー
サ1370を覆うストッパ層1390を形成する段階を
概略的に示したものである。
【0079】具体的に、半導体基板1100上に露出さ
れた、結果物上を覆うストッパ層1390を低い乾式エ
ッチング率または湿式エッチング率を有する絶縁物質か
ら形成する。ストッパ1390層は、後続工程において
エッチング終了のための膜質であるから、エッチング選
択比を示せる低いエッチング率の絶縁物質から形成され
ることが望ましい。例えば、シリコン窒化物を蒸着して
薄膜を形成し、これをストッパ層1390として用い
る。
【0080】一方、このストッパ層1390は、前記下
部絶縁層1200のエッチングによってハードマスク1
350またはスペーサ1370が損傷される場合、この
ような損傷を回復させる役目をもさらにする。
【0081】図10は、ダミー絶縁層1400上にフォ
トレジストパターン1500を形成する段階を説明する
ために概略的に示した平面図であり、図11は、図10
のA 1-A1'-A2-A2'-A3-A3'-A4-A4'切断線に沿う
断面図である。そして、図12ないし図18は、図10
のA1-A1'-A2-A2'-A3-A3'-A4-A4'切断線に沿う
断面に対して示した断面図である。
【0082】具体的に、ビットラインパターン131
0、1330間のギャップを埋め込むダミー絶縁層14
00を形成する。ダミー絶縁層1400は、ビットライ
ンパターン1310、1330間のギャップを十分に埋
め込む程度の流動性を有する絶縁物質から形成される。
また、ハードマスク1350及びスペーサ1370及び
乾式または湿式エッチング選択比を有しうる絶縁物質か
らダミー絶縁層1400を形成する。加えて、ダミー絶
縁層1400は、以後に形成される実質的な層間絶縁層
として用いられる絶縁物質よりも低い湿式エッチング率
を有する絶縁物質よりなることが望ましい。
【0083】したがって、前記ダミー絶縁層1400
は、FOXまたはHSQなど流動性に富んでいる特性を
示すシリコン酸化物から形成できる。また、ダミー絶縁
層1400は、以降に湿式エッチングによって選択的に
除去されるため、前述のように、塗布によって形成され
るシリコン酸化物の膜質のほかにも、ポリマーなどの有
機物質として絶縁特性を有する物質などから前記ダミー
絶縁層1400を形成できる。
【0084】例えば、写真工程に用いられるレジスト物
質を塗布し、これを前記ダミー絶縁層1400として用
いうる。そして、有機反射防止層として用いられる有機
物質もやはり前記ダミー絶縁層1400として用いう
る。一方、有機絶縁体としては、フレアーまたはSiL
Kなどの物質が挙げられる。
【0085】ダミー絶縁層1400上にフォトレジスト
層を形成し露光及び現像を行って、コンタクト体が位置
する部分の以外の部分を露出する部分1600と設定す
るフォトレジストパターン1500を形成する。ダミー
絶縁層1400のコンタクト体が形成されない部分の露
出する部分600はビットライン1300と交差するよ
うに長く設定できる。したがって、フォトレジストパタ
ーン1500はコンタクト体が形成される部分を実質的
に覆って遮へいする。
【0086】一方、前述のように、ダミー絶縁層140
0をレジスト物質、有機反射防止層物質または有機絶縁
体などの有機絶縁物質から形成する場合、このダミー絶
縁層1400上に保護ライナー層(図示せず)をさらに
形成できる。前述のように形成される保護ライナー層
は、フォトレジストパターン1500を現像する工程か
らダミー絶縁層1400を保護する役目をする。
【0087】この場合、保護ライナー層はシリコン酸化
物、シリコン窒化物、シリコン酸窒化物またはアルミニ
ウム酸化物から形成できる。さらに、一般に、前記有機
絶縁物質は熱に弱いと知られているので、前記保護ライ
ナー層を形成する工程は低い温度、例えば、400℃以
下でCVDにより行われるか、あるいは塗布方式または
液状蒸着により行われることが望ましい。
【0088】図12は、ダミー絶縁層400をパターニ
ングしてダミーオープニング1455を有するダミー絶
縁層パターン1450を形成する段階を概略的に示した
ものである。
【0089】具体的に、前記フォトレジストパターン1
500をエッチングマスクとしてダミー絶縁層1400
を選択的にエッチングし、ビットライン1300間を露
出するダミーオープニング1455を有するダミー絶縁
層パターン1450を形成する。このとき、前記ダミー
オープニング1455を形成するエッチング工程は選択
的な乾式エッチング工程により行われうる。また、この
エッチング工程のエッチング終了はダミー絶縁層140
0をなす絶縁物質及びエッチング選択比を有する絶縁物
質、例えば、シリコン窒化物よりなるストッパ層139
0によってなされうる。このように形成されたダミーオ
ープニング1455は、コンタクト体が形成されない部
分のビットライン1300間を露出させる。
【0090】一方、ダミー絶縁層1400が有機絶縁体
よりなる場合には、エッチングガスとして窒素及び水素
を含む反応ガスを用いる乾式エッチング方法を用いう
る。
【0091】図13は、ダミーオープニング1455を
埋め込む層間絶縁層1700を形成する段階を概略的に
示したものである。
【0092】具体的に、ダミーオープニング1455を
埋め込む層間絶縁層1700を形成する。前記層間絶縁
層1700は前記ダミー絶縁層パターン1450に比べ
て低い乾式または湿式エッチング率を有する絶縁物質か
ら形成されることが望ましい。例えば、前述のように、
ダミー絶縁層パターン1450がFOXまたはHSQな
ど、塗布方式によるシリコン酸化物から形成される場
合、層間絶縁層1700は前記FOXまたはHSQなど
の物質に比べて密度が高く、かつ高い湿式エッチング率
を有するCVD-シリコン酸化物から形成できる。この
CVD-シリコン酸化物は、実質的にCVDを用いて形
成されるシリコン酸化物を意味する。したがって、前記
層間絶縁層1700は通常のBPSGなどのシリケート
ガラス類から形成されるか、あるいはHDP-CVD、
AP-CVDまたはPE CVDなどのCVD方式により
形成されるシリコン酸化物から形成できる。 また、層
間絶縁層1700はシリコン窒化物、シリコン炭化物
(SiC)、シリコン酸窒化物(SiON)またはアル
ミニウム酸化物(Al23)などの絶縁物質から形成で
きる。望ましくは、シリコン窒化物から形成される。
【0093】一方、ダミー絶縁層パターン1450が、
前記有機絶縁物質、例えば、レジスト物質、有機反射防
止層物質または有機絶縁体などの有機絶縁物質から形成
される場合、一般に、この有機絶縁物質は熱に弱いと知
られているため、前記層間絶縁層1700を形成する工
程は低い温度、例えば、400℃以下でCVDにより行
われるか、あるいは塗布方式または液状蒸着により行わ
れることが望ましい。
【0094】図14は、層間絶縁層1700を研磨また
はエッチングしてダミー絶縁層パターン1450を露出
する段階を概略的に示したものである。
【0095】具体的に、層間絶縁層1700をCMPま
たは、乾式または湿式エッチバックして下部のダミー絶
縁層パターン1450の表面を露出することにより、ダ
ミーオープニング1455内に限定させる。
【0096】図15は、露出されるダミー絶縁層パター
ン1450を選択的に除去する段階を概略的に示したも
のである。
【0097】具体的に、層間絶縁層1700をエッチン
グマスクとして露出されるダミー絶縁層パターン145
0を選択的に除去し、コンタクトオープニング1750
を形成する。コンタクトオープニング1750はダミー
絶縁層パターン1450が位置していた部分を露出す
る。
【0098】前記ダミー絶縁層パターン1450の除去
は、湿式エッチングを導入する選択的な湿式エッチング
により行われる。この湿式エッチングは、ダミー絶縁層
パターン1450と層間絶縁層1700との湿式エッチ
ング率の違いを用いた選択的なエッチングにより行わ
れ、湿式エッチング液としては、通常のシリコン酸化物
に対するエッチング液、例えば、HF溶液またはBOE
溶液などを用いうる。
【0099】ダミー絶縁層パターン1450をなす前記
FOXなどは、層間絶縁層1700をなすシリコン窒化
物などに対して極めて高い湿式エッチング速度を示す。
このため、下部のストッパ1390またはスペーサ13
50及びハードマスク1370を実質的に損傷せずと
も、選択的にダミー絶縁層パターン1450を除去でき
る。
【0100】ダミー絶縁層パターン1450が有機物質
よりなる場合、前述のように、ダミー絶縁層パターン1
450の除去は、酸素ソースを用いる乾式エッチングま
たはアッシング工程または窒素及び水素ガスを反応ガス
として用いる乾式エッチング工程によって行われうる。
【0101】図16は、露出されるストッパ層1390
の部分を除去する段階を概略的に示したものである。
【0102】具体的に、前述のように、ダミー絶縁層パ
ターン1450を湿式または乾式エッチングにより選択
的に除去した後、露出されるストッパ層1390の部分
を除去してコンタクト体が接続される半導体基板110
0の部分を露出するコンタクトオープニング1750を
形成する。このとき、半導体基板1100上に半導体基
板1100に電気的に接続される導電パッド(図示せ
ず)が導入される場合、前記ストッパ層1390の除去
によってコンタクトオープニング1750に導電パッド
の表面が露出される。
【0103】図17は、コンタクトオープニング175
0を埋め込む導電層1800を形成する段階を概略的に
示したものである。
【0104】具体的に、形成されたコンタクトオープニ
ング1750を埋め込んで露出される半導体基板110
0に電気的に接続される導電層1800を蒸着する。こ
の導電層1800は多晶質シリコン、タングステン、チ
タニウム、チタニウム窒化物、タングステン、タングス
テンシリサイド(WSi)、白金、アルミニウムまたは
銅などよりなりうる。
【0105】図18は、導電層1800をエッチングま
たは研磨してコンタクト体1850を形成する段階を概
略的に示したものである。具体的に、導電層1800の
全面をエッチバックまたはCMPを用いて研磨またはエ
ッチングし、導電性コンタクト体1850を分離する。
したがって、前記エッチバックまたはCMPはストッパ
層1390が露出されるように行い、導電層1800を
コンタクトオープニング1750と完全に分離して導電
性コンタクト体1850を形成する。このように、導電
性コンタクト体1850は、キャパシターのストレージ
ノードパッドとして用いられる。
【0106】
【発明の効果】以上述べたように、本発明によれば、ダ
ミー絶縁層パターン及び層間絶縁層パターンの高いエッ
チング選択比を用いた湿式または乾式エッチング工程を
コンタクト体を形成するときに伴うエッチング工程に適
用できる。これにより、ゲートまたはビットラインパタ
ーンなどの導電パターンを保護するスペーサ及びハード
マスクが乾式エッチング工程によって損傷されることを
防止できる。実質的に、ダミーオープニングを形成する
ときに用いられる選択的な乾式エッチング工程によって
ストッパ層またはスペーサ及びハードマスクが損傷され
ても、このダミーオープニングは層間絶縁層パターンに
よって埋め込まれるので、前記ストッパ層またはスペー
サ及びハードマスクの損傷部位は層間絶縁層パターンに
よって補完できる。このため、前述のように損傷が生じ
ても、この損傷を容易に復旧できる。
【0107】以上、本発明を具体的な実施形態を通じて
詳細に説明したが、本発明はこれに限定されることな
く、本発明の技術的な思想内において当分野における通
常の知識を有した者なら、これより各種の変形や改良が
可能であるということは言うまでもない。
【図面の簡単な説明】
【図1】本発明の第1実施形態を説明するために概略的
に示した平面図及び断面図である。
【図2】本発明の第1実施形態を説明するために概略的
に示した平面図及び断面図である。
【図3】本発明の第1実施形態を説明するために概略的
に示した平面図及び断面図である。
【図4】本発明の第1実施形態を説明するために概略的
に示した平面図及び断面図である。
【図5】本発明の第1実施形態を説明するために概略的
に示した平面図及び断面図である。
【図6】本発明の第1実施形態を説明するために概略的
に示した平面図及び断面図である。
【図7】本発明の第2実施形態を説明するために概略的
に示した図である。
【図8】本発明の第2実施形態を説明するために概略的
に示した図である。
【図9】本発明の第2実施形態を説明するために概略的
に示した図である。
【図10】本発明の第2実施形態を説明するために概略
的に示した図である。
【図11】本発明の第2実施形態を説明するために概略
的に示した図である。
【図12】本発明の第2実施形態を説明するために概略
的に示した図である。
【図13】本発明の第2実施形態を説明するために概略
的に示した図である。
【図14】本発明の第2実施形態を説明するために概略
的に示した図である。
【図15】本発明の第2実施形態を説明するために概略
的に示した図である。
【図16】本発明の第2実施形態を説明するために概略
的に示した図である。
【図17】本発明の第2実施形態を説明するために概略
的に示した図である。
【図18】本発明の第2実施形態を説明するために概略
的に示した図である。
【符号の説明】
100…半導体基板、 200…素子分離領域、 300…ゲートライン、 310…ゲート酸化層、 330、350…ゲート、 400…ダミー絶縁層、 450…ダミーオープニング、 550…フォトレジストパターン、 700…層間絶縁層パターン 800…導電性コンタクト体。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 金 東 鉉 大韓民国京畿道龍仁市器興邑書川里397− 11番地 (72)発明者 呉 容 哲 大韓民国京畿道水原市八達区靈通洞清明マ ウル建栄アパート426棟702号 (72)発明者 金 亨 俊 大韓民国ソウル特別市銅雀区舎堂2洞105 番地 宇成アパート203棟1205号 (72)発明者 南 炳 允 大韓民国京畿道水原市八達区梅灘洞897番 地 住公5団地514棟204号 (72)発明者 朴 ▲けい▼ 媛 大韓民国京畿道水原市八達区梅灘1洞153 −65番地204号 (72)発明者 李 相 協 大韓民国ソウル特別市冠岳区新林5洞1418 −12番地 Fターム(参考) 4M104 BB01 BB02 BB04 BB06 BB14 BB18 BB28 BB30 DD02 DD07 DD19 DD20 DD71 EE05 EE09 EE14 EE16 EE17 FF13 FF14 GG14 GG16 5F033 JJ04 JJ07 JJ08 JJ11 JJ18 JJ19 JJ28 JJ33 KK01 NN31 NN37 NN40 QQ08 QQ09 QQ11 QQ19 QQ25 QQ28 QQ31 QQ35 QQ37 QQ48 RR01 RR03 RR04 RR06 RR08 RR09 RR15 SS04 SS11 SS12 SS15 SS21 TT08 5F083 AD00 JA35 JA36 JA38 JA39 JA40 JA53 JA56 MA03 MA20 PR06 PR07 PR21 PR23 PR29 PR39 PR40 ZA28

Claims (34)

    【特許請求の範囲】
  1. 【請求項1】 集積回路基板上にライン状の導電パター
    ン及び前記導電パターンの側面及び上面上に遮へい絶縁
    層を含む多数の導電ラインを形成する段階と、 前記導電ライン間のギャップにダミー絶縁層を形成する
    段階と、 前記導電ライン間のギャップの一部を選択的に露出する
    ダミーオープニングを与えるために前記ダミー絶縁層を
    パターニングする段階と、 前記ダミーオープニングを埋め込む層間絶縁層パターン
    を形成する段階と、 前記層間絶縁層パターンをエッチングマスクとして露出
    される前記ダミー絶縁層パターンを選択的に除去し、コ
    ンタクトオープニングを形成する段階と、 前記コンタクトオープニングを埋め込んで、前記基板に
    電気的に接続される導電層を形成する段階と、 前記導電層をエッチングして、前記遮へい絶縁層及び前
    記層間絶縁層パターンにより取り囲まれた導電性コンタ
    クト体に分離する段階とを含むことを特徴とする集積回
    路素子の導電性コンタクト体の製造方法。
  2. 【請求項2】 前記遮へい絶縁層は、前記ダミー絶縁層
    に比べて低い乾式または湿式エッチング率を有すること
    を特徴とする請求項1に記載の半導体素子の導電性コン
    タクト体の製造方法。
  3. 【請求項3】 前記遮へい絶縁層は、 シリコン酸化物(SiO2)、シリコン窒化物(Si3
    4)、シリコン炭化物(SiC)、シリコン酸窒化物
    (SiON)またはアルミニウム酸化物(Al23)か
    ら形成されることを特徴とする請求項2に記載の集積回
    路素子の導電性コンタクト体の製造方法。
  4. 【請求項4】 前記遮へい絶縁層は、 前記導電パターン上のハードマスク及び前記導電パター
    ンの側面へのスペーサを含んで形成されることを特徴と
    する請求項1に記載の集積回路素子の導電性コンタクト
    体の製造方法。
  5. 【請求項5】 前記遮へい絶縁層は、 前記スペーサ及び前記ハードマスクを覆い、前記スペー
    サ間の前記半導体基板上を覆うように延びるストッパ層
    をさらに含んで形成されることを特徴とする請求項4に
    記載の集積回路素子の導電性コンタクト体の製造方法。
  6. 【請求項6】 前記ストッパ層は、 シリコン窒化物から形成されることを特徴とする請求項
    5に記載の集積回路素子の導電性コンタクト体の製造方
    法。
  7. 【請求項7】 前記導電パターンは、 ゲートまたはビットラインから形成されることを特徴と
    する請求項1に記載の集積回路素子の導電性コンタクト
    体の製造方法。
  8. 【請求項8】 前記ビットラインの下部に下部絶縁層を
    さらに形成し、 前記下部絶縁層をエッチングして下部の前記基板上を露
    出する段階をさらに含むことを特徴とする請求項7に記
    載の集積回路素子の導電性コンタクト体の製造方法。
  9. 【請求項9】 前記層間絶縁層パターンは、 シリコン酸化物、シリコン窒化物、シリコン炭化物、シ
    リコン酸窒化物またはアルミニウム酸化物から形成され
    ることを特徴とする請求項1に記載の集積回路素子の導
    電性コンタクト体の製造方法。
  10. 【請求項10】 前記ダミー絶縁層は、 塗布方式により形成されるシリコン酸化層からなり、前
    記層間絶縁層は、実質的に化学気相蒸着方式により形成
    されるシリコン酸化層からなることを特徴とする請求項
    1に記載の集積回路素子の導電性コンタクト体の製造方
    法。
  11. 【請求項11】 前記塗布方式により形成されるシリコ
    ン酸化層は、 流動性酸化(FOX)層または水素シルセスキオキサン
    (HSQ)層であることを特徴とする請求項10に記載
    の集積回路素子の導電性コンタクト体の製造方法。
  12. 【請求項12】 前記ダミー絶縁層は流動性酸化(FO
    X)層からなり、 前記層間絶縁層パターンは、高密度プラズマ化学気相蒸
    着によるシリコン酸化層、ボロフォスフォシリケートガ
    ラス(BPSG)層またはテトラエチルオルトシリケー
    ト(TEOS)層を含んでなることを特徴とする請求項
    1に記載の集積回路素子の導電性コンタクト体の製造方
    法。
  13. 【請求項13】 前記ダミー絶縁層は、 実質的に化学気相蒸着方式により形成されるシリコン酸
    化層からなり、前記層間絶縁層はシリコン窒化物からな
    ることを特徴とする請求項1に記載の半導体素子の導電
    性コンタクト体の製造方法。
  14. 【請求項14】 前記ダミー絶縁層パターンは流動性酸
    化(FOX)層からなり、 前記層間絶縁層パターンを形成する段階は、 前記ダミー絶縁層パターン上に反応防止層を形成する段
    階と、 前記反応防止層上に前記ダミーコンタクトホールを埋め
    込むスピンオンガラス(SOG)系のシリコン酸化物を
    蒸着する段階と、 前記シリコン酸化物を湿式アニーリングして緻密化する
    段階と、緻密化された前記シリコン酸化物層を全面エッ
    チングして前記ダミー絶縁層パターンを露出する段階と
    を含むことを特徴とする請求項1に記載の集積回路素子
    の導電性コンタクト体の製造方法。
  15. 【請求項15】 前記ダミー絶縁層上に、 前記ダミー絶縁層に比べてエッチング率の低い絶縁物質
    から保護ライナー層を形成する段階をさらに含むことを
    特徴とする請求項1に記載の集積回路素子の導電性コン
    タクト体の製造方法。
  16. 【請求項16】 前記ダミーオープニングは、 前記導電性ラインに隣接する前記半導体基板の素子分離
    領域上を露出し、前記コンタクトオープニングは、前記
    ダミーオープニングに隣接する前記半導体基板の活性領
    域上を露出することを特徴とする請求項1に記載の集積
    回路素子の導電性コンタクト体の製造方法。
  17. 【請求項17】 前記ダミーオープニングは、 前記導電ラインに交差するように形成されることを特徴
    とする請求項1に記載の集積回路素子の導電性コンタク
    ト体の製造方法。
  18. 【請求項18】 前記コンタクトオープニングは、 前記半導体基板上に電気的に接続される導電性パッドを
    露出することを特徴とする請求項1に記載の集積回路素
    子の導電性コンタクト体の製造方法。
  19. 【請求項19】 前記導電層のエッチングは、 湿式エッチバック、乾式エッチバックまたは化学機械的
    な研磨で行われることを特徴とする請求項1に記載の集
    積回路素子の導電性コンタクト体の製造方法。
  20. 【請求項20】 前記導電層のエッチングは、 前記導電パターンの上面部位の前記遮へい絶縁層の部分
    をエッチング終了として用いることを特徴とする請求項
    1に記載の集積回路素子の導電性コンタクト体の製造方
    法。
  21. 【請求項21】 前記ダミーオープニング及び前記コン
    タクトオープニングは、 前記基板のセル領域に位置することを特徴とする請求項
    1に記載の集積回路素子の導電性コンタクト体の製造方
    法。
  22. 【請求項22】 集積回路基板上に下部絶縁層を介在す
    るライン状の導電パターンと前記導電パターンの側面を
    保護するスペーサ及び前記導電パターンの上面を保護す
    るハードマスクを含む多数の導電ラインを形成する段階
    と、 前記ハードマスク及びスペーサをエッチングマスクとし
    て前記下部絶縁層を選択的にエッチングし、下部の前記
    基板を露出する段階と、 前記ハードマスク及びスペーサを覆い、前記露出される
    基板上を覆うように延びるストッパ層を形成する段階
    と、 前記ストッパ層上の導電ライン間のギャップにダミー絶
    縁層を形成する段階と、 前記導電ライン間のギャップを選択的に露出するダミー
    オープニングを与えるために前記ダミー絶縁層をパター
    ニングする段階と、 前記ダミー絶縁層を選択的に露出し、前記ダミーオープ
    ニングを埋め込む層間絶縁層パターンを形成する段階
    と、 前記層間絶縁層パターンをエッチングマスクとして露出
    される前記ダミー絶縁層パターンを選択的に除去して、
    前記ダミー絶縁層パターンが位置していた部位の下方の
    前記ストッパ層の部分を露出するコンタクトオープニン
    グを形成する段階と、 前記コンタクトオープニングにより露出されたストッパ
    層の部分を除去する段階と、 前記コンタクトオープニングを埋め込んで、前記基板に
    電気的に接続される導電層を形成する段階と、 前記導電層及び前記層間絶縁層パターンをエッチングし
    て、前記スペーサ及び前記層間絶縁層パターンにより取
    り囲まれた導電性コンタクト体に分離する段階とを含む
    ことを特徴とする集積回路素子の導電性コンタクト体の
    製造方法。
  23. 【請求項23】 前記下部絶縁層の下部に前記半導体基
    板の活性領域に電気的に接続され、前記コンタクトオー
    プニングにより露出される導電パッドを形成する段階を
    さらに含むことを特徴とする請求項22に記載の集積回
    路素子の導電性コンタクト体の製造方法。
  24. 【請求項24】 前記ダミーオープニングは、 前記導電ラインに交差するように長く形成されることを
    特徴とする請求項23に記載の集積回路素子の導電性コ
    ンタクト体の製造方法。
  25. 【請求項25】 前記ダミーオープニングは、 前記導電パッドを露出しない位置に形成されることを特
    徴とする請求項24に記載の集積回路素子の導電性コン
    タクト体の製造方法。
  26. 【請求項26】 前記導電性コンタクト体は、 ストレージノードコンタクトパッドとして用いられ、前
    記導電パターンはビットラインであることを特徴とする
    請求項22に記載の集積回路素子の導電性コンタクト体
    の製造方法。
  27. 【請求項27】 相互離隔されたラインで1次選択され
    る一部の間にダミー絶縁層を形成する段階と、 前記1次選択された相互離隔されたラインの一部と他の
    前記相互離隔されたラインの2次選択される一部との間
    に所定のエッチング液に対して前記ダミー絶縁層よりも
    低いエッチング率を有する層間絶縁層を形成する段階
    と、 前記相互離隔されたラインの前記1次選択された一部の
    間の前記ダミー絶縁層の少なくとも一部を除去するため
    に前記所定のエッチング液により前記ダミー絶縁層をエ
    ッチングする段階と、 前記ダミー絶縁層の少なくとも一部が除去された前記相
    互離隔されたラインの前記1次選択された一部の間に、
    前記相互離隔されたラインの1次選択された一部の間の
    集積回路に電気的に接続される導電層を形成する段階と
    を含むことを特徴とする集積回路素子の導電性コンタク
    ト体の製造方法。
  28. 【請求項28】 前記層間絶縁層を形成する段階は、 前記ダミー絶縁層上と前記相互離隔されたラインの2次
    選択された一部との間の全面に層間絶縁層を形成する段
    階と、 前記ダミー絶縁層から前記層間絶縁層を除去する段階と
    を含むことを特徴とする請求項27に記載の集積回路素
    子の導電性コンタクト体の製造方法。
  29. 【請求項29】 前記ダミー絶縁層を形成する段階前
    に、 前記集積回路基板上に相互離隔された多数の導電性ライ
    ンを形成し、前記相互離隔された多数の導電性ラインの
    上面及び側面上に一致する形状の層間絶縁層を形成する
    段階によって形成される前記集積回路基板上に相互離隔
    された多数のラインを形成する段階が行われることを特
    徴とする請求項27に記載の集積回路素子の導電性コン
    タクト体の製造方法。
  30. 【請求項30】 前記層間絶縁層は、 シリコン酸化物(SiO2)、シリコン窒化物(Si3
    4)、シリコン炭化物(SiC)、シリコン酸窒化物
    (SiON)またはアルミニウム酸化物(Al23)か
    ら形成されることを特徴とする請求項27に記載の集積
    回路素子の導電性コンタクト体の製造方法。
  31. 【請求項31】 前記ダミー絶縁層は、 塗布方式により形成されるシリコン酸化層からなり、前
    記層間絶縁層は実質的に化学気相蒸着方式により形成さ
    れるシリコン酸化層からなることを特徴とする請求項2
    7に記載の集積回路素子の導電性コンタクト体の製造方
    法。
  32. 【請求項32】 前記塗布方式により形成されるシリコ
    ン酸化層は、 流動性酸化(FOX)層または水素シルセスキオキサン
    (HSQ)層であることを特徴とする請求項31に記載
    の集積回路素子の導電性コンタクト体の製造方法。
  33. 【請求項33】 前記ダミー絶縁層は流動性酸化(FO
    X)層からなり、 前記層間絶縁層パターンは高密度プラズマ化学気相蒸着
    によるシリコン酸化層、ボロフォスフォシリケートガラ
    ス(BPSG)層またはテトラエチルオルトシリケート
    (TEOS)層を含んでなることを特徴とする請求項2
    7に記載の集積回路素子の導電性コンタクト体の製造方
    法。
  34. 【請求項34】 前記ダミー絶縁層は、 実質的に化学気相蒸着方式により形成されるシリコン酸
    化層からなり、前記層間絶縁層はシリコン窒化物からな
    ることを特徴とする請求項1に記載の半導体素子の導電
    性コンタクト体の製造方法。
JP2001165230A 2000-06-28 2001-05-31 ダミー絶縁層を用いた集積回路素子の導電性コンタクト体の形成方法 Expired - Fee Related JP4190164B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR00P35950 2000-06-28
KR10-2000-0035950A KR100366621B1 (ko) 2000-06-28 2000-06-28 반도체 소자의 도전성 콘택체를 형성하는 방법

Publications (2)

Publication Number Publication Date
JP2002033390A true JP2002033390A (ja) 2002-01-31
JP4190164B2 JP4190164B2 (ja) 2008-12-03

Family

ID=19674412

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001165230A Expired - Fee Related JP4190164B2 (ja) 2000-06-28 2001-05-31 ダミー絶縁層を用いた集積回路素子の導電性コンタクト体の形成方法

Country Status (4)

Country Link
US (1) US6573168B2 (ja)
JP (1) JP4190164B2 (ja)
KR (1) KR100366621B1 (ja)
TW (1) TW495915B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019047134A (ja) * 2011-11-25 2019-03-22 株式会社半導体エネルギー研究所 半導体装置

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100366622B1 (ko) * 2000-06-30 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택을 형성하는 방법
US6806197B2 (en) * 2001-08-07 2004-10-19 Micron Technology, Inc. Method of forming integrated circuitry, and method of forming a contact opening
JP3575448B2 (ja) * 2001-08-23 2004-10-13 セイコーエプソン株式会社 半導体装置
KR100431741B1 (ko) * 2001-12-29 2004-05-17 주식회사 하이닉스반도체 반도체소자 제조 방법
US6861751B2 (en) * 2002-12-09 2005-03-01 Integrated Device Technology, Inc. Etch stop layer for use in a self-aligned contact etch
US7179744B2 (en) * 2002-12-26 2007-02-20 Hynix Semiconductor Inc. Method for fabricating semiconductor device
KR100505062B1 (ko) * 2003-02-22 2005-07-29 삼성전자주식회사 반도체 소자의 제조방법
US7777265B2 (en) 2003-02-24 2010-08-17 Samsung Electronics Co., Ltd. Semiconductor device having contact barrier and method of manufacturing the same
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US6821872B1 (en) * 2004-06-02 2004-11-23 Nanya Technology Corp. Method of making a bit line contact device
US7217660B1 (en) * 2005-04-19 2007-05-15 Spansion Llc Method for manufacturing a semiconductor component that inhibits formation of wormholes
KR100733217B1 (ko) * 2005-06-15 2007-06-27 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7767567B2 (en) * 2006-09-29 2010-08-03 Qimonda Ag Method of forming a semiconductor memory device and semiconductor memory device
KR100781874B1 (ko) * 2006-12-26 2007-12-05 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100930386B1 (ko) 2007-06-29 2009-12-08 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
KR101406888B1 (ko) * 2007-12-13 2014-06-30 삼성전자주식회사 반도체 소자의 제조 방법
KR20090080751A (ko) 2008-01-22 2009-07-27 삼성전자주식회사 저항성 메모리 소자 및 그 제조방법
KR101159900B1 (ko) * 2009-04-22 2012-06-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
KR20120057818A (ko) * 2010-11-29 2012-06-07 삼성전자주식회사 반도체 장치 제조 방법
KR20200054336A (ko) * 2011-12-22 2020-05-19 인텔 코포레이션 반도체 구조
US8796855B2 (en) 2012-01-13 2014-08-05 Freescale Semiconductor, Inc. Semiconductor devices with nonconductive vias
US8753985B2 (en) 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
TWI473206B (zh) * 2012-07-03 2015-02-11 Powerchip Technology Corp 接觸窗的形成方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102398862B1 (ko) 2015-05-13 2022-05-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102406668B1 (ko) * 2016-04-26 2022-06-08 삼성전자주식회사 결함 발생 방지를 위한 반도체 소자 제조 방법
KR102504258B1 (ko) * 2016-05-04 2023-02-28 삼성전자주식회사 반도체 소자 및 이의 제조방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR102578624B1 (ko) * 2018-12-17 2023-09-13 엘지디스플레이 주식회사 표시장치
CN113078157B (zh) * 2020-01-06 2023-06-02 华邦电子股份有限公司 存储器结构及其形成方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07193045A (ja) * 1992-12-21 1995-07-28 Kawasaki Steel Corp 半導体装置の製造方法
US5547900A (en) 1995-05-26 1996-08-20 United Microelectronics Corporation Method of fabricating a self-aligned contact using a liquid-phase oxide-deposition process
JPH09213796A (ja) * 1996-02-07 1997-08-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
KR0183883B1 (ko) 1996-06-07 1999-04-15 김광호 반도체 장치의 콘택 형성 방법
JPH11177089A (ja) * 1997-12-16 1999-07-02 Hitachi Ltd 半導体装置の製造方法
FR2777697B1 (fr) * 1998-04-16 2000-06-09 St Microelectronics Sa Circuit integre avec couche d'arret et procede de fabrication associe
US6342452B1 (en) * 1999-05-20 2002-01-29 International Business Machines Corporation Method of fabricating a Si3N4/polycide structure using a dielectric sacrificial layer as a mask
US6255160B1 (en) * 1999-10-29 2001-07-03 Taiwan Semiconductor Manufacturing Company Cell design and process for making dynamic random access memory (DRAM) having one or more Gigabits of memory cells
KR100366622B1 (ko) * 2000-06-30 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택을 형성하는 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019047134A (ja) * 2011-11-25 2019-03-22 株式会社半導体エネルギー研究所 半導体装置

Also Published As

Publication number Publication date
KR100366621B1 (ko) 2003-01-09
US20020001889A1 (en) 2002-01-03
TW495915B (en) 2002-07-21
KR20020001328A (ko) 2002-01-09
JP4190164B2 (ja) 2008-12-03
US6573168B2 (en) 2003-06-03

Similar Documents

Publication Publication Date Title
JP2002033390A (ja) ダミー絶縁層を用いた集積回路素子の導電性コンタクト体の形成方法
US6939798B2 (en) Method for forming T-shaped conductor wires of semiconductor device
TWI249774B (en) Forming method of self-aligned contact for semiconductor device
KR20110087976A (ko) 반도체 소자용 배선 구조물의 형성방법 및 이를 이용하는 비휘발성 메모리 소자의 제조방법
JP4302839B2 (ja) 半導体装置の自己整列コンタクトパッド形成方法
JP2000077625A5 (ja)
KR100389034B1 (ko) 반도체 장치의 상하층 접속 형성 방법 및 그 방법에 의해형성된 반도체 장치
KR100505062B1 (ko) 반도체 소자의 제조방법
US6429107B2 (en) Method for forming conductive contact of semiconductor device
US8071439B2 (en) Method for manufacturing semiconductor device
JP2002151587A (ja) 半導体装置の製造方法
US7384823B2 (en) Method for manufacturing a semiconductor device having a stabilized contact resistance
JP2003243293A (ja) 半導体装置の製造方法
JP2008277722A (ja) ビット線コンタクトプラグを形成する方法及びトランジスタ構造
JP4260275B2 (ja) 半導体装置及びその製造方法
KR100548570B1 (ko) 반도체소자의 금속배선 형성방법
KR100307968B1 (ko) 플러그폴리를 갖는 반도체장치의 층간절연막 형성방법
KR100807596B1 (ko) 반도체 소자 제조방법
KR20080029565A (ko) 반도체 소자의 콘택 플러그 형성방법
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
KR20010058563A (ko) 쌍상감법을 이용한 반도체 메모리 소자의 패턴 형성 방법
JP4379245B2 (ja) 半導体装置の製造方法
KR20060066429A (ko) 듀얼 다마신 공정
KR20060002182A (ko) 반도체소자의 형성방법
KR20090022381A (ko) 반도체 소자의 콘택 플러그 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080313

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080707

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080814

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080902

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080916

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110926

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4190164

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120926

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130926

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees