JP2001308159A - 拡張可能な機材セットを備えた製作システム - Google Patents

拡張可能な機材セットを備えた製作システム

Info

Publication number
JP2001308159A
JP2001308159A JP2001057083A JP2001057083A JP2001308159A JP 2001308159 A JP2001308159 A JP 2001308159A JP 2001057083 A JP2001057083 A JP 2001057083A JP 2001057083 A JP2001057083 A JP 2001057083A JP 2001308159 A JP2001308159 A JP 2001308159A
Authority
JP
Japan
Prior art keywords
tool
storage device
wafer carrier
fabrication
transport path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001057083A
Other languages
English (en)
Inventor
Robert Z Bachrach
ゼット. バッハラック ロバート
John Christopher Moran
クリストファー モラン ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001308159A publication Critical patent/JP2001308159A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Safety Devices In Control Systems (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Stored Programmes (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 分岐輸送通路に垂直に連結された保管装置
と、分岐輸送通路に平行に連結される環境的に制御され
る一つ以上の製作ツールとを包含する、スーパーフレー
ムとも呼ばれる製作システムが提供される。 【解決手段】 保管装置321は、ウェハキャリアの工
場輸送エージェントへ、またはそこからの移動を可能に
する、一つ以上のロードポートLPを持つ。ツールロー
ディングプラットフォーム323は、保管機構からウェ
ハキャリアを受け取るように、また製作ツール319a
〜bがその上に位置付けられたウェハキャリアにアクセ
ス可能に位置付けられる。ウェハまたはウェハキャリア
が工場輸送エージェントから受け取られまたはそれへ移
動され得る、保管装置に沿ってそれへ連結される複数の
製作ツールのどれへも移動され得るように、複数の製作
ツールのそれぞれは保管装置に連結される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般に製作システム
に関し、特に、環境的に制御される製作ツール内で基板
を製造するための製作システムに関する。
【0002】
【発明の背景】半導体集積回路製作産業では、たった一
つの欠陥が、そこに形成される半導体デバイスに不可欠
な特徴を混乱させることによりウェハダイ全体を破壊で
きる。欠陥はまた、漏れ経路を作ること、好ましくない
局地的な領域を生成すること等により、デバイス性能お
よび信頼性を劣化し得る。
【0003】欠陥は、粒子がウェハに着く時に生じる。
粒子は一般に、ウェハに堆積され成長させられた薄膜か
らと同様に、人間から、ウェハが処理される環境(例え
ば、処理チャンバ内の移動する物体間の摩擦により生成
される粒子)から生じる。
【0004】粒子が引き起こす欠陥を減少させるため
に、ウェハはクリーンな環境で製作され、輸送効果を生
むためにグリッドに沿ってしばしば大まかに整列させら
れる複数の処理ツールを包含する、FABとして知られ
る大型密閉クリーン領域で大量生産される。グリッドレ
イアウトは、ウェハ輸送の組織化を容易にし、自動化さ
れるウェハ輸送を可能にする。現在、グリッドレイアウ
ト内では、処理チャンバおよびそこにウェハを供給する
ツールは生産ユニットとして扱われ、FAB制御ソフト
ウェアはそれぞれのそのような生産ユニットを独立した
ものとして扱う。
【0005】2つの主要なグリッドレイアウトは、1)
ベイアンドチェイス(bay and chase)レイアウト、お
よび2)ボールルームレイアウトであり、おのおのが図
1Aおよび1Bにそれぞれ示される。
【0006】図1Aは、複数の分岐輸送通路15へと分
岐するクリーン輸送通路13を含む、ベイアンドチェイ
スレイアウト11aを示す。クリーンルーム壁17は、
クリーン輸送通路13および分岐輸送通路15を定義す
る。クリーンルーム壁17に定義される時、分岐輸送通
路はベイとして知られる。
【0007】壁の背後には、複数の処理ツール21を包
含するチェイス(chase)19として知られる領域があ
る。処理ツール21のそれぞれは、ウェハキャリアがク
リーン輸送通路13に沿ってベイ(bay)15へ、そし
てクリーンルーム壁17を通って処理ツール21へ輸送
され得るように、クリーンルーム壁17の開口に隣接し
て位置付けられるロードポートを持つ。
【0008】図1Bは、ボールルームレイアウト11b
を示す。ボールルームレイアウト11bは、クリーンル
ーム壁17が省略されていること以外は、図1Aのベイ
アンドチェイスレイアウト11aと同じである。この場
合、いっそう多くの支持設備が、処理ツール21の下の
フロアにある。
【0009】図1Aまたは1Bのレイアウトのどちらで
も、処理ツール21は、環境的に封止される半導体デバ
イス製作ツール(以下、「製作ツール」)を含み得る。
製作ツールが一般に包含するのは、一つ以上のウェハを
収納するウェハキャリアを受け取り、ツールの環境へウ
ェハキャリアを配置する、少なくとも一つのロードポー
ト、ウェハキャリアから抜き取られるウェハに処理を実
行するための少なくとも一つの処理チャンバ、あるいは
ウェハをロードロックと処理チャンバの間で移動するよ
う適合されるウェハハンドラを包含する、一つの移動チ
ャンバである。
【0010】更に、図1Aまたは1Bのレイアウトのど
ちらでも、FAB11a、11bは、フィルタおよび/
または粒子を環境から除去するための他の機構を持つ、
クリーンルームとして維持される。このようなクリーン
ルームは、クリーンルームの立方フィート当たりの粒子
のレベルが、所定のレベルまたはクリーンルーム「クラ
ス」を超えないことを保証するために監視される。しか
し、要求される濾過の量のために、ウェハまたはウェハ
キャリアが製作ツールの外部の環境に晒される領域に要
求される高度にクリーンな環境を達成することは、極度
にコストがかかる。一般に、0.5ミクロンサイズの約
7,000個より少ない粒子を持つ、または立方フィー
ト当たりより大きいクラス1環境は、このような領域を
要求される。従って、多くのFABは、輸送が全体的に
見たFAB清浄のレベルが減少することを可能にする間
に、ウェハをクリーンな小型環境に密閉する、封止され
るウェハキャリアを使用する。
【0011】一般に、ベイアンドチェイスレイアウト1
1a内では、ロードロックへロードされ望ましい環境が
達成された後、製作ツールが制御される環境にウェハお
よびウェハキャリアを維持するために、クリーンルーム
壁17はクリーンルームを、ウェハが環境に晒され得る
がこれらの領域に(例えば、ベイ15および/またはク
リーン輸送通路13に)ある間に高い清浄のレベルを持
つホワイト領域、および、ウェハがウェハキャリアまた
は処理ツール21内に封止され得るがこれらの領域に
(例えば、チェイス19)ある間にホワイト領域よりも
低い清浄のレベルを持つグレイ領域の、2つの領域に分
割する。ウェハおよび/またはウェハキャリアはロード
ロックに隣接する環境に晒されるため、ロードロックの
開口に隣接する領域がホワイト環境として維持されるよ
うに、製作ツールのロードロックは、クリーンルーム壁
17を通って伸長するその封止可能な開口(例えば、ス
リットバルブまたはドア)に位置付けられる。処理ツー
ル21の残りは、チェイス19、一般に、0.5ミクロ
ンサイズの約700,000個より少ない粒子を持つ、
または立方フィート当たりより大きいクラス100環境
のグレイ環境内に維持される。
【0012】ベイアンドチェイスレイアウト(図1A)
は、より少ない濾過を要求するグレイ領域を考慮するこ
とにより、クリーンルームコストを減少することを意図
されている。しかし、クリーンルーム壁17および、グ
レイ領域とホワイト領域との間で異なるクリーンルーム
ガウニング(例えば、特別な覆い)要求は、製作ツール
へのアクセスを妨げる。対照的に、ボールルームレイア
ウト(図1B)は、製作ツールの周囲の領域への自由な
アクセスを可能にする。ボールルームFABは、ホワイ
トとグレイ領域の中間の、コストのかからない清浄のレ
ベルで維持される。しかし、ウェハは、封止される運搬
体または「ポッド」に輸送されるべきである。ボールル
ームとベイアンドチェイスレイアウトの両方で、処理ツ
ール21の増設は、分岐輸送通路15(またはより多く
の通路の増設)の延長を要求し、同様な機材の離散のた
めに、また、FAB11a、11b内のウェハ輸送を制
御するソフトウェアプログラムの複雑さの増加のため
に、FABの管理の複雑さを増加する(なぜなら、更に
以下に記述するように、増設ツールローディングプラッ
トフォーム位置は、プログラムに包含されるべきである
ので)。レイアウトの使用に関わらず、FABの構成
は、耐故障性システム(即ち、ツールの修理およびメン
テナンスのために要求される不可避のツールダウンタイ
ムにもかかわらず、望ましい生産速度(ウェハ/時間)
を維持するための十分な数のツールを持つシステム)を
提供するために要求されるクリーンルームのコストと著
しい設備投資の両方のために、依然としてコストがかか
る。
【0013】従って、製作ツールの数の漸進的なまたは
段階的な増加を容易にし、クリーンルームのホワイト領
域を最小にする、製作システムデザインの必要性があ
る。更に、改善されるビジネスの方法は、耐故障性FA
Bを維持する間に初期機器コストを減少させるために必
要とされる。
【0014】
【課題を解決するための手段】本発明は、生産能力が各
処理ステップに独立して適合できるように、生産ユニッ
トまたは処理ツールを局地的に組織化するFAB構成を
提供する。従って、分岐輸送通路の延長を要求すること
なく、またFABを制御するプログラムへの増設のツー
ルロードポートのプログラミングを要求することなく、
処理能力は増やされる。ここでスーパーフレーム(Supe
r Frame)と呼ばれる発明のFAB構成は、セットのツ
ールを整列させる。ツールセットの各ツールは、分岐輸
送通路に垂直に位置付けられた共通の保管−移動装置を
介して、分岐輸送通路に連結される。共通の保管−移動
装置は、分岐輸送通路からウェハキャリアを受け取るよ
う適合される一つ以上の工場ロードポートを持つ。同様
に、ツールセットおよび保管−移動装置は、ツールセッ
トおよび保管−移動装置を監督しツールセットおよび保
管−移動装置を一つのユニットとしてFABに差し出
す、一つの局地的な制御プログラムを持つ。
【0015】更に、耐故障性を減少させることなく初期
機器コストを減少するための方法が提供される。特に、
定常状態処理を必要としない増設の処理ツールは、周期
的な(例えば、毎月、年4回)支払いと引き換えに提供
される。一方、支払いは将来の使用を条件とし得る。従
って、製造者は、バックアップの能力または耐故障性を
提供する増設のツールを持つが、増設の処理ツールを購
入する初期の設備投資コストを有さない。
【0016】本発明の他の特徴と利点は、望ましい実施
の形態の以下の詳細な記述、添付の請求項、および添付
の図面から、より十分に明白となる。
【0017】
【発明の実施の形態】本発明の利点を正当に評価するた
めに、従来の製造システムが提示する複雑性および設備
投資問題を理解することが重要である。即ち、本発明に
従って製造されるスーパーフレームが処理集合の更なる
レベルを提供する一方、従来のFABは個々のツールか
ら開始する。
【0018】従来の製造システムは、図2に関して記述
される。図2は、従来のFAB211の、関連する部分
の概略平面図であり、複数の処理ツール213a〜hを
使用する。処理ツール213a〜hは、共にカリフォル
ニア州のサンタクララのアプライドマテリアルズ社によ
り製造されるEndura(登録商標)またはCent
ura(登録商標)等の、あらゆる従来の処理ツールを
表す。EnduraおよびCenturaは共に、真空
処理ツールの例であり、特定の種類の環境的に制御され
る処理ツールである。一般に、このような処理ツール
は、ウェハハンドラ219を包含する移動チャンバ21
7および複数の処理チャンバ221a〜dに連結され
る、一つ以上のロードロック215a〜bを使用する。
真空処理ツール213a〜dのそれぞれは、クリーン輸
送通路224から分岐する分岐輸送通路223に沿って
位置付けられる。クリーンルーム壁227(一点鎖線で
示す)が使用され得る。クリーンルーム壁227が使用
されている場合、少なくともロードロック215a〜b
のスリットバルブ225を囲む領域は、図示のようにホ
ワイト領域229からグレイ領域228を分離するクリ
ーンルーム壁227に及ぶ。
【0019】ツールローディングプラットフォーム23
1は、工場輸送エージェント(例えば、オーバーヘッド
コンベア、自動誘導車両等)から、または、各ツール2
13a〜hに隣接して位置付けられる保管機構235か
ら、ウェハキャリアを受け取るよう適合される。ウェハ
キャリアは、工場輸送エージェントにより、または、保
管機構235により、ツールローディングプラットフォ
ーム231に直接に位置してもよく、または、ツールロ
ーダロボット(図示せず)により、工場輸送エージェン
トからツールローディングプラットフォーム231へ移
動され得る。ローディングプラットフォーム231は、
シールドカセットまたはウェハの「ポッド」を開封する
機構を含み得る。模範的なポッド開封機構は、全文が参
考形式で本願に組み込まれる、米国特許第5,772,
386号に開示されている。米国特許出願番号09/3
50,867、または米国特許番号5,980,183
(全文が参考形式で本願に組み込まれる)に開示されて
いるような保管機構235は、一般に各ツール213a
〜d付近のカセットを保管するために使用される。
【0020】耐故障性システムを作成するために、同一
のツールの第1のセット(例えば、ツール213aおよ
び213b)は、第1の処理、または一連の処理を実行
し、同一のツールの第2のセット(例えば、ツール21
3cおよび213d)は、第2の処理、または一連の処
理を実行し、セット内の各ツールは、フル稼動よりも低
く(例えば、50〜75%の生産能力で)運転される。
従って、セットの一つのツールが動作不能になる場合、
当該セットの他のツールが、FABの1時間当たりの望
ましいウェハの率を維持するために、その生産速度を上
昇させることができる。
【0021】製造実行および材料管理(MEMC)プロ
グラムを含むコントローラ239は、独立したツールの
スケジューリング、工場輸送エージェント、保管機構2
35、ツールローダロボット(所望による)等の運転を
制御する。従って、2つの同一のツールを含むツールセ
ットのために、MEMCプログラム239が、ツールセ
ットの第1の製作ツール(例えば、ツール213a)が
動作不能であることを示す入力を受け取った時、MEM
Cプログラム239は、カセットを当該セットの第2の
製作ツール(例えば、ツール213b)へ転送する。一
方、各保管および移動装置およびそれに連結されるツー
ルは、MEMCプログラムが保管および移動装置および
それに連結されるツールを単一ユニットとして捉えるこ
とを可能にする、分離した局地的なコントローラにより
制御され得る(例えば、MEMCプログラムが、保管お
よび移動装置のロードポートに関する情報、ツールセッ
ト生産能力に関する情報のみを保管することを可能にす
る)。
【0022】動作中、MEMCプログラム239の制御
の下、ウェハキャリアは、中央輸送通路224に沿って
(例えば、手動で、オーバーヘッド移動機構(OH
T)、自動誘導車両(AGV)等を介して)輸送され、
そこから保管機構235のロードポートLPへ移動され
る。その後、ウェハキャリアは、保管機構235に沿っ
て、直接にツールローディングプラットフォーム231
の一つへ、または、ツールローダロボット(図2の構成
では使用されていない)がウェハキャリアを保管機構2
35から抜き取ること、および、それぞれのツールロー
ディングプラットフォーム231にウェハキャリアを配
置可能な位置へ輸送され得る。一つ以上のウェハはその
後に、ツールローディングプラットフォーム231から
それぞれのロードロック215a〜bへとロードされ
る。処理ツールの一つ(例えば、ツール213a)が動
作不能な場合、MEMCプログラム239は、処理ツー
ルの動作不能を示す入力を受け取る(手動で、または、
動作不能な処理ツールのコントローラから)。MEMC
プログラム239は、ウェハキャリアをセットの他の処
理ツール(単数または複数)(例えば、ツール213
b)へ誘導する。
【0023】FABは資本集約型であるため、機材とク
リーンルームの必要とされるスペースの量を最小にする
こと、および増加分ができるだけ小さく生産性要求と整
合性が取れる状態で生産能力を増すことに、多大な努力
が注がれる。販売が上向きの間の小さすぎる生産能力を
持つ場合は、販売が下降している間の大きすぎる生産能
力を持つ場合と同等に悪いので、FAB生産能力は注意
深く管理されなくてはならない。
【0024】FABへのいずれの増分の追加も一般に、
処理の流れおよびシステム設置面積を最適化するよう
に、現存する機材の再編成を要求し、また、しばしば分
岐輸送通路223の延長または別の通路の使用を要求す
る。更に、現存する機材が再編成を要求する場合、ME
MCプログラム239に多大な修正が加えられることが
要求される(例えば、MEMCプログラムが新しいツー
ルローディングプラットフォーム231および新しいカ
セット転送経路を要求する)。
【0025】本発明は、FABの生産能力が拡張される
時、分岐輸送通路長さを最小にするまたは減少させ、し
ばしば分岐輸送通路223の延長を要求しないFAB構
成を提供することにより、先行技術FABの欠点を扱
う。更に、発明のFABは、分岐輸送通路223に沿っ
た増設ツールローディングプラットフォーム231を必
要とせず、従って、図3を参照して理解される、MEM
Cプログラム239に最小の修正が加えられることが要
求される。
【0026】図3は、発明の製作システム311の関連
部分の平面図である。発明のFAB311は、中央輸送
通路313および複数の分岐輸送通路315(一つのみ
示す)を含む。中央輸送通路313および分岐輸送通路
315は、一点鎖線で示すクリーンルーム壁316によ
り任意に定義され得る。それぞれが2つ以上の処理ツー
ル319a〜bを含む、複数の処理ツールセット317
a〜hは、各分岐輸送通路315の長手方向に沿って位
置付けられる。保管装置321は、各ツールセット31
7a〜hを分岐輸送通路315に連結する。特に、各保
管装置321は分岐輸送通路315に垂直であり、ま
た、工場輸送エージェント(例えば、オーバーヘッドコ
ンベア、自動誘導車両、FAB人員等)から、または、
図2の保管装置のように分岐輸送通路に平行である保管
装置から、ウェハキャリアを受け取るよう適合される一
つ以上のロードポートLPを持つ。各保管装置321
は、少なくとも、各処理ツール319a〜bの前に伸長
する水平移動機構を含む。最も望ましくは、保管装置3
21は、図4Aおよび4Bに関連して示され以下に記述
されるロードバッファを含む。
【0027】当該分野で既知の通り、一つ以上のウェハ
キャリアがツールローディングプラットフォーム323
からそれぞれの処理ツール319へ移動され得るよう
に、各処理ツール319a〜bは、それに連結される一
つ以上のツールローディングプラットフォーム323を
持つ。望ましくは、ツールローディングプラットフォー
ム323は、ポッド開封能力(即ち、封止されるウェハ
キャリアを開封する能力)を含む。最も望ましくは、ツ
ールローディングプラットフォーム323は、参考形式
で本願に組み込まれる、1998年1月23日に提出さ
れた米国特許出願番号09/012,323(AMAT
No.2569/ATD/MBE)に開示されるよう
に構成される。
【0028】保管装置321は、望ましくは、米国特許
出願番号09/350,867に詳細に開示されている
ように、垂直移動機構(エレベータまたはピックアンド
プレイスロボット等)および水平移動機構(コンベア、
またはピックアンドプレイスロボットに連結されるシェ
ルフ)を含む。保管装置321は、最も望ましくは、米
国特許出願番号09/350,867に開示されている
ように、また、図4Aおよび4Bに関連して示され記述
される、ウェハキャリアを処理ツール319間で移動さ
せるように適合されるコンベアを含む。
【0029】図4Aおよび4Bは、それに連結される望
ましい保管装置411を持つ処理ツールセット409
(1対の処理ツール410a〜bを含む)の、それぞ
れ、平面図および側面図である。保管装置411は、3
つの垂直移動機構(例えば、エレベータ)413a〜c
を含み、どれも単一の水平移動機構415(例えば、コ
ンベア)に連結する。要求される垂直移動機構の数は、
セットのツールの数次第で、一つのFAB構成と次のも
ので異なる。第1の垂直移動機構413aは、分岐輸送
通路315(図3)に最も近接して位置付けされ、望ま
しくは、E15ロードポート417(SEMI規格E1
5に準拠して構成される)および、当該分野では既知
の、ウェハキャリアを手動または自動移動機構から受け
取るよう適合されるオーバーヘッドロードポート419
を持つ。望ましくは、各ロードポート417、419
は、複数の回転素子を持つ表面を含む。表面は、E15
ロードポート417と水平移動機構との間で上げ下げさ
れ得る。望ましくは、水平移動機構もまた複数の回転素
子を含む。ロードポートが水平移動機構に沿ったら、ロ
ードポートの回転素子は作動させられ、そこに位置付け
られたウェハキャリアを垂直移動機構413aから水平
移動機構415の上へと転がらせる。
【0030】望ましくは、水平移動機構415は、米国
特許出願番号09/350,867に開示されているよ
うに、移動位置と保管位置との間で索引付け可能な回転
素子の複数のデュアルコンパートメントセグメントを含
む。ウェハキャリアは、従って、保管装置に配置され
る、または、水平移動機構415に隣接した高位置にあ
る複数の回転素子の表面を望ましくは含む垂直移動機構
413b〜cの別の一つへ、水平移動機構415を越え
て移動される。その後、垂直移動機構413b〜cは、
回転素子の表面を下げ、ウェハキャリアはその上のそれ
ぞれ処理ツール410a〜bに隣接する位置へ位置付け
られる。
【0031】図4Aの実施の形態では、ツールローダロ
ボット423は、保管装置411と、一つ以上の処理ツ
ール410a〜bのツールローディングプラットフォー
ム425との間で、ウェハキャリアを移動するように適
合される。単一のツールローダロボット423は、複数
の処理ツール410a〜bの前に伸長するレール427
に連結され得る、または、各処理ツール410a〜b
は、それ専用のツールローダロボット423を持ち得
る。
【0032】一方、図5Aおよび5Bの、それぞれ、平
面図または側面図に示すように、ツールローダロボット
423は省略してもよく、また、保管装置411は、ウ
ェハキャリアを直接にツールローディングプラットフォ
ーム425へと送り出してもよい。
【0033】一旦、ツールローディングプラットフォー
ム425に位置付けられたら、ウェハまたはウェハキャ
リアは、当該分野で既知の通り、処理のためにそこから
それぞれの処理ツール410a〜bへ移動される。ウェ
ハキャリアが、封止されるポッド(例えば、Standard M
echanical Interface(SMIF)ポッド)、または、F
ront Opening Pod(FOUP)である場合、ツールロー
ディングプラットフォーム425は、これもまた当該分
野で既知の通り、ウェハを周囲の空気に晒すことなく同
一のものを開封する機構を含む。
【0034】ウェハキャリアの全てのウェハが、第1の
処理ツール410a内で処理された後、それらはツール
ローディングプラットフォーム425に戻り、該当する
ならば、ポッドタイプのウェハキャリア内に封止され
る。ウェハキャリアは、その後、垂直移動機構413a
へ移動させられ、上げられ、保管装置に配置されるか、
水平移動機構415に沿って第2の処理ツール410b
(例えば、第1および第2の処理ツール410a〜b
が、マスキングにエッチングが続く等の順次処理を実行
するよう適合されている場合)へ、または、処理ツール
の第2のセットへの次の移動のためまたは中央輸送通路
313(図3)へ戻るため(例えば、第2の分岐輸送通
路への移動のため)に、ウェハキャリアが分岐輸送通路
315(図3)へ移動させられる、E15またはオーバ
ーヘッドロードポート417、419それぞれへ、移動
させられる。
【0035】上記の通り、共通の保管−移動装置は、ウ
ェハキャリアをFABから受け取り、ウェハキャリアが
処理ツールによりアクセスされ得る位置にウェハキャリ
アを移動できる(即ち、直接にツールローディングプラ
ットフォームの上に、または、直接にツールへかツール
ローディングプラットフォームへの移動のために、ツー
ルのローダロボットがウェハキャリアを持ち上げる場所
である、ツールロードポートの上へ)。セットの各処理
ツールは、従って、ウェハキャリアを保管−移動装置か
ら受け取り得るが、FABの制御プログラムは、保管−
移動装置の工場ロードポート(単数または複数)にのみ
関連する情報、および、生産のスケジュール設定のため
に必要な生産能力および処理に関連する情報を包含す
る。
【0036】処理ツールは、新しい工場ロードポート情
報をFABの制御プログラムに加えることを要求せず
に、ツールセットに加えられ得るまたはそこから減らさ
れ得る。セットの内部能力、実行される処理、生産能力
等を反映するツールセットパラメータだけが、FABの
制御プログラムに加えられる必要がある。各処理ツール
が一般に分岐輸送通路に平行であるために、増設の分岐
輸送通路長さ、通路の延長または新しい通路の増設を必
要とせずに、処理ツールが加えられ得る。分岐輸送通路
長さの減少および製作ツールの増設中のダウンタイムの
減少のどちらにおいても、大幅なコストの節約が実現す
る。従って、より短いダウンタイムで生産能力が増加し
得る。
【0037】上記の記述から明白なように、保管装置を
垂直に分岐輸送通路に連結することは、分岐輸送通路の
延長を必要とせずに、また増設のロードポート(例え
ば、E15ロードポート417またはオーバーヘッドロ
ードポート419)を必要とせずに、処理ツールが分岐
輸送通路に加えられることを可能にし得る。従って、F
ABは、最小のダウンタイムで増加的に拡張される。処
理ツールを加えるために、増設の処理ツールに隣接する
位置へ伸長するように、保管装置の水平移動構成要素が
延長され、また、それぞれのセットへのまたはそこから
のウェハキャリアの流れをそれに応じて増加できるよう
に、拡張されるセットを反映するためにデータがMEM
Cプログラムに入力される。
【0038】増分のFAB拡張を更に容易にするため
に、耐故障性を減少させることなく資本の機材コストを
減少させる方法を提供する。特に、定常処理の必要のな
い、一つ以上の増設の処理ツール(例えば、カリフォル
ニア州のサンタクララのアプライドマテリアルズ社によ
り製造されるEndura(登録商標)またはCent
ura(登録商標)等の真空処理ツール)は、周期的な
(例えば、毎月、毎週、毎年)支払いと引き換えに提供
される。一方、支払いは将来の使用を条件とし得る。従
って、製造者は、耐故障性を提供する増設のツール生産
能力を持つが、増設の処理ツール(単数または複数)を
直接に購入する初期の設備投資コストを持たない。更
に、この方法は、増設のツールが、価値を下げられるの
ではなく、必要経費を請求されることを可能にする。
【0039】上記の説明は、望ましい本発明の実施の形
態のみを開示し、本発明の範囲に入る以上に開示される
装置および方法の修正は、当業者には容易に明白とな
る。例えば、本発明は、どのグリッドタイプのレイアウ
ト(例えば、ベイアンドチェイス、ボールルーム等)で
も使用でき、スペース制限次第で、当該分野では既知の
ピン歯車または車輪のレイアウトで使用され得る。本発
明は、任意のクリーンルーム壁27(図3に一点鎖線で
示す)と共に、またはなしで使用できる。処理ツール
は、真空ツール(例えば、物理蒸着、化学気相堆積、エ
ッチング等に適合される)、または、環境的に制御され
る真空でないツール、例えば、化学的機械的研磨、クリ
ーニング、計測学等に適合される)であり得るし、単一
処理チャンバまたは複数の処理チャンバを使用し得る。
中央輸送通路313および分岐輸送通路315は、ウェ
ハ輸送および/または保管機材のあらゆる構成を包含し
得る、例えば、図3に示されるような保管装置、また
は、分岐輸送通路に平行に位置付けられ、また分岐輸送
通路315に垂直に位置付けられた保管装置321にウ
ェハキャリアを供給するように適合され得る、米国特許
出願番号09/350,867に記述されるようなもの
である。最後に、米国特許出願番号09/350,86
7に記述されるように、入ってくるウェハキャリアまた
はロットは、サブロットに分割され得る、また、スルー
プットを増加させるために2つ以上の処理ツールに分割
させられ得る。
【0040】従って、本発明がその望ましい実施の形態
に関連して開示される一方、他の実施の形態が、請求項
により定義される本発明の精神と範囲に入り得ること
が、理解されるはずである。
【図面の簡単な説明】
【図1A】複数の分岐輸送通路へと分岐するクリーン輸
送通路を含む、ベイアンドチェイスレイアウトの概略平
面図である。
【図1B】ボールルームレイアウトの概略平面図であ
る。
【図2】複数の処理ツールを使用する従来の製作システ
ムの関連する部分の概略平面図である。
【図3】本発明による製作システムの関連部分の平面図
である。
【図4A】ツールローダロボットが保管装置と一つ以上
の処理ツールのツールローディングプラットフォームと
の間でウェハキャリアを移動するように適合される構成
を示す、平面図である。
【図4B】ツールローダロボットが保管装置と一つ以上
の処理ツールのツールローディングプラットフォームと
の間でウェハキャリアを移動するように適合される構成
を示す、側面図である。
【図5A】保管装置がウェハキャリアを直接にツールロ
ーディングプラットフォームへと送り出すように適合さ
れる構成を示す、平面図である。
【図5B】保管装置がウェハキャリアを直接にツールロ
ーディングプラットフォームへと送り出すように適合さ
れる構成を示す、側面図である。
【符号の説明】
311 FAB 313 中央輸送通路 315 分岐輸送通路 316 クリーンルーム壁 317、409 処理ツールセット 319、410 処理ツール 321、411 保管装置 323、425 ツールローディングプラットフォーム LP ロードポート 413 垂直移動機構 415 水平移動機構 417 E15ロードポート 419 オーバーヘッドロードポート 423 ツールローダロボット 427 レール
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ロバート ゼット. バッハラック アメリカ合衆国, カリフォルニア州, バーリンゲイム, チャピン レーン 233 (72)発明者 ジョン クリストファー モラン アメリカ合衆国, カリフォルニア州, サラトガ, ライヴ オーク レーン 14725

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】 製作システムであって、 分岐輸送通路と、 ウェハキャリアを保管するよう適合される保管−移動装
    置であって、上記装置は上記分岐輸送通路に垂直に連結
    されており、ウェハキャリアを上記分岐輸送通路から受
    け取るよう適合される一つ以上のロードポートを持つ保
    管−移動装置と、 上記保管装置に連結される第1の製作ツールと、 ウェハキャリアを上記保管−移動装置から受け取るよう
    適合される第1のツールローディングプラットフォーム
    であって、上記第1のツールローディングプラットフォ
    ームは、上記第1の製作ツールをその上に位置付けられ
    たウェハキャリアにアクセス可能に位置付けられる、第
    1のツールローディングプラットフォームとを備える製
    作システム。
  2. 【請求項2】 上記第1の製作ツールが、上記分岐輸送
    通路に平行に連結され、上記保管装置に垂直に連結され
    る、請求項1に記載のシステム。
  3. 【請求項3】 上記保管装置が、ウェハキャリアを垂直
    に移動するよう適合される垂直構成要素、およびウェハ
    キャリアを水平に移動するよう適合される水平構成要素
    を含む、請求項1に記載のシステム。
  4. 【請求項4】 上記保管装置が更にオーバーヘッドロー
    ドポートを含む、請求項1に記載のシステム。
  5. 【請求項5】 上記ロードポートがオーバーヘッドロー
    ドポートである、請求項1に記載のシステム。
  6. 【請求項6】 上記ロードポートがオーバーヘッドロー
    ドポートである、請求項3に記載のシステム。
  7. 【請求項7】 上記分岐輸送通路に平行に、また上記保
    管装置に垂直に連結される第2の製作ツールと、 ウェハキャリアを上記保管装置から受け取るよう適合さ
    れる第2のツールローディングプラットフォームであっ
    て、上記第2のツールローディングプラットフォーム
    は、上記第2の製作ツールをその上に位置付けられたウ
    ェハキャリアにアクセス可能に位置付けられる、第2の
    ツールローディングプラットフォームとを更に含む、請
    求項2に記載のシステム。
  8. 【請求項8】 上記保管装置が、ウェハキャリアを垂直
    に移動するよう適合される垂直構成要素、およびウェハ
    キャリアを水平に移動するよう適合される水平構成要素
    を含む、請求項7に記載のシステム。
  9. 【請求項9】 上記保管装置が更にオーバーヘッドロー
    ドポートを含む、請求項7に記載のシステム。
  10. 【請求項10】 上記ロードポートがオーバーヘッドロ
    ードポートである、請求項7に記載のシステム。
  11. 【請求項11】 上記ロードポートがオーバーヘッドロ
    ードポートである、請求項8に記載のシステム。
  12. 【請求項12】 上記第1と第2の製作ツールが同一の
    処理を実行するよう構成される、請求項7に記載のシス
    テム。
  13. 【請求項13】 上記第1と第2の製作ツールが異なる
    処理を実行するよう構成される、請求項7に記載のシス
    テム。
  14. 【請求項14】 製作システムであって、 分岐輸送通路と、 複数の製作ツールセットであって、それぞれ製作ツール
    セットが、 ウェハキャリアを保管するよう適合される装置であっ
    て、上記装置は上記分岐輸送通路に垂直に連結されてお
    り、ウェハキャリアを工場輸送エージェントから受け取
    るよう適合される一つ以上のロードポートを持つ、装置
    と、 上記分岐輸送通路に平行に連結され上記保管装置に垂直
    に連結される第1の製作ツールと、 ウェハキャリアを上記保管装置から受け取るよう適合さ
    れるツールローディングプラットフォームであって、上
    記ツールローディングプラットフォームは、上記第1の
    製作ツールをその上に位置付けられたウェハキャリアに
    アクセス可能に位置付けられる、ツールローディングプ
    ラットフォームとを含む、製作システム。
  15. 【請求項15】 製作システムを構築する方法であっ
    て、 分岐輸送通路を提供するステップと、 少なくとも一つの保管装置を上記分岐輸送通路に垂直に
    連結するステップと、 第1の製作ツールを、ウェハキャリアが上記分岐輸送通
    路から上記保管装置により受け取られ得るように、また
    上記保管装置に沿って上記第1の製作ツールに隣接する
    位置へ移動され得るように、上記保管装置に連結するス
    テップと、 第2の製作ツールを、ウェハキャリアが上記分岐輸送通
    路から上記保管装置により受け取られ得るように、また
    上記保管装置に沿って上記第2の製作ツールに隣接する
    位置へ移動され得るように、上記保管装置に連結するス
    テップと を含み、上記第1の製作ツールは、上記第2の製作ツー
    ルの近傍かつ上記第2の製作ツールと上記分岐輸送通路
    の間に位置付けられる、方法。
  16. 【請求項16】 第1の製作ツールを連結するステップ
    が、上記第1の製作ツールを上記保管装置に垂直に上記
    分岐輸送通路に平行に連結するステップと、 上記第2の製作ツールを上記保管装置に垂直に上記分岐
    輸送通路に平行に連結するステップとを含む、請求項1
    5に記載の方法。
  17. 【請求項17】 第1のポッドオープナーおよび第2の
    ポッドオープナーを提供するステップであって、封止さ
    れたウェハキャリアを開封するように、また、一つ以上
    のウェハがそこに連結される製作ツールへと直接そこか
    ら抜き取られることが可能なようにそれぞれ適合されて
    おり、上記ウェハが上記ウェハキャリアの周囲環境に晒
    されることがない、ステップと、 ウェハキャリアを上記保管装置から受け取るように、ま
    たウェハを上記第1の製作ツールに提供するように、上
    記第1のポッドオープナーを位置付けるステップと、 ウェハキャリアを上記保管装置から受け取るように、ま
    た、ウェハを上記第2の製作ツールに提供するように、
    上記第2のポッドオープナーを位置付けるステップとを
    更に含む、請求項15に記載の方法。
  18. 【請求項18】 半導体処理装置の耐故障性生産能力を
    促進する方法であって、 定常状態処理を必要としない追加の処理ツールを用いる
    半導体処理設備を提供するステップと、 上記追加の処理ツールの支払いを将来の使用を条件にす
    るステップとを含む方法。
  19. 【請求項19】 上記追加の処理ツールを提供すること
    と引き換えに毎月の支払いを承認するステップを更に含
    む、請求項18に記載の方法。
  20. 【請求項20】 追加の処理ツールを提供するステップ
    が真空の製作ツールを提供するステップを含む、請求項
    18に記載の方法。
  21. 【請求項21】 追加の処理ツールを提供するステップ
    が真空の製作ツールを提供するステップを含む、請求項
    19に記載の方法。
  22. 【請求項22】 半導体処理装置の耐故障性生産能力を
    促進する方法であって、 定常状態処理を必要としない追加の処理ツールを用いる
    半導体処理設備を提供するステップと、 上記追加の処理ツールを提供することと引き換えに毎月
    の支払いを承認するステップとを含む方法。
  23. 【請求項23】 追加の処理ツールを提供するステップ
    が真空の製作ツールを提供するステップを含む、請求項
    22に記載の方法。
JP2001057083A 2000-03-02 2001-03-01 拡張可能な機材セットを備えた製作システム Withdrawn JP2001308159A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/517,227 US6698991B1 (en) 2000-03-02 2000-03-02 Fabrication system with extensible equipment sets
US09/517227 2000-03-02

Publications (1)

Publication Number Publication Date
JP2001308159A true JP2001308159A (ja) 2001-11-02

Family

ID=24058911

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001057083A Withdrawn JP2001308159A (ja) 2000-03-02 2001-03-01 拡張可能な機材セットを備えた製作システム

Country Status (5)

Country Link
US (1) US6698991B1 (ja)
EP (2) EP1132947A3 (ja)
JP (1) JP2001308159A (ja)
KR (1) KR20010087300A (ja)
TW (1) TW498401B (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
TWI294155B (en) * 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
KR100475120B1 (ko) * 2002-11-27 2005-03-10 삼성전자주식회사 복층의 청정실을 갖는 반도체 장치의 제조시스템
DE10350517A1 (de) * 2003-10-29 2005-06-09 Sieghard Schiller Gmbh & Co. Kg Wafer-Stocker
US7031786B2 (en) * 2004-03-25 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for fabrication backup planning
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20130226329A1 (en) * 2006-08-12 2013-08-29 Frederick A. Flitsch Cleanspace Fabricators for High Technology Manufacturing and Assembly Processing
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US9991139B2 (en) * 2012-12-03 2018-06-05 Asm Ip Holding B.V. Modular vertical furnace processing system
WO2014104895A1 (en) * 2012-12-31 2014-07-03 Asm Ip Holding B.V. Semiconductor processing assembly and facility
CN105680287B (zh) * 2016-03-21 2018-05-29 东莞市精和电子科技有限公司 电连接器及其生产方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
DE69403890T2 (de) * 1994-01-14 1998-01-08 Ibm Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
JPH1159829A (ja) * 1997-08-08 1999-03-02 Mitsubishi Electric Corp 半導体ウェハカセット搬送装置、半導体ウェハカセット搬送装置で用いられるストッカ、ならびに半導体ウェハカセット搬送装置で用いられるストッカ入庫作業制御方法、ストッカ出庫作業制御方法、自動搬送車制御方法、およびストッカ在庫照合方法
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JPH11121582A (ja) * 1997-10-15 1999-04-30 Mitsubishi Electric Corp 半導体ウェハ製造設備制御方法および半導体ウェハ製造設備
US6082951A (en) * 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
US6087811A (en) * 1998-12-09 2000-07-11 Analog Modules, Inc. Pulsed-output power supply with high power factor
US6435330B1 (en) * 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism

Also Published As

Publication number Publication date
EP1132947A2 (en) 2001-09-12
US6698991B1 (en) 2004-03-02
KR20010087300A (ko) 2001-09-15
EP1132947A3 (en) 2006-06-21
EP1146451A3 (en) 2001-11-14
EP1146451A2 (en) 2001-10-17
TW498401B (en) 2002-08-11

Similar Documents

Publication Publication Date Title
JP2001308159A (ja) 拡張可能な機材セットを備えた製作システム
US6979168B2 (en) Method and apparatus for transferring substrate
KR101184789B1 (ko) 도포, 현상 장치
US8292563B2 (en) Nonproductive wafer buffer module for substrate processing apparatus
US5445484A (en) Vacuum processing system
US9919871B2 (en) Buffer station for stocker system
US20050111936A1 (en) Multi-chamber system
US6821082B2 (en) Wafer management system and methods for managing wafers
US9218994B2 (en) Two-dimensional transfer station used as interface between a process tool and a transport system and a method of operating the same
US6328768B1 (en) Semiconductor device manufacturing line
JP3454034B2 (ja) 真空処理装置
KR100666346B1 (ko) 기판세정장치 및 그 방법
US6811369B2 (en) Semiconductor fabrication apparatus, pod carry apparatus, pod carry method, and semiconductor device production method
Plata 300 mm fab design-a total factory perspective
JPH07106215A (ja) 半導体製造装置における障害処理方法
JP3335831B2 (ja) 真空処理装置
US7261746B2 (en) Intermediate product manufacturing apparatus, and manufacturing method
JP4369159B2 (ja) 真空処理装置
KR100317000B1 (ko) 스토커-기지 이동 시스템
JP2007150369A (ja) 半導体装置の製造方法
JP3512404B2 (ja) 真空処理装置および試料の真空処理方法
JPH04298059A (ja) 真空処理装置
JP3251566B2 (ja) ストッカ搬送システム
US20230215749A1 (en) Logistics system in fabrication facility
TW468203B (en) Improved semiconductor manufacturing system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080229

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080729