KR20010087300A - 확장 가능한 장비 세트를 구비한 제조 시스템 - Google Patents

확장 가능한 장비 세트를 구비한 제조 시스템 Download PDF

Info

Publication number
KR20010087300A
KR20010087300A KR1020010010908A KR20010010908A KR20010087300A KR 20010087300 A KR20010087300 A KR 20010087300A KR 1020010010908 A KR1020010010908 A KR 1020010010908A KR 20010010908 A KR20010010908 A KR 20010010908A KR 20010087300 A KR20010087300 A KR 20010087300A
Authority
KR
South Korea
Prior art keywords
tool
manufacturing
storage device
wafer carrier
tools
Prior art date
Application number
KR1020010010908A
Other languages
English (en)
Inventor
로버트지. 바크라체
존크리스토퍼 모란
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010087300A publication Critical patent/KR20010087300A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Safety Devices In Control Systems (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Stored Programmes (AREA)

Abstract

슈퍼프레임(SuperFrame)이라고도 언급되는 제조 시스템은, 브랜치 이송 통로에 수직으로 연결된 저장 장치 및 브랜치 이송 통로(branch transport aisle)에 평행하게 연결된 하나 이상의 환경이 제어되는 제조 도구를 포함한다. 상기 제조 도구는 하나의 챔버 유닛 또는 서브 메인프레임을 가진 다수의 클러스터 도구를 둘러쌀 수 있다. 상기 저장 장치는, 팩토리 이송 에이전트(factory transport agent)로부터 또는 팩토리 이송 에이전트로 웨이퍼 캐리어를 이송할 수 있게 하는 하나 이상의 로드 포트(load port)를 가지고 있다. 도구 로딩 플랫폼(tool loading platform)은, 저장 장치로부터 웨이퍼 캐리어를 수용하고 제조 도구가 거기에 배치된 웨이퍼 캐리어에 접근할 수 있도록 배치된다. 다수의 제조 도구는 장치 세트 내에서 서로 인접하여 연결될 수 있다. 다수의 제조 도구 각각은, 웨이퍼 또는 웨이퍼 캐리어가 팩토리 이송 에이전트로부터 수용되거나 이송되도록 하며 저장 장치를 따라 이에 연결된 다수의 제조 도구들 중 어느 하나로 이송되도록 저장 장치에 연결된다. 컴퓨터 프로그램에는 저장 장치에 연결된 도구의 개수를 입력하고, 저장 장치에 기반을 둔 웨이퍼 캐리어의 이송이 제어된다. 또한, 초기 투자비용을 줄이는 방법이 제공된다.

Description

확장 가능한 장비 세트를 구비한 제조 시스템{FABRICATION SYSTEM WITH EXTENSIBLE EQUIPMENT SETS}
본 발명은 넓게는 제조 시스템(fabrication system)에 관한 것이며, 보다 구체적으로는 환경이 제어되는 제조 도구(environmentally controlled fabricationtools) 내에서 기판을 제조하기 위한 제조 시스템에 관한 것이다.
반도체 집적 회로 제조 산업에서, 하나의 결함은 제조된 반도체 소자에 필수적인 피쳐를 파괴시켜, 웨이퍼 다이(wafer die) 전체를 파손시킬 수 있다. 또한 결함은, 원하지 않는 국부적 영역 및 이와 유사한 것을 발생시키는 누출 경로를 형성함으로써, 소자의 성능 및 신뢰도를 떨어뜨릴 수 있다.
입자가 웨이퍼 상에 떨어지면, 결함은 야기될 수 있다. 일반적으로 입자는, 웨이퍼 상에 증착 또는 성장되는 박막으로부터 뿐만 아니라 작업자 그리고 웨이퍼가 프로세싱 되는 환경에서 발생된다(예를 들면, 입자는 프로세싱 챔버 내에서 물체가 이동될 때 생기는 마찰에 의해 발생).
입자에 의해 유도되는 결함을 감소시키기 위해, 웨이퍼는 청정 환경에서 제조되고, 이송의 효율성을 위해 격자를 따라 대략적으로 배열된 다수의 프로세싱 도구를 포함한 FAB로 알려진 대규모 밀폐 청정 영역에서 생산된다. 격자 배치(grid layout)는 웨이퍼 이송의 구성을 용이하게 하고 자동식 웨이퍼 이송을 가능하게 한다. 현재, 격자 배치식 프로세싱 챔버 및 도구는, 웨이퍼가 생산 유닛으로서 다루어지도록 그리고 FAB 제어 소프트가 이러한 각 생산 유닛을 하나의 독립체로서 다루도록 제공된다.
두 개의 격자 배치는 베이 체이스 배치(bay and chase layout) 및 볼룸 배치(ballroom layout)이며, 이들 각각은 도 1a 및 도 1b에 도시되어 있다.
도 1a는 다수의 브랜치 이송 통로(15)가 분기되는 클린 이송 통로(13)를 포함하는 베이 체이스 배치(11a)를 도시하고 있다. 클린 룸 벽(17)은 클린 이송 통로(13) 및 브랜치 이송 통로(15)를 정한다. 클린 룸 벽(17)에 의해 정해진 브랜치 이송 통로를 베이(bay)로 부른다.
벽의 뒤쪽은 다수의 프로세싱 도구(21)를 포함하는 체이스(19)로 부른다. 각 프로세싱 도구(21)는 클린 룸 벽(17)에 있는 개구에 인접하여 위치한 로드 포트를 가짐으로써, 웨이퍼 캐리어가 클린 이송 통로(13)를 따라 베이로 이송될 수 있도록 그리고 클린 룸 벽(17)을 통하여 프로세싱 도구(21) 내로 이송될 수 있도록 한다.
도 1b는 볼룸 격자(11b)를 도시하고 있다. 볼룸 격자(11b)는 클린 룸 벽(17)이 생략된 것을 제외하고는 도 1의 베이 체이스 격자(11a)와 동일하다. 이 경우, 지지 설비들의 대부분은 프로세싱 도구(21)의 아래 플로어에 있다.
도 1a 또는 도 1b의 배치 중 어느 하나에 있어, 프로세싱 도구(21)는 밀폐된 반도체 소자 제조 도구("제조 도구")를 포함한다. 제조 도구는, 전형적으로 하나 이상의 웨이퍼를 내장하는 웨이퍼 캐리어를 수용하고 웨이퍼 캐리어를 도구의 환경 내로 배치시키는 적어도 하나 이상의 로드 포트를 포함한다; 적어도 하나 이상의 프로세싱 챔버는 웨이퍼 캐리어로부터 나온 웨이퍼를 처리하며, 하나의 이송 챔버는 로드 록(load lock)과 프로세싱 챔버 사이에서 웨이퍼를 이송하기 위해 적합화된 웨이퍼 핸들러(wafer handler)를 포함한다.
게다가, 도 1a 또는 도 1b의 배치 중 어느 하나에 있어, FAB(11a, 11b)는 클린 룸으로 유지될 수 있으며, 필터 및/또는 환경으로부터 입자를 제거하기 위한 다른 메커니즘을 가진다. 이런 클린 룸은, 미리 정해진 레벨 또는 클린 룸 "클래스"를 초과하지 않는 클린 룸의 큐빅 피트 퍼 입자의 레벨을 확보하도록 모니터링된다. 하지만, 요구되는 여과량으로 인하여, 웨이퍼 또는 웨이퍼 캐리어가 제조 도구 바깥쪽 환경에 노출되는 영역에서 청정 환경을 달성하는 것은 상당한 비용이 필요하다. 전형적으로 0.5 마이크론 크기 입자가 7000개 보다 적은 클래스의 1 환경이 이런 영역에서 요구된다. 많은 FAB는 청정 환경 하에서 웨이퍼를 둘러싸는 밀폐된 웨이퍼 캐리어를 사용한다.
일반적으로, 베이 체이스 배치(11a) 내에서, 제조 도구는, 웨이퍼 및 웨이퍼 캐리어가 로드 록 내에 로딩되고 원하는 환경이 달성된 후 제어된 환경에서 유지되기 때문에, 클린 룸 벽(17)은, 웨이퍼가 이런 환경에 노출될 수 있는 높은 청정도를 가지는 화이트 영역(베이; 15 및/또는 클린 이송 통로)과 웨이퍼가 웨이퍼 캐리어 내에 밀폐되거나 제조 도구(21) 내에 밀폐될 수 있는 화이트 영역보다 낮은 청정도를 가진 그레이 영역(체이스; 19)으로 나누어진다. 웨이퍼 및/또는 웨이퍼 캐리어는 로도 록에 인접한 환경에 노출되기 때문에, 제조 도구의 로드 록은 클린 룸 벽(17)을 통해 연장된 밀폐될 수 있는 개구에 배치되어, 로드 록의 개구에 인접한 영역이 화이트 환경으로 유지된다. 나머지 제조 도구(21)는 체이스(19)의 그레이 환경 내에 유지되고, 전형적으로 클래스 환경은 0.5 마이크론 크기의 약 700,000개의 입자보다 적은 또는 퍼 큐빅 피트보다 큰 환경을 가진다.
베이 체이스 배치(도 1a)는 필터링이 필요 없는 그레이 영역으로 인하여 클린 룸의 비용을 감소시킨다. 하지만, 화이트 영역과 그레이 영역 사이에 클린 룸 벽(17) 및 다른 클린 룸 가우닝(growning; 예를 들어 특수한 천)은 제조 도구로의접근을 방해한다. 반면에, 볼룸 배치(도 1b)는 제조 도구를 둘러싸는 영역에 자유로운 접근을 허용한다. 볼룸 FAB는 화이트 및 그레이 영역 사이에 가격이 저렴한 청정 상태가 유지된다. 그러나, 웨이퍼는 밀폐된 캐리어 또는 "포드"에 이송되어야 한다. 볼룸 및 베이 체이스 배치에 있어, 프로세싱 도구(21)의 추가는 브랜치 이송 통로(15)의 연장(또는 더 많은 통로의 추가)을 필요로 하며 FAB(11a, 11b) 내에서 웨이퍼 이송을 제어하는 소프트 프로그램의 복잡성(추기의 도구 로딩 플랫폼 위치가 프로그램에 포함될 것임) 및 장치의 분산성으로 인하여 FAB 처리가 더 복잡해진다. 이런 배치의 채용에도 불구하고, FAB의 구성은 클린 룸 가격 및 고장 허용 능력 시스템(예를 들면, 도구 수리 및 유지를 위해 필요한 불가결한 도구의 휴지시간에도 불구하고 시스템은 원하는 생산율(웨이퍼/시간)을 유지하기 위해 충분한 도구를 가짐)을 제공하기 위해 필요한 중요한 초기 투자로 인한 비용이 많이 드는 문제점을 가지고 있다.
따라서, 제조 시스템은 점진적으로 또는 단계적으로 제조 도구의 개수를 증가시키기 용이하도록 그리고 클린 룸의 화이트 영역을 최소화하도록 설계된다. 게다가, 개선된 경영법은 고장 허용 FAB를 유지함과 동시에 초기 장치의 투자 비용을 감소시키는 방법이 요구된다.
본 발명은 FAB 구조를 제공하는 것으로, FAB 구조는 생산 유닛 또는 프로세싱 도구를 국부적으로 조직화하여 생산량이 각 프로세싱 단계에 대해 독립적으로 적용될 수 있도록 한다.
도 1a는 다수의 브랜치 이송 통로 내로 분기되는 클린 이송 통로를 포함하는 베이 및 체이스 배치(bay and chase layout)의 개략적인 평면도;
도 1b는 볼룸 배치(ballroom layout)의 개략적인 평면도;
도 2는 다수의 프로세싱 도구를 채용한 종래 제조 시스템의 개략적인 평면도;
도 3은 본 발명의 제조 시스템의 평면도;
도 4a 및 4b 각각은 도구 로더 로봇이 저장 장치와 도구 로딩 플랫폼 사이에서 웨이퍼 캐리어를 이송하도록 적합화된 구성을 도시하는 평면도 및 측면도; 및
도 5a 및 5b 각각은 저장 장치가 웨이퍼 캐리어를 도구 로딩 플랫폼 상에 직접 전달하기 위한 구성을 도시하는 평면도 및 측면도이다.
프로세싱 용량은 브랜치 이송 통로의 연장 및 추가의 도구 로드 포트에 대한 FAB를 제어하는 프로그램의 추가 없이 추가될 수 있다. 슈퍼프레임이라고 언급되는 본 발명의 FAB 구조는 세트 내에 도구를 배열한다. 도구 세트 내에 있는 각각의 도구는 브랜치 이송 통로에 수직으로 배열된 일반적인 저장-이동 장치를 통해 브랜치 이송 통로에 연결된다. 일반적인 저장-이동 장치는 브랜치 이송 통로로부터 웨이퍼 캐리어를 수용하기 위한 하나 이상의 팩토리 로드 포트를 구비하고 있다. 유사하게, 도구 세트 및 저장-이동 장치는 도구 세트 및 저장-이동 장치를 통제하는 하나의 로컬 제어 프로그램을 가지고 하나의 유닛으로서 FAB에 도구 세트 및 저장-이동 장치를 제공한다.
추가적으로, 고장 허용 능력의 감소 없이 자본재 장치 비용을 감소시키는 방법이 제공된다. 특히, 안정된 상태의 프로세싱에서는 필요 없는 추가의 프로세싱 도구는 주기적 지불(월별 또는 분기별)과 교환되어 제공된다. 그 대신, 앞으로의 사용을 조건으로 지불이 이루어질 수도 있다. 즉, 제조업자는 고장 허용 능력 또는 백업 능력을 제공하는 추가의 도구를 가지지만, 아직은 추가 도구 구입에 대한 초기 자본 투자비용은 가지고 있지 않다.
본 발명의 다른 특징 및 장점은 첨부된 도면, 청구범위, 바람직한 실시예의 상세한 설명을 통해 명백해 질 것이다.
본 발명의 장점들을 인식하기 위해서는, 종래의 제조 시스템이 가지고 있는 복잡성 및 자본 투자 문제를 이해하는 것이 중요하다. 즉, 종래의 FABS가 개별적인 도구로부터 시작되는 반면, 본 발명에 따라 제작된 슈퍼프레임(SuperFrame)은 추가적인 프로세싱 집합체의 레벨을 제공한다.
도 2를 참조로 하여 종래의 제조 시스템이 설명된다. 도 2는 다수의 프로세싱 도구(213a 내지 213h)를 채용한 종래 FAB(211)의 평면도이다. 프로세싱 도구(213a 내지 213h)는 캘리포니아 산타클라라에 소재한 어플라이드 머티어리얼스 인코퍼레이티드에 의해 제작된 엔듀라(Endura™) 또는 센츄라(Centura™)와 같은 종래의 프로세싱 도구를 나타낸다. 엔듀라 및 센츄라는 진공 프로세싱 도구의 예들이며, 환경이 제어되는 프로세싱 도구의 특이한 종류이다. 일반적으로, 이런 프로세싱 도구는 이송 챔버에 연결된 하나 이상의 로드 록(215a 및 215b)을 채용하며, 이송 챔버는 웨이퍼 핸들러(219) 및 다수의 프로세싱 챔버(221a 내지 221d)를 포함한다. 진공 프로세싱 도구(213a 내지 213d) 각각은 클린 이송 통로(224)로부터 분기되는 브랜치 이송 통로(223)를 따라 배치된다. 클린 룸 벽(팬텀으로 도시됨; 227)이 채용될 수 있다. 클린 룸 벽(227)이 채용되면, 도시된 바와 같이, 적어도 로드 록(215a 및 215b)의 슬릿 밸브(225)를 둘러싸는 영역은 화이트 영역(229)로부터 그레이 영역(228)을 분리하는 클린 룸 벽(227)을 통과하여 연장된다.
도구 로딩 플랫폼(231)은 팩토리 이송 에이전트(예를 들면, 오버헤드 컨베이어, 자동식 가이드 차량 등)로부터 또는 각 도구(213a 내지 213h)에 인접하여 배치된 저장 메커니즘(235)으로부터 웨이퍼 캐리어를 수용하기 위해 채용된다. 웨이퍼 캐리어는 팩토리 이송 에이전트 또는 저장 메커니즘(235)에 의해 도구 로딩플랫폼(231) 상에 직접적으로 배치되거나, 또는 도구 로더 로봇(도시 안됨)에 의해 팩토리 이송 에이전트로부터 도구 로딩 플랫폼(231)으로 이송될 수 있다. 로딩 플랫폼(231)은 웨이퍼의 "포드" 또는 밀폐된 카셋트를 개방하기 위한 메커니즘을 포함할 수 있다. 예시적인 포드 개방 메커니즘은 미국 특허 제 5,772,386호에 공지되어 있다. 미국 특허 출원 제 09/350,867 또는 미국 특허 제 5,980,183호에 공지된 것과 같은 저장 메커니즘(235)은 각 도구(213a 내지 213d)의 부근에 카세트를 저장하기 위해 전형적으로 채용된다.
고장 허용 능력 시스템(fault tolerant system)을 형성하기 위해, 제 1 동일 도구(identical tools) 세트는 제 1 프로세싱 또는 일련의 프로세싱들을 실행하고, 제 2 동일 도구 세트는 제 2 프로세싱 또는 일련의 프로세싱들을 실행하며, 세트 내 각각의 도구는 총 용량보다 적은 용량(예를 들면, 50-75% 용량)으로 작동된다. 즉, 세트 내 하나의 도구가 실행되지 않으면, 세트 내 있는 다른 도구들이 시간당 FAB의 이상적인 웨이퍼를 유지하기 위해 생산량을 증가시킬 수 있다.
제작 실행 및 재료 제어(MEMC) 프로그램을 포함하는 제어기(239)는, 독립된 도구의 스케줄링, 팩토리 이송 에이전트의 작동, 저장 매커니즘(235), 도구 로더 로봇(만약 있다면)등을 제어한다. 따라서, 두개의 동일 도구를 포함하는 도구 세트에 있어서, 도구 세트 내 제 1 제조 도구가 실행되지 않는다는 것을 나타내는 입력을 MEMC 프로그램이 수용하면, MEMC 프로그램(239)은 카세트를 세트 내 제 2 제조 도구(213b)로 회전시킨다. 이와 달리, 각각의 저장 및 이동 장치 그리고 그들 사이에 연결된 도구들은 개별적으로 제어될 것이고, 로컬 제어기는 MEMC 프로그램이 저장 및 이동 장치 그리고 그들 사이에 연결된 도구들을 하나의 유닛으로서 간주하는 것을 허용한다(예를 들면, MEMC 프로그램이 저장 및 이동 장치의 로드 포트를 간주하는 정보만을 저장하고, 도구 세트의 생산량를 간주하는 정보만을 저장하는 것을 허용).
작동되는 동안, MEMC 프로그램(239)의 제어 하에서, 웨이퍼 캐리어는 중앙 이송 통로(224)를 따라 이송되고(예를 들면, 오버헤드 이송 메커니즘, 자동식 가이드 차량(AGV)을 거쳐 수동적으로 이송), 그로부터 저장 메커니즘(235)의 로드 포트(LP)로 이송된다. 그 다음, 웨이퍼 캐리어는 저장 메커니즘을 따라 도구 로딩 플랫폼(231) 중 어느 한곳에 또는 웨이퍼를 저장 메커니즘(235)으로부터 꺼내어 각각의 도구 로딩 플랫폼(231) 상에 배치시킬 수 있는 도구 로더 로봇으로 이송된다. 그 다음, 하나 이상의 웨이퍼는 도구 로더 플랫폼(231)으로부터 각각의 로드 록(215a 및 215b)으로 로딩된다. 프로세싱 도구 중 하나가 실행되지 않으면, MEMC 프로그램(239)은 프로세싱 도구의 미실행을 나타내는 입력(미실행 프로세싱 도구의 제어기로부터 또는 수동적으로)을 수용한다. MEMC 프로그램(239)은 웨이퍼 캐리어를 세트(예를 들면, 도구 213b) 내 다른 프로세싱 도구로 향하도록 한다.
FAB가 자본 집약적이기 때문에, 요구되는 클린 룸 공간 및 도구의 수를 최소화하고, 생산량을 조금씩 증가시키는 것이 가능하며, 생산 용량을 일관성 있게 조절 할 수 있는 커다란 효과가 있다. 매출 증가시기에 너무 적은 용량을 가지거나 매출 감소시기에 너무 많은 용량을 가지거나 할 때, FAB 용량은 주의 깊게 조절되어야 한다.
FAB에 추가되는 각 증가량은 프로세스의 흐름 및 시스템 밑넓이(footprint)를 최적화하기 위해 도구 존재의 재구성을 요구하고, 종종 브랜치 이송 통로(223)의 연장 또는 다른 통로의 사용을 요구한다. 게다가, 존재하는 도구의 재구성이 요구되면, MEMC 프로그램(239)에 상당한 변형이 요구된다(예를 들면, MEMC 프로그램은 새로운 도구 로딩 플랫폼 위치(231) 및 새로운 카세트 회전 경로를 요구).
본 발명은, 브랜치 이송 통로 길이를 감소시키거나 최소화하는 FAB 구조를 제공함으로써 종래 FAB의 단점들을 처리하여 해결한다. 게다가, 본 발명의 FAB는 브랜치 이송 통로(223)를 따라 추가의 도구 로딩 플랫폼(231)을 요구하지 않고 MEMC 프로그램(239)에 최소의 변경만을 요구하는데, 이는 도 3을 참조로 하여 이해될 것이다.
도 3은 본 발명의 제조 시스템(311)의 평면도이다. 본 발명의 FAB(311)는 중앙 이송 통로(313) 및 다수의 브랜치 이송 통로(하나만을 도시; 315)를 포함한다. 중앙 이송 통로(313) 및 브랜치 이송 통로(315)는 선택적으로 팬텀으로 도시된 바와 같이, 클린 룸 벽(316)에 의해 정해진다. 두 개 이상의 프로세싱 도구(319a 및 319b)를 포함하는 다수의 프로세싱 도구 세트(317a 내지 317h)는 각 브랜치 이송 통로(315)의 길이를 따라 배열된다. 저장 장치(321)는 브랜치 이송 통로(315)와 각 도구 세트(317a 내지 317h)를 연결한다. 특히, 각 저장 장치(321)는 브랜치 이송 통로(315)에 대해 수직으로 배열되고 하나 이상의 로드 포트(LP)를 채용하여 팩토리 이송 에이전트(예를 들면, 오버헤드 컨베이, 자동식 가이드 차량, , FAB 퍼스널 등)로부터 또는 브랜치 이송 통로에 평행한 저장장치로부터 웨이퍼캐리어를 수용한다. 브랜치 이송 통로에 평행한 저장 장치로부터 도 2의 저장 장치에서와 같이 동일한 일들을 실행한다. 각 저장 장치(321)는 프로세싱 도구(319a 내지 319h) 앞에 연장된 수평 이송 메커니즘을 적어도 포함한다. 저장 장치(321)가 도 4a 및 4b에 도시된 바와 같이, 로드 버퍼(load buffer)를 포함하는 것이 가장 바람직하다.
각 프로세싱 도구(319a, 319b)가 그곳에 연결된 하나 이상의 도구 로딩 플랫폼(323)을 가짐으로써, 종래의 구조와 같이, 하나 이상의 웨이퍼 캐리어는 도구 로딩 플랫폼(323)으로부터 각각의 프로세싱 도구(319)로 이송될 수 있다. 도구 로딩 플랫폼(323)이 포드 개방 능력(즉, 밀폐된 웨이퍼 캐리어를 개방하는 능력)을 포함하는 것이 바람직하다. 도구 로딩 플랫폼(323)이 1998년 1월 23일자로 미국 특허 출원 제 09/012,323호에 공지된 것과 같이 구성되는 것이 가장 바람직하다.
저장 장치(321)는, 미국 특허 출원 제 09/350,867호에 공지된 바와 같이, 수직 이송 메커니즘(엘리베이터 또는 선택 및 배치 로봇) 및 수평 이송 메커니즘(컨베이어 또는 선택 및 배치 로봇에 연결된 셸프)을 포함하는 것이 바람직하다. 미국 특허 출원 제 09/350,867호에 공지된 바와 같이 그리고 도 4a 및 도 4b에 도시된 바와 같이, 저장 장치(321)는 프로세싱 도구(319) 사이로 웨이퍼 캐리어를 이송시키기 위한 컨베이어를 포함하는 것이 가장 바람직하다.
각각의 도 4a 및 도 4b는 프로세싱 도구 세트(409)에 연결된 바람직한 저장 장치(411)를 가진 프로세싱 도구 세트(한 쌍의 프로세싱 도구; 410a 및 410b)의 평면도 및 측면도이다. 저장 장치(411)는 세 개의 수직 이송 메커니즘(413a 내지413c; 예를 들면, 엘리베이터)을 포함하며, 각각의 수직 이송 메커니즘은 하나의 수평 이송 메커니즘(415; 예를 들면, 컨베이어)에 연결된다. 요구되는 수직 이송 메커니즘의 개수는, 세트 내 도구의 개수에 따라, FAB 구조로부터 다음구조로 다양하다. 제 1 수직 이송 메커니즘(413a)은, 브랜치 이송 통로(도 3; 315)에 가장 가깝게 인접하여 배치되고, E15 로드 포트(SEMI 표준 E15에 따라 구성됨; 417) 및 종래의 구조에서와 같이 수동식 또는 자동식 이송 메커니즘으로부터 웨이퍼 캐리어를 수용하기 위해 적용된 오버헤드 로드 포트(419)를 구비하는 것이 바람직하다. 각 로드 포트(417,419)가 다수의 롤링 요소를 가진 표면을 포함하는 것이 바람직하다. 이런 표면은 E15 로드 포트(417)와 수평 이송 메커니즘 사이에서 상승되거나 하강될 수 있다. 또한 수평 이송 메커니즘이 다수의 롤링 요소를 포함하는 것이 바람직하다. 로드 포트가 수평 이송 메커니즘과 같은 선상에 있으면, 로드 포트의 롤링 요소에 전력이 인가되어, 그곳에 배치된 웨이퍼 캐리어를 수직 이송 메커니즘(413a)으로부터 수평 이송 메커니즘(415) 상으로 이송하게 될 것이다.
미국 특허 출원 제 09/350,867호에 공지된 바와 같이, 수평 이송 메커니즘(415)이, 이송 위치 및 저장 위치 사이에서 지수가 되는 롤링 요소의 듀얼 컴파트먼트 세그먼트(dual compartment segment) 다수를 포함하는 것이 바람직하다. 웨이퍼 캐리어는 저장 메커니즘에 배열되거나 수평 이송 메커니즘(415)을 가로질러 수평 이송 메커니즘(413b 및 413c)중 다른 하나로 이송될 것이며, 이것은 수평 이송 메커니즘(415)에 인접한 상승된 위치에 있는 롤링 요소의 표면을 포함하는 것이 바람직하다. 그 다음, 수직 이송 메커니즘(413b 및 413c)은, 웨이퍼 캐리어가 배치된 롤링 요소의 표면을 각각의 프로세싱 도구(410a 및 410b)에 인접하도록 하강시킨다.
도 4a의 실시예에서, 도구 로더 로봇(423)은 저장 장치(411)와 하나 이상의 프로세싱 도구(410a 및 410b)의 도구 로딩 플랫폼(425) 사이에서 웨이퍼 캐리어를 이송시키기 위해 적용된다. 하나의 도구 로더 로봇(423)은 다수의 프로세싱 도구(410a 내지 410b) 앞에 연장된 레일(427)에 연결되거나, 각 프로세싱 도구(410a 내지 410b)는 제공된 도구 로더 로봇(423)을 가질 수 있다.
또는, 도 5a 및 도 5b에 평면도 및 측면도로 각각 도시된 바와 같이, 도구 로더 로봇(423)은 생략될 수 있고 저장 장치(411)가 웨이퍼 캐리어를 도구 로딩 플랫폼(425) 상으로 이송시킬 수 있다.
도구 로딩 플랫폼(425) 상에 배치된 웨이퍼 또는 웨이퍼 캐리어는 그곳으로부터 또는 그곳에서 종래의 기술과 같이 프로세싱을 위해 각각의 프로세싱 도구(410a 및 410b)로 이송된다. 웨이퍼가 밀폐된 포드(예를 들면, SMIF)이거나 프론트 오프닝 유니파이드 포드(Front Opening Unified Pod; FOUP)라면, 도구 로딩 플랫폼(425)은 종래의 구조에서와 같은 주위 분위기에 웨이퍼가 노출됨 없이, 개방을 위한 메커니즘을 포함한다.
웨이퍼 캐리어 내에 있는 모든 웨이퍼가 제 1 프로세싱 도구(410a)에서 프로세싱된 후, 모든 웨이퍼는 도구 로딩 플랫폼으로 되돌아가고, 적용할 수 있다면, 포드 타입의 웨이퍼 캐리어 내에 밀폐된다. 그 다음, 웨이퍼 캐리어는 수직 이송 메커니즘(413a)으로 이송되고 상승되어 저장 메커니즘에 배치되거나, 수평 이송 메커니즘을 따라 제 2 프로세싱 도구(410b; 예를 들면 제 1 및 제 2 프로세싱 도구는 에칭에 의한 마스킹과 같은 일련의 프로세싱을 실행하기 위해 적합화 된다) 또는 E15 또는 오버헤드 로드 포트(417, 419)로 각각 이송되며, 웨이퍼 캐리어는 제 2 프로세싱 도구 세트로의 일련의 이송 또는 중앙 이송 통로(도 3; 313; 제 2 브랜치 이송 통로로 이송하기 위함)로의 회귀를 위하여 브랜치 이송 통로(도 3; 315)로 이송된다.
상술한 바와 같이, 저장-이동 장치는 FAB로부터 웨이퍼 캐리어를 수용하고 웨이퍼 캐리어를 프로세싱 도구가 접근할 수 있는 위치로 이동시킨다(즉, 도구 로딩 플랫폼 또는 도구 로드 포트 상에 직접 웨이퍼 캐리어를 이송하기 위해 웨이퍼 캐리어를 도구 로더 로봇이 픽업함). 세트 내 각각의 프로세싱 도구는 저장-이동 장치로부터 웨이퍼 캐리어를 수용할 수 있지만, FAB의 제어 프로그램은 저장-이동 장치의 팩토리 로드 포트에 대한 정보 및 용량을 스케줄링할 필요가 있는 능력과 프로세싱에 대한 정보를 포함하고 있다.
프로세싱 도구는, FAB의 제어 프로그램을 추가하는 새로운 팩토리 로드 포트 정보 없이 도구 세트로부터 추가되거나 제외될 수 있다. 도구 세트의 매개변수는 세트의 내부 용량에만 영향을 미치기에, 실행될 프로세싱, 용량 및 여러 요소들에는 FAB 제어 프로그램이 추가될 필요가 있다. 각각의 프로세싱 도구가 전체적으로 브랜치 이송 통로와 평행하기 때문에, 프로세싱 도구는 추가의 브랜치 이송 통로와 길이, 통로의 연장 또는 새로운 통로의 추가 없이 추가될 수 있다. 상당한 비용 절감은, 축소된 브랜치 이송 통로 및 제작 도구가 추가되는 동안 휴지시간의 감소로 달성될 수 있다. 즉, 휴지시간을 최소화하고 생산력을 증가시킬 수 있다.
상술한 바와 같이, 브랜치 이송 통로에 수직으로 연결된 장치는, 브랜치 이송 통로의 연장 및 로드 포트(예를 들면, E15 로드 포트(417) 또는 오버헤드 로드 포트(419))의 추가 없이, 프로세싱 도구가 브랜치 이송 통로에 추가되도록 할 수 있다. 따라서, FAB는 최소의 휴지시간을 가지고 확장될 수 있다. 프로세싱 도구를 추가하기 위해, 저장 장치의 수평 이송 구성요소는 추가된 프로세싱 도구에 인접한 위치에 뻗어 연장되고, 데이터는 MEMC 프로그램에 입력되고 세트가 확장됨을 반영하여, 웨이퍼 캐리어의 흐름이 그에 따라 각각의 세트로부터 그리고 세트로 증가될 수 있다.
추가의 증가된 FAB 확장을 용이하게 하기 위해, 고장 허용 능력의 감소 없이 기본 장비 비용을 절감시키는 방법이 제공된다. 특히, 안정된 상태의 프로세싱에서는 필요 없는, 하나 이상의 추가된 프로세싱 도구(예를 들면, 미국 캘리포니아의 산타클라라에 소재하는 어플라이드 머티어리얼스에서 제조된 엔듀라 또는 센츄라)는 주기적 지불(월별, 주별, 년별)에 대해 교환되어 제공된다. 또는, 지불은 미래에 사용될 것을 조건으로 이루어질 수도 있다. 즉, 제조업자는 고장 허용 능력을 제공하는 추가의 도구 용량을 가지나, 추가의 프로세싱 도구를 구입하기 위한 기본 투자비를 가지고 있지 않다. 게다가, 이런 방법은 추가의 도구가 감소시키기 보다는 비용을 오히려 증가시킨다.
본 발명의 바람직한 실시예에 따라, 상기 설명된 장치 및 방법의 변형은 이 분야의 일반적인 당업자에게는 당연한 것이다. 즉, 본 발명은 격자 형태의 배치(베이 체이스 , 볼룸, 등등)에서 사용될 수 있고, 이 분야에 알려진 핀휠(pinwheel) 또는 카휠(carwheel)에서 사용될 수 있다. 본 발명은 선택적인 클린 룸 벽(27; 팬텀으로 도시됨)을 가지고 또는 가지지 않고 사용될 수 있다. 프로세싱 도구는 진공 도구(물리 기상 증착, 화학 기상 증착, 에칭 등을 위한 진공도구) 또는 환경이 제어되는 비-진공 도구(화학적 기계 폴리싱, 클링, 도량형 등을 위한 도구)가 될 수 있고, 단일의 프로세싱 챔버 또는 다수의 프로세싱 챔버를 채용할 수 있다. 중앙 이송 통로(313) 및 브랜치 이송 통로(315)는 웨이퍼 이송 및/또는 도 3에 도시된 장치와 같은 저장 장치 또는 미국 특허 출원 제 09/350,867호에 공지된 저장 장비의 임의의 구조를 포함할 수 있으며, 브랜치 이송 통로에 평행하게 배치되고 브랜치 이송 통로(315)에 수직으로 배치된 저장 장치(315)에 웨이퍼 캐리어를 제공할 수 있다. 마지막으로, 미국 특허 출원 제 09/350,867호에 공지된 바와 같이, 들어오는 웨이퍼 캐리어 또는 로트(lot)는 서브로트(sublot)로 나누어지고 용량을 증가시키기 위해 둘 이상의 프로세싱 도구로 분리된다.
따라서, 본 발명은 바람직한 실시예와 관련하여 설명되었고, 다른 실시예들이 따르는 청구범위에 정의된 바와 같이 본 발명의 사상 및 범위를 포함하고 있다.
이상 설명된 바와 같이 본 발명에 따르면, 확장 가능한 장비 세트를 구비한 제조 시스템은, 제조 시스템을 점진적으로 또는 단계적으로 제조 도구의 개수를 증가시키기 용이하도록 화이트 영역을 최소화하여 FAB 처리를 단순화하고 효과적인 생산을 가져오며, 고장 허용 능력을 유지함과 동시에 초기 설비 투자 비용의 절감을 가져오는 효과를 가지고 있다.

Claims (23)

  1. 기판을 제조하는 제조 시스템에 있어서,
    브랜치 이송 통로(branch transport aisle);
    웨이퍼를 저장하기 위한 저장-이동 장치(storage-movement apparatus);
    상기 저장-이동 장치에 연결된 제 1 제조 도구(fabrication tool); 및
    상기 저장-이동 장치로부터 웨이퍼 캐리어를 수용하기 위한 제 1 도구 로딩 플랫폼(tool loading platform)을 포함하며,
    상기 저장-이동 장치는 상기 브랜치 이송 통로에 수직으로 연결되고, 상기 브랜치 이송 통로로부터 웨이퍼 캐리어를 수용하기 위한 하나 이상의 로드 포트(load port)를 구비하고, 상기 제 1 도구 로딩 플랫폼은 상기 제 1 제조 도구가 상기 로딩 플랫폼 상에 배열된 웨이퍼 캐리어에 접근할 수 있도록 배치되는 제조 시스템.
  2. 제 1항에 있어서, 상기 제 1 제조 도구가 상기 브랜치 이송 통로에 평행하게 연결되고 상기 저장 장치에 수직으로 연결되는 제조 시스템.
  3. 제 1항에 있어서, 상기 저장 장치가 웨이퍼 캐리어를 수직으로 이동시키기 위한 수직 구성요소 및 웨이퍼 캐리어를 수평으로 이동시키기 위한 수평 구성요소를 포함하는 제조 시스템.
  4. 제 1항에 있어서, 상기 저장 장치가 오버헤드 로드 포트(overhead load port)를 추가로 포함하는 제조 시스템.
  5. 제 1항에 있어서, 상기 로드 포트가 오버헤드 로드 포트인 제조 시스템.
  6. 제 3항에 있어서, 상기 로드 포트가 오버헤드 로드 포트인 제조 시스템.
  7. 제 2항에 있어서,
    상기 브랜치 이송 통로에 평행하게 연결되고 상기 저장 장치에 수직으로 연결되는 제 2 제조 도구; 및
    상기 저장 장치로부터 웨이퍼 캐리어를 수용하기 위한 제 2 도구 로딩 플랫폼을 추가로 포함하며,
    상기 제 2 도구 로딩 플랫폼은 상기 제 2 제조 도구가 상기 제 2 도구 로딩 플랫폼 상에 위치한 웨이퍼 캐리어에 접근할 수 있도록 배열되는 제조 시스템.
  8. 제 7항에 있어서, 상기 저장 장치가 웨이퍼 캐리어를 수직으로 이동시키기 위한 수직 구성요소 및 웨이퍼 캐리어를 수평으로 이동시키기 위한 수평 구성요소를 포함하는 제조 시스템.
  9. 제 7항에 있어서, 상기 저장 장치가 오버헤드 로드 포트를 추가로 포함하는 제조 시스템.
  10. 제 7항에 있어서, 상기 로드 포트가 오버헤드 로드 포트인 제조 시스템.
  11. 제 8항에 있어서, 상기 로드 포트가 오버헤드 로드 포트인 제조 시스템.
  12. 제 7항에 있어서, 상기 제 1 및 2 제조 도구가 동일한 프로세싱을 실행하도록 구성되는 제조 시스템.
  13. 제 7항에 있어서, 상기 제 1 및 2 제조 도구가 동일하지 않은 프로세싱을 실행하도록 구성되는 시스템.
  14. 기판을 제조하는 제조 시스템에 있어서,
    브랜치 이송 통로; 및
    다수의 제조 도구 세트(fabrication tool sets)를 포함하며, 각각의 상기 제조 도구 세트가,
    웨이퍼 캐리어를 저장하기 위한 저장 장치;
    상기 브랜치 이송 통로에 평행하게 연결되고 상기 저장 장치에 수직으로 연결되는 제 1 제조 도구; 및
    상기 저장 장치로부터 웨이퍼 캐리어를 수용하기 위한 도구 로딩 플랫폼을 포함하며,
    상기 저장 장치는 브랜치 이송 통로에 수직으로 연결되고 팩토리 이송 에이전트(factory transport agent)로부터 웨이퍼 캐리어를 수용하기 위한 하나 이상의 로드 포트를 구비하며, 상기 도구 로딩 플랫폼은 상기 제 1 제조 도구가 상기 도구 로딩 플랫폼 상에 있는 웨이퍼 캐리어에 접근할 수 있도록 배열되는 도구 세트를 포함하는 제조 시스템.
  15. 제조 시스템을 제작하기 위한 방법에 있어서,
    브랜치 이송 통로를 제공하는 단계;
    상기 브랜치 이송 통로에 수직으로 하나 이상의 저장 장치를 연결하는 단계;
    상기 저장 장치에 의해 웨이퍼 캐리어가 상기 브랜치 이송 통로로부터 수용되고 상기 저장 장치를 따라 상기 제 1 제조 도구에 인접한 위치로 이송될 수 있도록 상기 저장 장치에 제 1 제조 도구를 연결하는 단계; 및
    상기 저장 장치에 의해 웨이퍼 캐리어가 상기 브랜치 이송 통로로부터 수용되고 상기 저장 장치를 따라 상기 제 2 제조 도구에 인접한 위치로 이송될 수 있도록 상기 저장 장치에 제 2 제조 도구를 연결하며, 상기 제 1 제조 도구는 상기 제 2 제조 도구와 상기 브랜치 이송 통로 사이에서 제 2 제조 도구의 인접한 위치에 배열되게 하는 단계를 포함하는 방법.
  16. 제 15항에 있어서, 상기 저장 장치에 수직으로 상기 제 1 제조도구를 연결하는 단계; 및 상기 저장 장치에 수직으로 상기 브랜치 이송 통로에 평행하게 제 2 제조 도구를 연결하는 단계를 포함하는 방법.
  17. 제 15항에 있어서,
    웨이퍼가 웨이퍼 캐리어의 주위 환경에 노출됨 없이 밀폐된 웨이퍼 캐리어를 개방하고 하나 이상의 웨이퍼가 그들로부터 자신에 연결된 제조 도구 내로 이송되게 하는 제 1 포드 오프너(pod opener) 및 제 2 포드 오프너를 제공하는 단계;
    상기 저장 장치로부터 웨이퍼 캐리어를 수용하여 상기 제 1 제조 도구에 제공하기 위해 제 1 포드 오프너를 배치하는 단계; 및
    상기 저장 장치로부터 웨이퍼 캐리어를 수용하고 상기 제 2 제조 도구에 제공하기 위한 제 2 포드 오프너를 배치하는 단계를 추가로 포함하는 방법.
  18. 반도체 프로세싱 장치에 대한 고장 허용 능력을 향상시키기 위한 방법으로,
    여분의 프로세싱 도구를 구비한 반도체 프로세싱 설비를 제공하는 단계; 및
    앞으로 사용될 여분의 프로세싱 도구에 대한 지불을 조절하는 단계를 포함하는 방법.
  19. 제 18항에 있어서, 여분의 프로세싱 도구의 제공과 교환하여 월 지불을 받아들이는 단계를 포함하는 방법.
  20. 제 18항에 있어서, 여분의 프로세싱 도구를 제공하는 단계가, 진공 제조 도구를 제공하는 단계를 포함하는 방법.
  21. 제 19항에 있어서, 여분의 프로세싱 도구를 제공하는 단계가, 진공 제조 도구를 제공하는 단계를 포함하는 방법.
  22. 반도체 프로세싱 장치에 대한 고장 허용 능력을 향상시키기 위한 방법으로,
    여분의 프로세싱 도구를 구비한 반도체 프로세싱 장치를 제공하는 단계; 및
    여분의 프로세싱 도구의 제공과 교환하여 월 지불을 받아들이는 단계와 교환하는 단계를 포함하는 방법.
  23. 제 22항에 있어서, 여분의 프로세싱 도구를 제공하는 단계가, 진공 제조 도구를 제공하는 단계를 포함하는 방법.
KR1020010010908A 2000-03-02 2001-03-02 확장 가능한 장비 세트를 구비한 제조 시스템 KR20010087300A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/517,227 US6698991B1 (en) 2000-03-02 2000-03-02 Fabrication system with extensible equipment sets
US09/517,227 2000-03-02

Publications (1)

Publication Number Publication Date
KR20010087300A true KR20010087300A (ko) 2001-09-15

Family

ID=24058911

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010010908A KR20010087300A (ko) 2000-03-02 2001-03-02 확장 가능한 장비 세트를 구비한 제조 시스템

Country Status (5)

Country Link
US (1) US6698991B1 (ko)
EP (2) EP1146451A3 (ko)
JP (1) JP2001308159A (ko)
KR (1) KR20010087300A (ko)
TW (1) TW498401B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
KR100475120B1 (ko) * 2002-11-27 2005-03-10 삼성전자주식회사 복층의 청정실을 갖는 반도체 장치의 제조시스템
DE10350517A1 (de) * 2003-10-29 2005-06-09 Sieghard Schiller Gmbh & Co. Kg Wafer-Stocker
US7031786B2 (en) * 2004-03-25 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for fabrication backup planning
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US20130226329A1 (en) * 2006-08-12 2013-08-29 Frederick A. Flitsch Cleanspace Fabricators for High Technology Manufacturing and Assembly Processing
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
JP6219402B2 (ja) * 2012-12-03 2017-10-25 エーエスエム イーペー ホールディング ベー.フェー. モジュール式縦型炉処理システム
US10319621B2 (en) * 2012-12-31 2019-06-11 Asm Ip Holding B.V. Semiconductor processing assembly and facility
CN105680287B (zh) * 2016-03-21 2018-05-29 东莞市精和电子科技有限公司 电连接器及其生产方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
DE69403890T2 (de) * 1994-01-14 1998-01-08 Ibm Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5980183A (en) 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
JPH1159829A (ja) * 1997-08-08 1999-03-02 Mitsubishi Electric Corp 半導体ウェハカセット搬送装置、半導体ウェハカセット搬送装置で用いられるストッカ、ならびに半導体ウェハカセット搬送装置で用いられるストッカ入庫作業制御方法、ストッカ出庫作業制御方法、自動搬送車制御方法、およびストッカ在庫照合方法
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JPH11121582A (ja) * 1997-10-15 1999-04-30 Mitsubishi Electric Corp 半導体ウェハ製造設備制御方法および半導体ウェハ製造設備
US6082951A (en) * 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
US6087811A (en) * 1998-12-09 2000-07-11 Analog Modules, Inc. Pulsed-output power supply with high power factor
US6481558B1 (en) * 1998-12-18 2002-11-19 Asyst Technologies, Inc. Integrated load port-conveyor transfer system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치

Also Published As

Publication number Publication date
TW498401B (en) 2002-08-11
EP1146451A3 (en) 2001-11-14
EP1132947A3 (en) 2006-06-21
EP1132947A2 (en) 2001-09-12
JP2001308159A (ja) 2001-11-02
EP1146451A2 (en) 2001-10-17
US6698991B1 (en) 2004-03-02

Similar Documents

Publication Publication Date Title
KR20010087300A (ko) 확장 가능한 장비 세트를 구비한 제조 시스템
US8292563B2 (en) Nonproductive wafer buffer module for substrate processing apparatus
US6979168B2 (en) Method and apparatus for transferring substrate
US20050260824A1 (en) Semiconductor device manufacturing method and manufacturing line thereof
US20040197169A1 (en) Vacuum processing apparatus and semiconductor manufacturing line using the same
JPH04190840A (ja) 真空処理装置
US20050111936A1 (en) Multi-chamber system
JP3340181B2 (ja) 半導体の製造方法及びそのシステム
US20030082032A1 (en) Wafer management system and methods for managing wafers
US9218994B2 (en) Two-dimensional transfer station used as interface between a process tool and a transport system and a method of operating the same
TWI447059B (zh) 晶圓倉儲系統
US6328768B1 (en) Semiconductor device manufacturing line
JP3454034B2 (ja) 真空処理装置
KR100666346B1 (ko) 기판세정장치 및 그 방법
KR102189275B1 (ko) 이송 로봇 및 이를 포함하는 이송 장치
JP3335831B2 (ja) 真空処理装置
JPH04298059A (ja) 真空処理装置
KR100317000B1 (ko) 스토커-기지 이동 시스템
JP3512404B2 (ja) 真空処理装置および試料の真空処理方法
JPH09321117A (ja) 真空処理装置
JP2004096075A (ja) 真空処理装置
JPH05136245A (ja) 半導体製造装置
KR20230058777A (ko) 기판 이송 시스템
KR100651255B1 (ko) 백업시스템을 구비한 제조공정장치
TW468203B (en) Improved semiconductor manufacturing system

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid