JP2000352827A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2000352827A
JP2000352827A JP11165681A JP16568199A JP2000352827A JP 2000352827 A JP2000352827 A JP 2000352827A JP 11165681 A JP11165681 A JP 11165681A JP 16568199 A JP16568199 A JP 16568199A JP 2000352827 A JP2000352827 A JP 2000352827A
Authority
JP
Japan
Prior art keywords
film
resist
forming
copper
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11165681A
Other languages
English (en)
Other versions
JP3287406B2 (ja
Inventor
Hidemitsu Aoki
秀充 青木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP16568199A priority Critical patent/JP3287406B2/ja
Priority to US09/592,523 priority patent/US6465352B1/en
Publication of JP2000352827A publication Critical patent/JP2000352827A/ja
Application granted granted Critical
Publication of JP3287406B2 publication Critical patent/JP3287406B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】銅配線や低誘電率膜などの易酸化性膜を形成
後、これらの膜を露出させるドライエッチングを行った
際に生じるレジスト表面硬化層やレジスト膜を、易酸化
性膜に損傷を与えることなく除去する技術を提供するこ
と。 【解決手段】レジスト膜6をマスクとしてシリコン窒化
膜4が露出するまでHSQ膜5およびシリコン窒化膜4
をドライエッチングし、スルーホール7を形成する。つ
づいて水素/窒素プラズマ処理を行い、レジスト表面硬
化層9の形態・性状を変化させる。その後、アミン系剥
離液を用いてウエット処理を行うことにより、レジスト
膜6、レジスト表面硬化層9およびエッチング残渣8を
除去する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、レジスト膜をマス
クとしてドライエッチングを行った後、レジスト膜や堆
積物を除去する技術に関する。
【0002】
【従来の技術】半導体装置の製造プロセスにおけるスル
ーホール等の形成工程はリソグラフィ技術を利用して行
われ、通常、レジスト膜を形成後、これをマスクとして
ドライエッチングを行い、次いでレジスト膜を除去する
というステップをとる。ここで、ドライエッチングを行
った際にエッチング残渣やレジスト表面硬化層等が発生
するが、これらの堆積物を、半導体装置を構成する金属
膜や半導体層に損傷を与えることなく除去することが重
要な技術的課題となる。このようなエッチング残渣やレ
ジスト表面硬化層等を除去する従来技術について、以
下、銅配線上のスルーホール形成プロセスを例にとって
説明する。
【0003】まず図3(a)に示すように埋め込み型銅
配線を形成する。トランジスタ等の素子を形成した半導
体基板(不図示)上にHSQ(Hydrogen Silisesquioxa
ne)膜1を成膜した後、このHSQ膜1中に、公知のダ
マシンプロセスを用いてTaN膜2(バリアメタル膜)
および銅膜3からなる銅配線を完成する。その上にシリ
コン窒化膜4、HSQ膜5を形成後、さらにその上に所
定の形状にパターニングしたレジスト膜6を設ける。シ
リコン窒化膜4の膜厚は20nm程度とする。レジスト
材料としては、たとえば化学増幅型レジストを用いる。
【0004】次にレジスト6をマスクとして銅膜3が露
出するまでHSQ膜5およびシリコン窒化膜4をドライ
エッチングし、スルーホール(孔)7を形成する(図3
(b))。スルーホールの開口径は0.2μm程度とす
る。エッチングガスとしてはフルオロカーボン系のガス
を用いる。このときスルーホールの内壁に、エッチング
ガスと銅膜3の反応物等からなるエッチング残渣8が付
着する。また、レジスト膜6上には、レジスト表面硬化
層9が形成される。このレジスト表面硬化層9は、レジ
スト材料や銅等がエッチングガスと反応し生成したもの
であり、一般に除去困難である。
【0005】図3(b)に示す工程の後、通常、基板温
度を150〜250℃とした酸素プラズマアッシングに
よりレジスト膜6を除去し(図4)、その後、薬液を用
いたウエット処理によりエッチング残渣8やレジスト残
渣11等の堆積物を除去するというプロセスが採用され
る。
【0006】酸素プラズマアッシングは、プラズマ放電
により発生させた酸素ラジカルのような活性種と、加熱
により活性化したレジスト樹脂とを反応させることによ
り行うものである。これにより、レジストの主成分であ
る有機樹脂が酸素プラズマ放電により生成される活性種
と反応してCO2やH2O等のガスに分解され基板表面から除
去される。酸素プラズマ中の活性酸素種や酸素イオン種
がレジスト中の有機樹脂と化学反応を起こす際、しきい
値温度が存在するため、酸素プラズマアッシングを行う
ためにはレジストを一定温度以上にすることが必要とな
る。通常、基板温度を150〜250℃に制御する。と
ころがこの温度でアッシングを行うと、銅膜の表面から
内部に向かって酸化が進行し、酸化領域12が形成され
る(図4)。このような酸化領域12が形成されると、
配線抵抗が上昇するとともに銅膜3とスルーホール埋め
込み金属との接触抵抗が増大する。
【0007】このような問題を避けるため、酸素プラズ
マアッシングを用いずにレジスト剥離液によるウエット
処理のみで、レジスト膜や堆積物等の除去を行うことも
考えられる。図5はこのようなウエット処理を行った後
の状態を模式的に表したものである。この処理によりレ
ジスト膜6およびエッチング残渣8は除去される。とこ
ろが、レジスト表面硬化層9は除去されず、HSQ膜5
上に残存する。レジスト表面硬化層9は、前述したよう
に、レジスト材料や銅等がエッチングガスと反応し生成
したものであり、レジスト剥離液等を用いたウエット処
理では除去困難なのである。レジスト表面硬化層9が残
存すると、その後の上層配線の形成時にバリアメタル膜
の成膜不良等が発生し、歩留まりの低下を引き起こす原
因となる。
【0008】レジスト表面硬化層9の残存を回避し、か
つ銅膜3の酸化を防止するための方法として、シリコン
窒化膜4の膜厚を厚くしてエッチング阻止膜とし、2段
階のドライエッチングによりスルーホールを形成する方
法も行われている。このプロセスについて、以下、図9
〜12を参照して説明する。
【0009】まず、図3(a)と同様にして図9(a)
に示すような埋め込み型銅配線を形成する。ただし、シ
リコン窒化膜4の膜厚は50nm程度とし、図3(a)
の場合よりも厚膜とする。後の工程でシリコン窒化膜4
をエッチング阻止膜として用いるためである。
【0010】次にレジスト6をマスクとしてシリコン窒
化膜4が露出するまでHSQ膜5をドライエッチング
し、スルーホールを形成する(図9(b))。スルーホ
ールの開口径は0.2μm程度とする。エッチングガス
としては、シリコン窒化膜よりもシリコン酸化膜をより
速くエッチングできるガスを用いる。エッチング後、ス
ルーホールの内壁にエッチング残渣10が付着し、レジ
スト膜6上にはレジスト表面硬化層9が形成される。
【0011】次いで酸素プラズマアッシングによりレジ
スト6を除去する。このとき、HSQ膜5上にはレジス
ト残渣11が残存する(図10(a))。アッシング
後、レジスト剥離液を用いてウエット処理を行い、上記
レジスト残渣11およびエッチング残渣10を除去する
(図10(b))。その後、シリコン窒化膜4をドライ
エッチングし、下層配線の表面を露出させる(図10
(c))。エッチングガスとしてフルオロカーボン系の
ガスを用いる。このとき、スルーホール内部にはエッチ
ング残渣8が付着する。
【0012】つづいて再度レジスト剥離液を用いてウエ
ット処理し、エッチング残渣8を除去する(図10
(d))。その後、スルーホール内部にバリアメタル
膜、埋め込み導電膜を成膜し、表面を平坦化することに
より多層配線を形成する。
【0013】このように、シリコン窒化膜の膜厚を厚く
してエッチング阻止膜として用いる方法を採用すれば、
銅膜3の酸化をある程度防止することができる。しかし
この方法は、工程数が増加する上、シリコン窒化膜上で
制御性良くドライエッチングをストップできない場合が
あり、銅膜3の酸化による劣化を防止できないことがあ
る。この点について以下、説明する。
【0014】エッチングの困難な銅を用いて下層配線を
形成する場合、通常、埋め込み型構造が採用され、化学
的機械的研磨(Chemical Mechanical Polishing ;CM
P)を利用したダマシンプロセスにより配線の形成が行
われる。ところが、CMP工程において、バリアメタル
膜と銅膜との研磨速度の相違に起因して銅膜の中央部が
凹む、いわゆるディッシングとよばれる現象がしばしば
発生する(図11)。ディッシングが生じると、その上
にシリコン窒化膜4を形成した際、銅膜の端部において
シリコン窒化膜4の薄膜部が発生する。また、CMP研
磨によって生じた粒子が凹部に残存し、これが原因とな
ってシリコン窒化膜4の薄膜部が発生することもある。
【0015】一方、スルーホール形成時のドライエッチ
ング工程(図9(b))においては、配線形成箇所とレ
ジスト開口部の目合わせずれがしばしば起こる。このよ
うなレジストの目合わせずれが発生した場合、シリコン
窒化膜4の薄膜部が生じていると、シリコン窒化膜上で
ドライエッチングがストップせず、銅膜3が露出するこ
ととなる(図12(a))。この場合、銅膜3に酸化領
域12が発生し、配線抵抗の上昇および接触抵抗の増大
といった問題が生じる(図12(b))。近年では、素
子の微細化に伴い、配線幅とスルーホール径を同等とし
た、いわゆるボーダーレス配線が採用されることが多く
なってきており、目合わせずれに起因する銅膜の損傷の
問題は一層顕著となる。
【0016】さらに、開口径の異なる複数のスルーホー
ルを形成する場合は、シリコン窒化膜上でエッチングを
ストップすることがさらに困難となる。開口径の小さい
スルーホールではマイクロローディング効果によりエッ
チング速度が低下することから、開口径の大きいスルー
ホールにおいて、ドライエッチングが相対的に速く進行
する。したがって、開口径の異なる複数のスルーホール
を同一のエッチングプロセスで形成しようとすると、開
口径の大きいスルーホールにおいてオーバーエッチング
時間が長くなり、シリコン窒化膜のエッチングが進行す
るのである。
【0017】以上のことから、シリコン窒化膜をエッチ
ング阻止膜として用いる場合、充分に厚い膜厚としなけ
ればならず、具体的には、50nm以上の膜厚が必要と
なる。しかし、シリコン窒化膜の膜厚を厚くすると、隣
接配線間の寄生容量がフリンジ効果により大きくなる。
図14は、この現象を説明するための図である。同一配
線層にて隣接する配線50と配線51との間には、Si
2膜54を容量膜とする寄生容量52と、SiN膜5
5を容量膜とする寄生容量53とが存在する。SiO2
膜の誘電率は比較的低いため寄生容量52は比較的小さ
い。一方、SiN膜の誘電率はSiO2の2倍程度の値
であり、寄生容量53は大きな容量を有する。すなわ
ち、配線間の全体の寄生容量の大きさに対し、寄生容量
53の大小が大きな影響を及ぼすこととなる。このた
め、SiN膜55の膜厚が大きくなった場合、配線50
と配線51との間の寄生容量は顕著に増大し、クロスト
ーク等の問題を引き起こすこととなる。
【0018】
【発明が解決しようとする課題】以上のように、スルー
ホール形成プロセスにおいて、銅膜の抵抗上昇および隣
接配線間の寄生容量の増大を防止しつつ、レジスト膜や
レジスト表面硬化層等を効率的に除去することは困難で
あった。ところが多層配線形成時のスルーホール形成プ
ロセスにおいては、レジスト表面硬化層がわずかでも残
存すると、その後に形成するバリアメタル膜が成膜不良
を起こす等の問題が生じる。このような事情から、銅膜
等からなる配線の劣化を招くことなく、レジスト膜およ
びレジスト膜上に形成されたレジスト表面硬化層を高効
率で除去する技術が求められていた。
【0019】また近年においては、隣接配線間の寄生容
量の低減を図るため、無機SOG(Spin On Glass)、
有機SOG、HSQ(Hydrogen Silisesquioxane)等の
低誘電率材料を用いて層間絶縁膜を形成する例が多くな
ってきている。ところが、これらの低誘電率材料は、レ
ジスト膜を除去する際に酸素プラズマに曝されると比誘
電率が上昇するという課題を有していた。
【0020】本願発明は上記事情に鑑みなされたもので
あって、銅配線や低誘電率膜などの易酸化性膜を形成
後、これらの膜を露出させるドライエッチングを行った
際に生じるレジスト表面硬化層やレジスト膜を、易酸化
性膜に損傷を与えることなく除去する技術を提供するこ
とを課題とする。また、シリコン窒化膜等のエッチング
阻止膜を用い2段階のドライエッチングによりスルーホ
ール等を形成するプロセスにおいて、エッチング阻止膜
の薄膜部やレジストの目合わせずれが生じた場合におい
ても銅系金属膜に損傷を与えることなくレジスト表面硬
化層やレジスト膜を除去する技術を提供することを課題
とする。
【0021】
【課題を解決するための手段】上記課題を解決する本発
明によれば、半導体基板上に易酸化性膜を形成する工程
と、該易酸化性膜の上にレジスト膜を形成する工程と、
該レジスト膜をマスクとしてドライエッチングを行い前
記易酸化性膜を露出させる工程と、非酸化性ガスを用い
たプラズマ処理を行う工程と、ウエット処理を行い、前
記レジスト膜とともに前記ドライエッチングにより生じ
たレジスト表面硬化層を除去する工程とを含むことを特
徴とする半導体装置の製造方法が提供される。
【0022】また本発明によれば、半導体基板上に銅系
金属膜を形成する工程と、該銅系金属膜の上に絶縁膜を
形成する工程と、該絶縁膜の上にレジスト膜を形成する
工程と、該レジスト膜をマスクとしてドライエッチング
を行い前記絶縁膜中に前記銅系金属膜に達する孔を設け
る工程と、非酸化性ガスを用いたプラズマ処理を行う工
程と、ウエット処理を行い、前記レジスト膜とともに前
記ドライエッチングにより生じたレジスト表面硬化層を
除去する工程とを含むことを特徴とする半導体装置の製
造方法が提供される。
【0023】また本発明によれば、半導体基板上に銅系
金属膜を形成する工程と、該銅系金属膜を覆うエッチン
グ阻止膜を形成する工程と、該エッチング阻止膜の上に
絶縁膜を形成する工程と、該絶縁膜の上にレジスト膜を
形成する工程と、該レジスト膜をマスクとしてドライエ
ッチングを行い前記絶縁膜中に前記エッチング阻止膜に
達する孔を設ける工程と、非酸化性ガスを用いたプラズ
マ処理を行う工程と、ウエット処理を行い、前記レジス
ト膜とともに前記ドライエッチングにより生じたレジス
ト表面硬化層を除去する工程と、前記エッチング阻止膜
を除去する工程とを含むことを特徴とする半導体装置の
製造方法が提供される。
【0024】また本発明によれば、半導体基板上に、H
SQ、有機SOGまたは無機SOGからなる絶縁膜を形
成する工程と、該絶縁膜の上にレジスト膜を形成する工
程と、該レジスト膜をマスクとして前記絶縁膜をドライ
エッチングする工程と、非酸化性ガスを用いたプラズマ
処理を行う工程と、ウエット処理を行い、前記レジスト
膜とともに前記ドライエッチングにより生じたレジスト
表面硬化層を除去する工程とを含むことを特徴とする半
導体装置の製造方法が提供される。
【0025】本発明に係る半導体装置の製造方法は、い
ずれも、レジスト膜をマスクとしたドライエッチングプ
ロセスを含むが、このドライエッチングにより、レジス
ト表面硬化層が生じる。前述のように、レジスト表面硬
化層はエッチングガスとレジスト膜材料等が反応するこ
とにより形成されるものと考えられ、レジスト剥離液等
では除去することが困難である。本発明においては、ま
ず非酸化性ガスを用いたプラズマ処理を行い、次いでウ
エット処理を行うことで、レジスト膜および上記レジス
ト表面硬化層を除去する。このような方法を用いること
によりレジスト表面硬化層を良好に除去できる理由は必
ずしも明らかではないが、非酸化性ガスを用いたプラズ
マ処理により、レジスト表面硬化層が破砕され変質し
て、剥離液により除去されやすい形態に変化することに
よるものと考えられる。
【0026】以上のように本発明は、レジスト表面硬化
層を形態変化させる手段として非酸化性ガスによるプラ
ズマ処理を用いる点に特徴を有している。なお、非酸化
性ガスによるプラズマ処理をアッシングの手段として用
いる方法は従来からも行われており(特開平5−160
022号公報等)、これらはプラズマの利用形態が異な
る点で本発明とは本質的に相違する。
【0027】
【発明の実施の形態】本発明における易酸化性膜とは、
酸素雰囲気に曝されることによって容易に酸化し、その
特性が損なわれる膜をいう。
【0028】このような膜として、たとえば、銅系金属
膜が挙げられる。銅系金属膜は、酸素雰囲気に曝される
と、その表面から内部に酸化が容易に進行し低抵抗とい
う特性が損なわれることから、易酸化性膜の典型例とし
て挙げられる。銅系金属膜とは銅または銅合金から金属
膜をいい、配線として用いられる膜のほか、層間接続プ
ラグ等に用いられる膜等を含む。銅合金とは、たとえば
銅/アルミニウム合金等をいう。このうち、たとえば銅
含有量が90重量%以上の銅を主成分とする膜を用いた
場合、本発明の効果がより顕著となる。銅系金属膜の成
膜は種々の方法により行うことができ、めっき法やスパ
ッタ法、CVD法等が用いられる。また、銅系金属膜を
配線やプラグとして用いる場合、ダマシン法等を用いて
形成することができる。すなわち、層間絶縁膜の所定箇
所に凹部を形成した後、凹部を埋め込むように銅系金属
膜を成膜し、さらに銅系金属膜の不要部分を除去するこ
とにより銅系金属膜を形成することができる。銅系金属
膜の不要部分の除去は、CMP等により行う。
【0029】また、本発明における易酸化性膜として、
HSQ、有機SOG、無機SOG等の低誘電率材料から
なる絶縁膜を挙げることができる。これらの低誘電率材
料は、酸素雰囲気に曝されると低誘電率という特性が損
なわれることから、易酸化性膜の典型例として挙げられ
る。
【0030】本発明は、易酸化性膜の酸化を防止しつ
つ、レジスト膜やレジスト表面硬化層を効率良く除去す
る技術を提供することを目的とするものである。したが
って、本発明における易酸化性膜としては、酸化により
その特性が損なわれる種々の膜が含まれる。上述した例
はその典型例を示したものであって、これらに限定され
るものではない。
【0031】本発明における非酸化性ガスとは、実質的
に酸素を含まないガスをいう。たとえば、酸素含有率が
5000ppm以下、好ましくは100ppm以下のガ
スをいう。このようなガスとして、水素、アンモニア、
窒素、不活性ガス、あるいはこれらの混合ガスを挙げる
ことができる。このうち、水素、窒素、またはこれらの
混合ガスが好ましく用いられる。非酸化性ガスは水素を
含む還元性ガスであることが好ましい。このようにすれ
ば、易酸化性膜の酸化を一層防止しつつ、レジスト膜や
レジスト表面硬化層を除去することができる。ここで、
還元性ガスとは、還元作用を有するガスをいい、水素、
あるいは水素に上述したような種々のガスを混合したガ
ス等が挙げられる。たとえば、水素と、窒素および/ま
たは不活性ガスとを含むガス等である。非酸化性ガス
を、水素を含む還元性ガスとする場合、水素含有率は特
に制限がないが、安全性等を考慮すれば、1〜50体積
%とすることが好ましい。
【0032】非酸化性ガスの流量は、処理を行うチャン
バーの体積や排気量等を考慮し、安定したプラズマ処理
が実現できるように適宜に設定される。通常は10〜2
00sccm程度とする(混合ガスを用いる場合は合計
量)。なお、本発明において非酸化性ガスを用いたプラ
ズマ処理を行う際の基板温度や圧力については特に制限
がないが、たとえば、温度については50〜250℃、
圧力については2torr以下とすることができる。
【0033】本発明において、非酸化性ガスを用いたプ
ラズマ処理を行う際、基板にRFバイアスを印加しても
しなくてもよい。RFバイアスを印加する場合は、たと
えば、半導体基板を高周波電源に接続した電極上に載置
し、半導体基板にRFバイアスを印加した状態で非酸化
性ガスを用いたプラズマ処理を行う。このようにした場
合、レジスト表面に高エネルギーの活性種による衝撃を
与えることができ、レジスト上のレジスト表面硬化層を
より充分に破砕・変質させ、剥離液を用いたウエット処
理によるレジスト表面硬化層の除去を一層容易にできる
ことがある。RFバイアスは、プラズマ処理装置の種類
等により適宜設定されるが、通常、10W〜50Wとさ
れる。あまり高いバイアスをかけると、銅配線や低誘電
率膜等の易酸化性膜の表面が損傷を受ける場合がある。
なお上記バイアスはプラズマ中の活性種が基板にほぼ垂
直に加速されるように印加することが好ましく、この観
点から、RFバイアスを印加する場合は圧力を0.1m
torr〜1torrと低く設定することが好ましい。
【0034】非酸化性ガスを用いたプラズマ処理の処理
時間は、装置の種類等にもよるが、たとえば、30sec
〜10min、好ましくは1min〜3minとする。なお、非
酸化性ガスを用いたプラズマ処理のみでもレジスト膜を
剥離することができるが、除去速度が遅く、剥離に長時
間を要することとなる。たとえば、水素/窒素プラズマ
処理のみにより化学増幅型レジストの剥離を行うと、
0.1μm/min程度の除去速度となる。
【0035】本発明においては、非酸化性ガスを用いた
プラズマ処理の後、ウエット処理を行う。ウエット処理
は、アミン化合物を用いたレジスト剥離液を用いて行う
ことが望ましい。プラズマ処理されたレジスト表面硬化
層を容易に除去できるからである。
【0036】本発明におけるレジスト膜は、種々の材料
からなるものを用いることができ、通常、有機化合物か
らなる一般的なレジスト材料が用いられる。たとえばノ
ボラック系のレジスト材料や化学増幅型の種々のレジス
ト材料等を用いることができる。化学増幅型のレジスト
材料としては、たとえば、ターシャリーブトキシカルボ
ニル基で部分保護したポリヒドロキシスチレン(略称t
−BOC)樹脂とトリフェニルスルホニウムトリフレー
ト(酸発生剤)の組み合わせからなるレジストを用いる
ことができる。
【0037】
【実施例】実施例1 本実施例について図1〜2を参照して説明する。まず図
1に示す工程を行った。トランジスタ等の素子を形成し
た半導体基板(不図示)上にHSQ膜1を形成した後、
公知のダマシンプロセスにより下層配線を完成した。こ
の下層配線は、バリアメタル膜となるTaN膜2(膜厚
50nm)および銅膜3により構成されている。
【0038】下層配線形成後、シリコン窒化膜4(膜厚
20nm)、HSQ膜5(膜厚1200nm)をこの順
で形成し、さらにその上に所定の形状にパターニングし
たレジスト膜6を設けた(図1(a))。レジスト材料
としては、ターシャリーブトキシカルボニル基で部分保
護したポリヒドロキシスチレン(略称t−BOC)樹脂
とトリフェニルスルホニウムトリフレート(酸発生剤)
の組み合わせからなる化学増幅型レジストを用いた。
【0039】このレジスト6をマスクとしてシリコン窒
化膜4が露出するまでHSQ膜5およびシリコン窒化膜
4をドライエッチングし、スルーホール(孔)7を形成
した(図1(b))。スルーホール7の開口径は、0.
2μmとした。エッチングガスとしてはCHF3、Ar
の混合ガスを用いた。このとき、スルーホール7の内壁
に、エッチングガスと銅膜3の反応物等からなるエッチ
ング残渣8が付着した。一方、レジスト膜6上には、レ
ジスト表面硬化層9が形成された。
【0040】つづいて公知のECRプラズマ装置を用
い、表1の条件にて水素/窒素プラズマ処理を行った
(図2(a))。
【0041】
【表1】
【0042】つづいてアミン化合物を含有するレジスト
剥離液を用いてウエット処理を行い、レジスト膜6を除
去するとともに、プラズマ処理されたレジスト表面硬化
層9およびエッチング残渣8を除去した。従来技術にお
いては、レジスト上に堆積したレジスト表面硬化層12
をレジスト剥離液によって除去することは困難であっ
た。これに対し本実施例では、図2(a)の工程でレジ
スト表面硬化層12を水素/窒素プラズマ処理している
ため、レジスト表面硬化層12が、レジスト剥離液によ
って除去できるような形態に変化している(図2(a)
中のレジスト硬化層9’)。したがって、レジスト剥離
液を用いたウエット処理により、スルーホール7および
HSQ膜5表面を清浄な状態とすることができる。その
後、全面にTaNからなるバリアメタル膜20、銅膜2
1を形成した後(図2(c))、CMPにより平坦化を
行い、層間接続プラグを形成した(図2(d))。さら
に、上層配線を形成し、多層配線構造を完成した(不図
示)。
【0043】以上のように、本実施例では、従来行われ
ていた酸素プラズマアッシングによるレジストに代え、
水素/窒素プラズマ処理およびレジスト剥離液を用いた
ウエット処理を併用する方法を採用しているため、銅膜
3の酸化を防止しつつ、レジスト膜や堆積物等の除去を
行うことができた。また、HSQ膜の誘電率上昇を防止
することもできた。
【0044】なお、本実施例におけるシリコン窒化膜4
は、エッチング阻止膜として用いているのではなく、銅
膜3の酸化防止および銅の拡散防止のために設けられて
いる。このような役割を果たすためには、膜厚は薄くて
も充分であり、本実施例では膜厚20nmとしている。
このため本実施例のプロセスでは、隣接間の寄生容量の
増大が有効に防止されている。
【0045】参考例1 表面にシリコン酸化膜を設けたシリコン基板上に、めっ
き法にて銅膜を成膜し、試料を作製した。この試料を複
数用意し、表2に示す2種類のプラズマ雰囲気下に試料
をそれぞれ2分間放置した。プラズマ発生装置として
は、公知のECRプラズマ装置を用いた。その後、XP
S(X-ray Photoemission Spectroscope)法により銅酸
化層の深さを測定した。結果を図13に示す。水素/窒
素プラズマ処理を用いることにより、銅の酸化が著しく
防止できることが確認された。
【0046】
【表2】
【0047】実施例2 本実施例について図6〜7を参照して説明する。まず図
6に示す工程を行った。トランジスタ等の素子を形成し
た半導体基板(不図示)上にHSQ膜1を形成した後、
公知のダマシンプロセスにより下層配線を完成した。こ
の下層配線は、TaN膜2(膜厚50nm)からなるバ
リアメタル膜および銅膜3により構成されている。下層
配線形成後、シリコン窒化膜4(膜厚100nm)、H
SQ膜5(膜厚1200nm)をこの順で形成し、さら
にその上に所定の形状にパターニングしたレジスト膜6
を設けた(図6(a))。レジスト材料としては、ター
シャリーブトキシカルボニル基で部分保護したポリヒド
ロキシスチレン(略称t−BOC)樹脂とトリフェニル
スルホニウムトリフレート(酸発生剤)の組み合わせか
らなる化学増幅型レジストを用いた。
【0048】このレジスト6をマスクとしてシリコン窒
化膜4が露出するまでHSQ膜5をドライエッチングし
た(図6(b))。スルーホールの開口径は、0.2μ
mとした。エッチングガスとしてはC48、Ar、O2
を含む混合ガスを用いた。このガスを用いた場合、シリ
コン酸化膜およびシリコン窒化膜に対するエッチング選
択比を大きくとれるため(エッチング速度比が、シリコ
ン酸化膜:シリコン窒化膜=20:1)、エッチングは
シリコン窒化膜4の上部でストップした。このとき、レ
ジスト6上にはレジスト表面硬化層9が形成され、孔の
内壁にはエッチング残渣10が付着した状態となる。
【0049】つづいて公知のECRプラズマ装置を用
い、下記表2の条件にて水素/窒素プラズマ処理を行っ
た(図7(a))。このプラズマ処理では、RFバイア
スを印加しており、この点、実施例1の条件と異なって
いる。
【0050】
【表3】
【0051】つづいてアミン化合物を含有するレジスト
剥離液を用いてウエット処理を行い、レジスト膜6を除
去するとともに、プラズマ処理されたレジスト表面硬化
層10およびエッチング残渣8を除去した(図7
(b))。図7(a)の工程でレジスト表面硬化層12
を水素/窒素プラズマ処理しているため、レジスト表面
硬化層9はレジスト剥離液によって除去できるような形
態に変化している(図7(a)中のレジスト硬化層
9’)。したがって、レジスト剥離液を用いたウエット
処理により、スルーホール7およびHSQ膜5表面を清
浄な状態とすることができる。つづいてシリコン窒化膜
4をドライエッチングし、銅膜3の表面を露出させた
(図7(c))。エッチングガスとして、CHF3、A
rの混合ガスを用いた。このとき、スルーホールの内壁
にエッチング残渣8が付着する。次いで、アミン化合物
を含有するレジスト剥離液を用いてウエット処理を行
い、エッチング残渣8を除去した(図7(d))。
【0052】その後、全面にTaNからなるバリアメタ
ル膜20、銅膜21を形成した後(図8(a))、CM
Pにより平坦化を行い、層間接続プラグを形成した(図
8(b))。さらに、上層配線を形成し、多層配線構造
を完成した(不図示)。
【0053】本実施例の方法によれば、シリコン窒化膜
を露出させるまでの1段目のドライエッチング終了後、
酸素プラズマアッシングによるレジストに代え、水素/
窒素プラズマ処理およびレジスト剥離液を用いたウエッ
ト処理を行っている。このため、図12のようにシリコ
ン窒化膜4の薄膜部が生じ、レジストの目合わせずれが
生じた場合でも、銅膜3の酸化を防止しつつ、レジスト
膜や堆積物等の除去を良好に行うことができる。また、
HSQ膜の誘電率上昇も防止することができる。
【0054】
【発明の効果】以上説明したように本発明によれば、非
酸化性ガスを用いたプラズマ処理とウエット処理を併用
しているため、易酸化性膜の酸化を防止しつつ、レジス
ト膜およびレジスト膜上に形成されたレジスト表面硬化
層を効果的に除去することができる。
【図面の簡単な説明】
【図1】本発明の半導体装置の製造方法を示す工程断面
図である。
【図2】本発明の半導体装置の製造方法を示す工程断面
図である。
【図3】従来の半導体装置の製造方法を示す工程断面図
である。
【図4】従来の半導体装置の製造方法を示す工程断面図
である。
【図5】従来の半導体装置の製造方法を示す工程断面図
である。
【図6】本発明の半導体装置の製造方法を示す工程断面
図である。
【図7】本発明の半導体装置の製造方法を示す工程断面
図である。
【図8】本発明の半導体装置の製造方法を示す工程断面
図である。
【図9】従来の半導体装置の製造方法を示す工程断面図
である。
【図10】従来の半導体装置の製造方法を示す工程断面
図である。
【図11】ディッシングの発生により、シリコン窒化膜
の薄膜部が生じた状態を説明するための図である。
【図12】従来の半導体装置の製造方法を示す工程断面
図である。
【図13】XPSにより、プラズマ処理後の銅酸化層の
深さを測定した結果を示す図である。
【図14】従来の製造方法の有する課題を説明するため
の図である。
【符号の説明】
1 HSQ膜 2 TaN膜 3 銅膜 4 シリコン窒化膜 5 HSQ膜 6 レジスト膜 7 スルーホール 8 エッチング残渣 9 レジスト表面硬化層 9’ レジスト表面硬化層 10 エッチング残渣 11 レジスト残渣 12 酸化領域 20 バリアメタル膜 21 銅膜 50 配線 51 配線 52 寄生容量 53 寄生容量 54 SiO2膜 55 SiN膜
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/306 D Fターム(参考) 2H096 AA25 BA11 CA12 HA23 5F004 AA06 AA09 AA11 AA14 BA14 CA04 CA06 DA16 DA23 DA24 DA25 DA26 DB07 DB08 DB12 DB23 DB26 EA10 EA23 EB01 EB02 5F043 AA37 CC16 CC20 DD12 DD15 GG10 5F046 HA07 JA00 MA02

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に易酸化性膜を形成する工
    程と、該易酸化性膜の上にレジスト膜を形成する工程
    と、該レジスト膜をマスクとしてドライエッチングを行
    い前記易酸化性膜を露出させる工程と、非酸化性ガスを
    用いたプラズマ処理を行う工程と、ウエット処理を行
    い、前記レジスト膜とともに前記ドライエッチングによ
    り生じたレジスト表面硬化層を除去する工程とを含むこ
    とを特徴とする半導体装置の製造方法。
  2. 【請求項2】 半導体基板上に銅系金属膜を形成する工
    程と、該銅系金属膜の上に絶縁膜を形成する工程と、該
    絶縁膜の上にレジスト膜を形成する工程と、該レジスト
    膜をマスクとしてドライエッチングを行い前記絶縁膜中
    に前記銅系金属膜に達する孔を設ける工程と、非酸化性
    ガスを用いたプラズマ処理を行う工程と、ウエット処理
    を行い、前記レジスト膜とともに前記ドライエッチング
    により生じたレジスト表面硬化層を除去する工程とを含
    むことを特徴とする半導体装置の製造方法。
  3. 【請求項3】 半導体基板上に銅系金属膜を形成する工
    程と、該銅系金属膜を覆うエッチング阻止膜を形成する
    工程と、該エッチング阻止膜の上に絶縁膜を形成する工
    程と、該絶縁膜の上にレジスト膜を形成する工程と、該
    レジスト膜をマスクとしてドライエッチングを行い前記
    絶縁膜中に前記エッチング阻止膜に達する孔を設ける工
    程と、非酸化性ガスを用いたプラズマ処理を行う工程
    と、ウエット処理を行い、前記レジスト膜とともに前記
    ドライエッチングにより生じたレジスト表面硬化層を除
    去する工程と、前記エッチング阻止膜を除去する工程と
    を含むことを特徴とする半導体装置の製造方法。
  4. 【請求項4】 半導体基板上に、HSQ、有機SOGま
    たは無機SOGからなる絶縁膜を形成する工程と、該絶
    縁膜の上にレジスト膜を形成する工程と、該レジスト膜
    をマスクとして前記絶縁膜をドライエッチングする工程
    と、非酸化性ガスを用いたプラズマ処理を行う工程と、
    ウエット処理を行い、前記レジスト膜とともに前記ドラ
    イエッチングにより生じたレジスト表面硬化層を除去す
    る工程とを含むことを特徴とする半導体装置の製造方
    法。
  5. 【請求項5】 前記非酸化性ガスが、水素、アンモニ
    ア、窒素、不活性ガス、またはこれらの混合ガスである
    ことを特徴とする請求項1乃至4いずれかに記載の半導
    体装置の製造方法。
  6. 【請求項6】 前記非酸化性ガスが、水素を含む還元性
    ガスであることを特徴とする請求項1乃至4いずれかに
    記載の半導体装置の製造方法。
  7. 【請求項7】 前記ウエット処理を、アミン化合物を含
    む剥離液を用いて行うことを特徴とする請求項1乃至6
    いずれかに記載の半導体装置の製造方法。
JP16568199A 1999-06-11 1999-06-11 半導体装置の製造方法 Expired - Fee Related JP3287406B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP16568199A JP3287406B2 (ja) 1999-06-11 1999-06-11 半導体装置の製造方法
US09/592,523 US6465352B1 (en) 1999-06-11 2000-06-12 Method for removing dry-etching residue in a semiconductor device fabricating process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP16568199A JP3287406B2 (ja) 1999-06-11 1999-06-11 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2000352827A true JP2000352827A (ja) 2000-12-19
JP3287406B2 JP3287406B2 (ja) 2002-06-04

Family

ID=15817028

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16568199A Expired - Fee Related JP3287406B2 (ja) 1999-06-11 1999-06-11 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US6465352B1 (ja)
JP (1) JP3287406B2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002007203A2 (en) * 2000-06-23 2002-01-24 Infineon Technologies North America Corp. Plasma rie polymer removal
JP2003504693A (ja) * 1999-07-07 2003-02-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド フォーミングガスプラズマを用いたフォトレジスト除去プロセス
US6746963B2 (en) 2001-05-01 2004-06-08 Tokyo Ohka Kogyo Co., Ltd. Method for processing coating film and method for manufacturing semiconductor element with use of the same method
US7172965B2 (en) 2003-05-21 2007-02-06 Rohm Co., Ltd. Method for manufacturing semiconductor device
JP2007123836A (ja) * 2005-09-29 2007-05-17 Tokyo Electron Ltd 基板処理方法およびコンピュータ読取可能な記憶媒体
JP2010056574A (ja) * 2009-12-07 2010-03-11 Nec Electronics Corp 半導体装置の製造方法
JP2013051416A (ja) * 2011-08-26 2013-03-14 Novellus Systems Incorporated 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス
JP2013207009A (ja) * 2012-03-28 2013-10-07 Shibaura Mechatronics Corp Euvマスク製造方法およびeuvマスク製造装置
JP2014131086A (ja) * 2014-04-10 2014-07-10 Hitachi High-Technologies Corp プラズマ処理方法
US8778205B2 (en) 2003-06-20 2014-07-15 Tokyo Electron Limited Processing method and processing system
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861348B2 (en) * 2000-12-14 2005-03-01 Texas Instruments Incorporated Pre-pattern surface modification of low-k dielectrics
US6797627B1 (en) * 2001-12-05 2004-09-28 Taiwan Semiconductor Manufacturing Company Ltd. Dry-wet-dry solvent-free process after stop layer etch in dual damascene process
US7319071B2 (en) * 2004-01-29 2008-01-15 Micron Technology, Inc. Methods for forming a metallic damascene structure
US7192878B2 (en) * 2005-05-09 2007-03-20 United Microelectronics Corp. Method for removing post-etch residue from wafer surface
JP2007207930A (ja) * 2006-01-31 2007-08-16 Toshiba Corp 残渣処理システム、残渣処理方法及び半導体装置の製造方法
US20070184666A1 (en) * 2006-02-08 2007-08-09 Texas Instruments Inc. Method for removing residue containing an embedded metal
US8062972B2 (en) * 2009-08-26 2011-11-22 United Microelectronics Corp. Semiconductor process
JP5685918B2 (ja) * 2010-12-10 2015-03-18 富士通株式会社 半導体装置の製造方法
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
KR101944479B1 (ko) 2012-11-01 2019-01-31 삼성전자주식회사 반도체 장치의 캐패시터 및 캐패시터의 제조 방법
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US9287183B1 (en) * 2015-03-31 2016-03-15 Lam Research Corporation Using electroless deposition as a metrology tool to highlight contamination, residue, and incomplete via etch
CN108010839B (zh) * 2017-12-06 2021-08-06 信利(惠州)智能显示有限公司 薄膜晶体管与薄膜晶体管的制作方法及膜层刻蚀工艺
TW202107528A (zh) * 2019-04-30 2021-02-16 美商得昇科技股份有限公司 氫氣輔助的大氣自由基氧化
JP2022041077A (ja) 2020-08-31 2022-03-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN117223091A (zh) * 2022-04-11 2023-12-12 株式会社日立高新技术 等离子处理方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1417085A (en) 1973-05-17 1975-12-10 Standard Telephones Cables Ltd Plasma etching
JPS60191255A (ja) 1984-03-13 1985-09-28 Toshiba Corp レジスト膜除去方法
JPH01206624A (ja) 1988-02-15 1989-08-18 Koujiyundo Kagaku Kenkyusho:Kk レジストのドライエッチング法
JP2543947B2 (ja) 1988-04-21 1996-10-16 株式会社日立製作所 微細パタ―ンの形成方法
US5208066A (en) * 1989-03-18 1993-05-04 Hitachi, Ltd. Process of forming a patterned polyimide film and articles including such a film
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5289630A (en) * 1991-07-22 1994-03-01 Macdermid, Incorporated Process for fabricating multilayer printed circuits
JPH0547654A (ja) 1991-08-09 1993-02-26 Hitachi Ltd 半導体基板の表面処理方法、半導体装置の製造方法及びそれを行う表面処理装置
JPH05160022A (ja) 1991-12-09 1993-06-25 Mitsubishi Electric Corp 半導体装置の製造方法
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
TW280943B (ja) * 1994-07-15 1996-07-11 Sharp Kk
JP3255551B2 (ja) 1995-01-31 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
JPH1116882A (ja) 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd フォトレジスト剥離用組成物
JP4202516B2 (ja) 1999-03-18 2008-12-24 花王株式会社 剥離剤組成物

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003504693A (ja) * 1999-07-07 2003-02-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド フォーミングガスプラズマを用いたフォトレジスト除去プロセス
WO2002007203A3 (en) * 2000-06-23 2002-05-30 Infineon Technologies Corp Plasma rie polymer removal
US6758223B1 (en) 2000-06-23 2004-07-06 Infineon Technologies Ag Plasma RIE polymer removal
WO2002007203A2 (en) * 2000-06-23 2002-01-24 Infineon Technologies North America Corp. Plasma rie polymer removal
US6746963B2 (en) 2001-05-01 2004-06-08 Tokyo Ohka Kogyo Co., Ltd. Method for processing coating film and method for manufacturing semiconductor element with use of the same method
US7172965B2 (en) 2003-05-21 2007-02-06 Rohm Co., Ltd. Method for manufacturing semiconductor device
US8778205B2 (en) 2003-06-20 2014-07-15 Tokyo Electron Limited Processing method and processing system
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP2007123836A (ja) * 2005-09-29 2007-05-17 Tokyo Electron Ltd 基板処理方法およびコンピュータ読取可能な記憶媒体
JP2010056574A (ja) * 2009-12-07 2010-03-11 Nec Electronics Corp 半導体装置の製造方法
JP2013051416A (ja) * 2011-08-26 2013-03-14 Novellus Systems Incorporated 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス
JP2013207009A (ja) * 2012-03-28 2013-10-07 Shibaura Mechatronics Corp Euvマスク製造方法およびeuvマスク製造装置
JP2014131086A (ja) * 2014-04-10 2014-07-10 Hitachi High-Technologies Corp プラズマ処理方法

Also Published As

Publication number Publication date
US6465352B1 (en) 2002-10-15
JP3287406B2 (ja) 2002-06-04

Similar Documents

Publication Publication Date Title
JP3287406B2 (ja) 半導体装置の製造方法
US6192899B1 (en) Etch residue clean with aqueous HF/organic solution
KR101082993B1 (ko) 레지스트용 박리제조성물 및 반도체장치의 제조방법
US20070125750A1 (en) Method for removing post-etch residue from wafer surface
TWI363255B (en) Method for removing masking materials with reduced low-k dielectric material damage
JP4256347B2 (ja) 半導体装置の製造方法
US20050245082A1 (en) Process for removing organic materials during formation of a metal interconnect
JP2001358218A (ja) 有機膜のエッチング方法及び素子の製造方法
US7232763B2 (en) Method of manufacturing semiconductor device
JP4108228B2 (ja) 半導体装置の製造方法
KR20020073393A (ko) 루테늄 또는 루테늄산화물을 갖는 반도체 장치의 제조 방법
US6491042B1 (en) Post etching treatment process for high density oxide etcher
JP3488030B2 (ja) 半導体装置の製造方法
US5741742A (en) Formation of aluminum-alloy pattern
JP3781729B2 (ja) 半導体装置の製造方法
US20030087514A1 (en) Hard mask damascene process used to form a semiconductor device
US20040048203A1 (en) Method of manufacturing a semiconductor device for high speed operation and low power consumption
JP2983356B2 (ja) 半導体素子の製造方法
JP2000164569A (ja) 半導体装置の製造方法
US7829470B2 (en) Method for manufacturing semiconductor device
US7514357B2 (en) Method of manufacturing a semiconductor device
JP3298628B2 (ja) 半導体装置の製造方法
JP2006032721A (ja) 半導体装置の製造方法
JP2003017436A (ja) 半導体装置の製造方法
JPH0917796A (ja) 配線形成方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080315

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090315

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100315

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees