JP2000323483A - エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法 - Google Patents

エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法

Info

Publication number
JP2000323483A
JP2000323483A JP11258864A JP25886499A JP2000323483A JP 2000323483 A JP2000323483 A JP 2000323483A JP 11258864 A JP11258864 A JP 11258864A JP 25886499 A JP25886499 A JP 25886499A JP 2000323483 A JP2000323483 A JP 2000323483A
Authority
JP
Japan
Prior art keywords
layer
hard mask
metal
metallization
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11258864A
Other languages
English (en)
Other versions
JP2000323483A5 (ja
JP4690512B2 (ja
Inventor
Martin Gutsche
グーチェ マーティン
Peter Strobl
ストローブル ピーター
Stephan Wege
ヴェーゲ シュテファン
Eike Lueken
リューケン アイケ
Georg Stojakovic
シュトヤコヴィク ゲオルク
Bruno Spuler
スプーラー ブルーノ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of JP2000323483A publication Critical patent/JP2000323483A/ja
Publication of JP2000323483A5 publication Critical patent/JP2000323483A5/ja
Application granted granted Critical
Publication of JP4690512B2 publication Critical patent/JP4690512B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 基板上に配置した金属被覆層からエッチング
された金属線の垂直面に沈着するポリマーを減少させる
方法を提供する。 【解決手段】 この方法は金属被覆層上にハードマスク
層を形成すること、ハードマスク層上にフォトレジスト
マスクを設けることを含む。この方法はさらにフォトレ
ジストマスクを用いてハードマスク層からハードマスク
を生成させることを含む。ハードマスクは後のプラズマ
エンハンス金属被覆エッチングで金属線を形成するため
の形成構造パターンをその中に有す。フォトレジストマ
スクの除去もまた包含される。さらに、ハードマスクお
よび塩素ガスと少なくとも1種類のパッシベーション形
成化学品とを含む腐食ガスを用いるプラズマエンハンス
金属被覆エッチングを行うことも含まれ、フォトレジス
トを用いないでプラズマエンハンス金属被覆エッチング
を行ってプラズマエンハンス金属被覆エッチング中に沈
着するポリマーを減少させる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は基板上の金属被覆層
を通してのエッチング方法に関する。さらに具体的に
は、本発明は従来の金属被覆層エッチングプロセスで生
成した副生物に起因する腐食を減少させて金属被覆層を
エッチングする方法に関する。
【0002】
【従来の技術】半導体集積回路の製作では、集積回路上
のデバイス間の導電経路として金属線が頻用される。金
属線および金属フィーチャを形成するために、金属層を
ウェーハ表面上にブランケット蒸着するのが標準的であ
る。次ぎに、適切なフォトレジストマスクを利用して金
属層部分をエッチング除去して、あとに金属線および金
属フィーチャを残存させる。
【0003】集積回路の密度が増加しフィーチャの寸法
が減少するにつれ、種々の技術が開発されて集積回路の
少さくなり続けるフィーチャを適切にエッチングしてき
た。これらの技術の一つがプラズマエンハンスエッチン
グである。考察を容易にするために、基板104上に配
置させた金属層102を図1に示す。基板104はウェ
ーハ自体でもよいが、通常は酸化層などの金属層を配置
させた層である。図1の例では、金属層102は標準的
にチタンなどの金属からなるバリア層106を含む。場
合によっては、バリア層106は複合層のこともあり、
複合層はチタン層を被覆する窒化チタン(TiN)を含
む。金属層108は、標準的には、アルミニウム、或い
はアルミニウム/銅またはアルミニウム/銅/シリコン
などの合金の一つからなる。金属層108とフォトレジ
ストマスク110との間にバリア/ARC(反射防止
膜)層112が配置される。バリア/ARC層112
は、例えば反射防止膜で被覆されていてもよく、反射防
止膜は有機質または無機質のこともある。当業者にとっ
て、反射防止膜層は主にリソグラフィーを目的に提供さ
れることは承知のはずである。チタンおよび/または窒
化チタンのバリア層は上記の反射防止膜層の下に配置さ
れてもよい。図は金属層102にバリア/ARC層11
2、金属層108およびバリア層106を包含して示し
てあるが、当業者にとって、バリア/ARC層112お
よびバリア層106が両方とも任意であり、一部のIC
では一方あるいは両方とも省かれることは容易に理解さ
れるであろう。
【0004】フォトレジストマスク110は適宜なフォ
トレジストプロセスを用いて形成されたフォトレジスト
マスクの一部分を表す。金属層102のエッチング時
に、フォトレジストマスク110がフォトレジストフィ
ーチャの下に配置された金属層102部分を保護する。
これによって下部に存在する金属層からフィーチャを生
成させる。一例として、金属層102のエッチングによ
り図1の紙面に垂直に配置した線が創製される。
【0005】図2では、エッチングが完了してフォトレ
ジストフィーチャで保護されない金属層102部分が除
去されている。標準的に、アルミニウム含有金属層のエ
ッチングは、例えば、Cl2/BCl3、Cl2/HC
l、Cl2/N2などの腐食ガスを用いるプラズマ反応器
内で行われる。エッチングは、例えば反応性イオンエッ
チング(RIE)として知られるプラズマエンハンスエ
ッチングプロセスに拠って行われることもある。図2
に、金属フィーチャ204の垂直面を被覆するポリマー
側壁202Aおよび202Bを示す。ポリマー側壁は標
準的に、フォトレジストマスク110からスパッタされ
たフォトレジストなどの有機物、金属被覆層(アルミニ
ウム、チタンなど)からの再スパッタ物、下の層(基板
104など)からのスパッタ物および腐食ガスからの僅
かな量の塩素および/または塩素含有化合物を含有す
る。本明細書に後述するように、金属被膜の後処理段階
の一部としてポリマー側壁を除去する必要がある。
【0006】図3ではフォトレジストマスクが取り除か
れている。標準的なプラズマエンハンスプロセスでは、
フォトレジストの除去は、例えばO2/H2O蒸気または
2を灰化剤として用いる下流側の灰化プラズマ反応器
内でフォトレジスト物を剥離させることで行われる。通
常の場合、ポリマー側壁はフォトレジスト剥離工程で、
たとえ除去されたとしても、極めて少量しか除去されな
い。この結果、ポリマー側壁はフォトレジスト剥離工程
で残留し、あとの工程で除去される必要がある。側壁ポ
リマーが金属線に付着して残留すると、残留物中に存在
する塩素が雰囲気の水分と反応して金属線を浸食する腐
食性酸化物を生成するので、ポリマー側壁の完全除去が
極めて所望される。当業者とって容易に理解されるよう
に、金属線の浸食は金属線の導電特性を変化させ、例え
ばその比抵抗を増加させる。浸食が甚大で導電通路を切
断して予期しない開回路を形成することもある。
【0007】
【発明が解決しようとする課題】既に説明したように、
フォトレジストの剥離工程後に残留するポリマー側壁を
除去するために、従来技術は通常、別の工程を必要とす
る。プラズマエンハンスエッチングでは、蒸着ポリマー
の除去が比較的不十分であるということが分かっている
ので、従来技術は標準的に湿式エッチングプロセスによ
ってポリマー側壁の除去を行う。湿式エッチングがパッ
シベーションプラズマプロセスおよび/または脱イオン
水リンスプロセスを先行させることもある。湿式エッチ
ングプロセスでは、適宜な湿式腐食剤を使用する。標準
的な腐食剤には第二クロム燐酸過酸化物、希釈硫酸過酸
化物、EKC Technology Inc.(カリホルニア州、ヘイワ
ード)から市販されている有機溶媒EKC265、或い
はAshland Chemical Company(オハイオ州、コロンブ
ス)の有機溶媒ACT925がある。図4に湿式エッチ
ングを実施してポリマー側壁を除去した後の図3に示し
た金属被覆フィーチャを示す。
【0008】湿式エッチングプロセスでポリマー側壁を
除去する目的は達成されるが、欠点がある。例えば、湿
式エッチングプロセスは通常アルミニウムに高い選択性
を持たない腐食性化学薬品を標準的に使用する。湿式エ
ッチングプロセスを慎重に制御しないと、湿式腐食剤が
特に上側および/または下側のバリア層の界面、或いは
アルミニウム線とその下のタングステン植え込みボルト
との界面でアルミニウム線を浸食する。例えば製造許容
差に由来する金属線と心ずれした植え込みボルトがあ
り、金属線で完全に被覆されていないと、植え込みボル
ト関連の腐食は特に甚大である。一方、湿式エッチング
プロセスでは、前述した腐食問題を防止するために、実
質的に全ての側壁ポリマーが除去されるようにプロセス
を進行させる必要がある。プロセスのウインドウが比較
的狭いので、ポリマー側壁除去のための湿式エッチング
プロセスはプロセスエンジニアに多くの難題を投げかけ
ている。
【0009】
【課題を解決するための手段】本発明の一実施例は基板
上に配置した金属被覆層からエッチングされた金属線の
垂直面上のポリマー沈着を減少させる方法を開示する。
この方法は金属被覆層上にハードマスク層を形成し、ハ
ードマスク層上にフォトレジストマスクを具備させるこ
とを含む。この方法には、さらにフォトレジストマスク
を用いてハードマスク層からハードマスクを形成するこ
とが含まれる。ハードマスクは後のプラズマエンハンス
金属被覆エッチングで金属線を生成する構成パターンを
有する。フォトレジストマスクの除去もこの方法に含ま
れる。さらに、ハードマスクおよびCl2と少なくとも
1種類のパッシベーション形成化学品とを含む腐食ガス
を用いるプラズマエンハンス金属被覆エッチングを行う
ことが含まれる。このプラズマエンハンス金属被覆エッ
チングでは、フォトレジストを用いずに金属被覆エッチ
ングが行われ、プラズマエンハンス金属被覆エッチング
時のポリマー沈着を減少させることができる。
【0010】別の実施例では、本発明は基板上に配置し
た金属被覆層からエッチングされた金属線の腐食を減少
させる方法に関連し、この方法は金属被覆層を上面に持
つ基板を提供する。金属被覆層は電気化学特性が異なる
少なくとも2種類の層を含む。この方法はさらに、金属
被覆上にハードマスク層を生成させ、ハードマスク層上
にフォトレジストマスクを具備させることを含む。さら
に、フォトレジストマスクを用いてハードマスク層から
ハードマスクを形成することが含まれる。ハードマスク
は後のプラズマエンハンス金属被覆エッチングで金属線
を生成する構成パターンを有する。フォトレジストマス
クの除去もこの方法に含まれる。この方法はさらにハー
ドマスクおよびCl2と少なくとも1種類のパッシベー
ション形成化学品とを含む腐食ガスを用いるプラズマエ
ンハンス金属被覆エッチングを行うことを含み、フォト
レジストを用いずに金属被覆エッチングが行われプラズ
マエンハンス金属被覆エッチング時のフォトレジストに
基づくポリマー沈着を減少させる。この方法はさらに湿
式エッチングを行ってプラズマエンハンス金属被覆エッ
チング中に生成したポリマー側壁を除去することを含
む。湿式エッチングは金属線上にハードマスクを配置し
て湿式エッチング時に少なくとも2種類の金属間で起こ
る電気分解反応に由来する腐食を減少させながら行われ
る。
【0011】その他の実施例では、本発明は金属被覆層
から先にエッチングされるエッチング金属フィーチャの
湿式洗浄時における腐食を減少させる方法に関連する。
湿式洗浄は側壁パッシベーションおよびエッチング金属
フィーチャの垂直面上に沈着したポリマーの少なくとも
一つを減少させるために行われる。この方法では、金属
被覆層上にハードマスクを具備させる。この方法には、
ハードマスクを使用するプラズマ加工室での金属被覆層
のエッチングも含まれる。エッチングでエッチング金属
フィーチャが形成される。この方法には、さらにハード
マスクを除去しないで湿式洗浄を行うことが含まれ、ハ
ードマスクがエッチング金属フィーチャの表面をキャッ
プし、金属フィーチャが湿式洗浄に用いられるエッチン
グ液に曝露されるのを減少させる。
【0012】本発明を完全に理解するために、本発明の
これら特徴およびその他の特徴を以下の実施例で図面に
関連させて詳細に説明する。本発明を全体的に理解する
ために数件の特定な詳細を記載する。しかし、当業者に
とって、これらの説明の全て或いは一部がなくても、本
発明を実施できることは明白である。また周知な構造お
よび/またはプロセス段階は本発明を不必要に不明瞭に
しないために詳細には記載されていない。
【0013】本発明の一態様に拠って、プラズマエンハ
ンスエッチング時にフォトレジストに基づく金属線上の
ポリマー沈着を実質的に排除した改良金属被覆エッチン
グ法が提供される。標準的にフォトレジストマスクを用
いて金属エッチングで金属線を規定する従来技術による
金属被覆エッチング法と対照的に、本発明は代わりにハ
ードマスクを用いてフォトレジスト破片に由来するポリ
マー沈着を除去するプラズマエンハンスエッチング法を
使用するのが利点である。さらに重要なのは、エッチン
グ用のガスが少なくとも1種類の側壁パッシベーション
剤を含むように選択されて異方性エッチングを容易に
し、側壁プロファイルを保存することである。側壁パッ
シベーション剤は金属被覆エッチング時にフォトレジス
トマスク除去で取り除かれた随伴物であるポリマー沈着
物を補償する側壁パッシベーションを創製するために与
えられる。側壁パッシベーション剤の容積は標準的な通
常のプラズマエッチング機器で微細に制御されるので、
一方で側壁プロファイルの要件を満たしつつ側壁パッシ
ベーション剤の容積を最小にするようにプロセスの微細
調整が可能になる。したがって、湿式エッチングの必要
性を減少または完全に排除することができ、このことで
繊細なエッチング金属フィーチャが腐食性湿式エッチン
グ液に曝露することを制限し、湿式洗浄の必要性も排除
することができる。
【0014】縮小した湿式エッチングプロセスが金属エ
ッチングの後に残留する側壁パッシベーションを除去す
るために所望される範囲で、本発明は非自明的な方法で
湿式エッチング時における腐食の減少にも役立つ。なぜ
ならば、多くの場合にそうであるように金属被覆層が2
種類以上の異なる金属層から形成されていると、湿式エ
ッチング液中に存在する異なる金属が電気化学反応によ
る腐食を増長するからである。本発明の特に有益な態様
に拠ると、ハードマスクは湿式エッチング中に金属線上
に残存して金属線をキャップし、金属線が腐食性湿式エ
ッチングに曝露するのを低減している。湿式エッチング
プロセスにおける金属線のキャッピングによって、一つ
の金属層のさらに少ない表面が湿式エッチング液に曝露
する。こうして、極めて非自明的に腐食が減少する。
【0015】本発明の有利な一実施例に拠ると、ハード
マスクは後でエッチングされる金属線上に蒸着される絶
縁層、誘電層として部分的に機能する材料から形成され
る。したがって、エッチング後にハードマスクを除去す
る必要はない。その代わり、ハードマスクは後で金属線
上に蒸着される絶縁層、誘電層の一部として残存する。
後で蒸着された層がエッチングされて金属線を与える場
合には、ハードマスク材料は後で蒸着される誘電性材料
と共に単一ステップでエッチングされるのに有利なよう
に選好されるのが好ましい。この方法では、フォトレジ
ストに基づくポリマー沈着の低減に関連する利点が金属
被覆エッチング後のハードマスクの除去を別に必要とし
ないことで達成される。このことは、多層金属プロセス
では金属線が絶縁材料の層で被覆されることが多いの
で、IC製造に特に重要である。
【0016】本発明の態様および利点の考察を容易にす
るために、発明になるフォトレジスト無しプラズマエン
ハンス金属被覆エッチング方法の特殊例を図5−8に示
す。図5は基板104上に配置した金属層502を示
す。この特殊例では、金属層502はバリア層504、
金属層506およびバリア/反射防止膜(ARC)層5
08の別々の3層を含む。バリア層504およびバリア
/ARC層508は任意であり、全ての場合に必要なも
のでなく、金属層502および/またはそれに隣接する
層の材料の特異な選択に依存することは当業者に容易に
理解されるであろう。
【0017】図5を参照して説明すると、バリア層50
4はTi層を覆うTiN層からなる。金属層506はア
ルミニウム含有層で代表され、好ましくはアルミニウム
またはAl/Cu、Al/Cu/Siなどのアルミニウ
ム合金の一つから形成される。バリア/ARC層508
もTi層を覆うTiN層からなる。金属層を通してのエ
ッチングを容易にするために、ハードマスク層510は
SiON、酸化物、SiN、FOX、或いはそれらの複
合材などのマスク材から形成される。特に有利な一実施
例では、ハードマスク材はSiONで、付加的な有機A
RC層を必要としないで深層紫外線リソグラフィーを利
用することができる。
【0018】通常の場合には、有機ARC層をハードマ
スク層510と後で形成される有機フォトレジストマス
ク514との間に設ける。有機ARC層は光リソグラフ
プロセスに必要な場合にだけ設けられることに留意すべ
きである。フォトレジスト有機マスクは究極的に金属層
に転送される金属線のパターンを包含する。しかし、第
1ステップとして、フォトレジストマスクはハードマス
ク層を通してエッチングハードマスク層上にパターンを
転送するために用いられる。このエッチングによってハ
ードマスクが形成される。一実施例では、ハードマスク
はSiO2とSiONとの二重層からなり、反応性イオ
ンエッチングプロセスでCF4/CHF3/Arを用いて
エッチングされる。一例として、ハードマスクのRIE
はApplied Materials Inc.(カリホルニア州サンタクラ
ラ)が提供するMXPプラズマ加工システムで行われ
る。図6にハードマスクの一部を参照番号512として
示す。
【0019】次ぎに、灰化剤としてO2またはO2/H2
O蒸気を用いるプラズマエンハンス灰化などの通常のフ
ォトレジスト剥離プロセスでフォトレジストマスクを除
去する。この方法では、フォトレジストマスクはハード
マスク層を通して金属層までのエッチングのみに用いら
れる。フォトレジスト剥離後の結果を図7に示す。
【0020】この結果、ハードマスクのパターンが適宜
な腐食剤を用いて金属層に転送される。使用される特殊
な腐食剤は当然ながら金属層を構成する層の組成に依存
する。腐食剤はハードマスク材に選択性を有し、アルミ
ニウム層をエッチングするものが望ましい。例として、
Cl2を含有する腐食剤がアルミニウム含有層のエッチ
ングに適切であることが判明した。
【0021】本発明の一態様に拠ると、金属被覆エッチ
ングプロセスからフォトレジストマスクを除くことは、
マスクのアンダカットを保護するPRベースのポリマー
側壁が存在しないので、プラズマエンハンスエッチング
プロセス(例えば、RIE)のエッチング異方性(例え
ば、所望の縦方向エッチングプロファイル)に悪影響を
与えることが分かっている。エッチングプロファイルを
保存するために、金属層を通してのエッチングに使用さ
れる腐食剤ガスは、本発明の一実施例に拠ると、少なく
とも1種類の側壁パッシベーション剤を含むのが有利で
ある。側壁パッシベーション剤は、例えばN2、CH4
CHF3である。したがって、Cl2/HCl/N2、B
Cl3/Cl2/N2、BCl3/Cl2/CH4、BCl3
/Cl2/N2/CH4などの腐食剤がアルミニウム含有
層のエッチングに利用される。金属被覆エッチングの後
の結果が図8に描画されてあり、形成された金属フィー
チャ516が示されている。
【0022】側壁パッシベーション剤の投入量を制御す
ることで、プロセスを微調整して十分な側壁パッシベー
ションを形成させ、後で除去することが難しい必要以上
に厚い側壁パッシベーション層を創製することなくエッ
チングの方向性を改善することが可能である。これは従
来技術と対照的な点であり、従来技術ではフォトレジス
ト破片が極めて高レベルの炭素含有ポリマー沈着の原因
となり、調整がより困難である。CCl2などの炭素含
有ポリマー前駆体の生成は本発明では実質的に排除され
ると確信する。ポリマーが減少することで、金属線の近
傍に存在して腐食を引き起こす吸収塩素がさらに減少す
る。
【0023】有利なことに、側壁パッシベーション薄膜
は金属被覆エッチング後の湿式エッチングプロセスの要
件を低減し、或いは、好ましくは、完全に排除すること
ができる。全ての側壁パッシベーションを除去するため
に湿式エッチングが必要な場合でも、短時間のエッチン
グ、或いは腐食性の少ない腐食剤で十分である。
【0024】金属エッチングの後、プラズマパッシベー
ション(例えば、H2O/O2プラズマを用いる)などの
通常の後処理および/または脱イオン水リンスを用いる
ことができる。プラズマパッシベーションはウェーハの
表面を清浄にし、且つ/または残留塩素を全て除去す
る。この後に通常の付加的な加工が行われて、コンピュ
ータまたは消費者向け/商業用電化製品など多くの電子
機器に使用されるIC最終製品が生産される。
【0025】
【実施例】以下に例示するエッチングでは、TCPTM
600SEプラズマ加工反応器内でアルミニウム含有層
をエッチングする。このプラズマ加工反応器はLam Rese
arch Corp.(カリホルニア州、フレモント)から市販さ
れている。以下に特異なパラメータが開示され、本発明
に拠る有機ベースのフォトレジストマスクを使用しない
アルミニウム含有層のエッチングに適切であることが証
明されるが、特定機器または特定基板に要求される正確
なパラメータは変化すると思われ、この開示が為される
ことで当業者によって導出されることであろう。
【0026】エッチングの一例で使用される腐食剤はC
2/BCl3/N2/CH4である。プラズマ反応器内の
圧力は約1mTから約100mTの間、好ましくは約3
mTから約30mT、より好ましくは6mTから16m
Tの間である。トップパワーとバイアスパワーとの比は
約5:1−約1:5の間であり、好ましくは約3:1−
1:3、より好ましくは1.5:1−1:1.5であ
る。Cl2ガス流とBCl3ガス流との比は約12:1か
ら約1:3の間、好ましくは8:1から約1:2、より
好ましくは約6:1から1:1.5の間である。Cl2
ガス流とBCl3ガス流との合計に対するN2ガス付加率
は約0−50%、好ましくは約2−30%、より好まし
くは約3−25%の間である。Cl2ガス流とBCl3
ス流との合計に対するCH4ガスの付加率は約0−20
%、好ましくは約1−10%、より好ましくは約2−約
8%の間である。エッチングは2段階以上のステップ
(例えば、ブレークスルー、主エッチング、オーバーエ
ッチング)で行われることもある。
【0027】別のエッチング例では、Cl2/HCl/
2が用いられる。プラズマ反応器内の圧力は約1mT
から約30mTの間、好ましくは約3mTから約20m
T、より好ましくは6mTから16mTの間である。ト
ップパワーとバイアスパワーとの比は約5:1−約1:
5の間であり、好ましくは約2:1−約1:2、より好
ましくは1:1−1:1.5である。Cl2ガス流とH
Clガス流との比は約10:1から約1:3の間、好ま
しくは8:1から約1:2、より好ましくは約5:1か
ら約2:1の間である。Cl2ガス流とHClガス流と
の合計に対するN2ガス付加率は約1−50%、好まし
くは約5−30%、より好ましくは約10−25%の間
である。エッチングは2段階(またはそれ以上)のステ
ップ(例えば、主エッチング、オーバーエッチング)で
行われることもある。
【0028】好ましい数例の実施例で本発明を説明した
が、本発明の範囲内に属する変更例、変形例、等価な例
が存在する。また、本発明の方法および機器を実施する
変更方法が多くあることにも留意すべきである。したが
って、既に記載したクレームはかかる変更例、変形例お
よび等価な例の全てが本発明の精神および範囲に属する
ものと解釈されるべきである。
【図面の簡単な説明】
【図1】基板上に配置した金属層を表し、金属層上に配
置した金属被覆エッチングのためのフォトレジストマス
クを含む。
【図2】金属被覆層がエッチングされた後の図1の基板
を表す。
【図3】フォトレジストマスクが除去された後の図2の
基板を表す。
【図4】湿式エッチングが行われてポリマー側壁が除去
された後の図3に示す金属被覆のフィーチャを表す。
【図5】基板上に配置した金属層を表し、ハードマスク
層、金属層上に配置し金属被覆エッチングを促進するた
めのフォトレジストマスクを含む。
【図6】図5の基板を表し、ハードマスク層からエッチ
ングで除去されたハードマスクを含む。
【図7】図6の基板を表し、フォトレジストマスクが除
去された後のハードマスクを含む。
【図8】金属被覆エッチングが行われた後の図7の金属
被覆フィーチャを示す。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ピーター ストローブル アメリカ合衆国 ヴァージニア グレン アレン サマー クリーク ウェイ 5604 (72)発明者 シュテファン ヴェーゲ ドイツ連邦共和国 ドレスデン ピー オ ー ボックス 100940 (72)発明者 アイケ リューケン ドイツ連邦共和国 ラードボウル アイン シュタインシュトラーセ 24 (72)発明者 ゲオルク シュトヤコヴィク アメリカ合衆国 ニューヨーク ポウキー プシー ボブリック ロード 10 (72)発明者 ブルーノ スプーラー ドイツ連邦共和国 ヴァイクスドルフ ド レスデン エヴァルト クルーク シュト ラーセ 82

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】 基板上に配置した金属被覆層からエッチ
    ングされた金属線垂直面上のポリマー沈着物を減少させ
    る方法において、 前記金属被覆層上にハードマスク層を形成し、 前記ハードマスク層上にフォトレジストマスクを設け、 前記ハードマスク層からハードマスクを形成するフォト
    レジストマスクを用いて、前記ハードマスクが後のプラ
    ズマエンハンス金属被覆エッチングで前記金属線を生成
    するための形状構成されたパターンを有し、 前記フォトレジストマスクを除去し、かつ前記ハードマ
    スクおよびCl2と少なくとも1種類のパッシベーション
    形成化学品とを含む腐食ガスを用いてプラズマエンハン
    ス金属被覆エッチングを行って、該プラズマエンハンス
    金属被覆エッチングがフォトレジストを使用せずに行わ
    れてプラズマエンハンス金属被覆エッチング時の前記ポ
    リマー沈着を減少させることを特徴とする、金属線のエ
    ッチング後の腐食を減少させる金属被覆エッチング方
    法。
  2. 【請求項2】 前記プラズマエンハンス金属被覆エッチ
    ングの実施が反応性イオンエッチング(RIE)方法を
    用いる前記金属被覆層のエッチングを含む、請求項1記
    載の方法。
  3. 【請求項3】 前記ハードマスク層がSiO2層および
    SiON層の少なくとも一つを含む、請求項2記載の方
    法。
  4. 【請求項4】 前記パッシベーション形成化学品がHC
    lおよびN2の少なくとも一つを含む、請求項3記載の
    方法。
  5. 【請求項5】 前記腐食ガスが前記HClおよび前記N
    2のほかの一つを含む、請求項4記載の方法。
  6. 【請求項6】 前記パッシベーション形成化学品がCH
    4およびN2の少なくとも一つを含む、請求項3記載の方
    法。
  7. 【請求項7】 前記パッシベーション形成化学品が前記
    CH4および前記N2のほかの一つを含む、請求項6記載
    の方法。
  8. 【請求項8】 前記ハードマスクが前記金属線上に後に
    形成される絶縁層の一部として利用される、請求項3記
    載の方法。
  9. 【請求項9】 基板上に配置した金属被覆層からエッチ
    ングされた金属線の腐食を減少させる方法において、 前記金属被覆層をその上に有する前記基板を設けて、前
    記金属被覆層が電気化学的特性が異なる少なくとも二つ
    の層を含み、 前記金属被覆層上にハードマスク層を形成し、 前記ハードマスク層上にフォトレジスト層を設け、 前記ハードマスク層からハードマスクを生成するために
    前記フォトレジストマスクを用いて、前記ハードマスク
    が後のプラズマエンハンス金属被覆エッチングで前記金
    属線を生成するための形状構成されたパターンを有し、 前記フォトレジストマスクを除去し、 前記ハードマスクおよびCl2と少なくとも1種類のパ
    ッシベーション形成化学品とを含む腐食ガスを用いてプ
    ラズマエンハンス金属被覆エッチングを行って、該プラ
    ズマエンハンス金属被覆エッチングがフォトレジストを
    使用せずに行われて該プラズマエンハンス金属被覆エッ
    チング時のフォトレジストベースのポリマー沈着を減少
    させ、かつその後、前記プラズマエンハンス金属被覆エ
    ッチングで生成したポリマー側壁を除去するために湿式
    エッチングを行って、前記ハードマスクが前記金属線上
    に配置されている間に該湿式エッチングが行われて該湿
    式エッチング時における前記の少なくとも二層間の電気
    分解反応に由来する前記腐食を減少させることを特徴と
    する、金属線のエッチング後の腐食を減少させる金属被
    覆エッチング方法。
  10. 【請求項10】 前記の少なくとも二層のうち一層がT
    iN層に該当する、請求項9記載の方法。
  11. 【請求項11】 前記の少なくとも二層のうち他層がア
    ルミニウム含有層に該当する、請求項10記載の方法。
  12. 【請求項12】 前記側壁パッシベーション化学品がN
    2、CH4およびCHF3の一つである、請求項9記載の
    方法。
  13. 【請求項13】 金属被覆層から前もってエッチングさ
    れた金属フィーチャを湿式洗浄する際の浸食を減少させ
    る方法において、該湿式洗浄が側壁パッシベーションお
    よび前記のエッチングされた金属フィーチャの垂直表面
    上に沈着したポリマーの少なくとも一つを除去するため
    に行われ該方法が、 前記金属被覆層上にハードマスクを設け、 前記ハードマスクを用いるプラズマ加工チャンバ内で前
    記金属被覆層をエッチングし、該エッチングが前記のエ
    ッチングされた金属フィーチャを形成し、 その後に前記ハードマスクを除去しないで前記湿式洗浄
    を行って、前記ハードマスクが前記のエッチングされた
    金属フィーチャの表面をキャップして前記金属フィーチ
    ャが前記湿式エッチングに使用される湿式エッチング液
    に曝露するのを減少させることを特徴とする、金属被覆
    層から前もってエッチングされた金属特徴を湿式洗浄す
    る際の浸食を減少させる方法。
  14. 【請求項14】 前記エッチングがフォトレジストマス
    クを使用しないで行われる、請求項13記載の方法。
  15. 【請求項15】 前記エッチングが側壁パッシベーショ
    ン剤を含む腐食剤を使用する、請求項14記載の方法。
  16. 【請求項16】 前記側壁パッシベーション剤がN2
    CH4およびCHF3の一つである、請求項15記載の方
    法。
  17. 【請求項17】 前記湿式洗浄を行う前にさらにプラズ
    マパッシベーションが行われる、請求項15記載の方
    法。
  18. 【請求項18】 前記ハードマスクが前記金属線上に後
    で形成される絶縁層の一部として用いられる、請求項1
    3記載の方法。
  19. 【請求項19】 前記ハードマスクがSiO2、SiO
    N、SiNまたはFOXの少なくとも一つを含むハード
    マスク材から生成される、請求項13記載の方法。
JP25886499A 1998-09-15 1999-09-13 エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法 Expired - Fee Related JP4690512B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/153,390 US6177353B1 (en) 1998-09-15 1998-09-15 Metallization etching techniques for reducing post-etch corrosion of metal lines
US09/153390 1998-09-15

Publications (3)

Publication Number Publication Date
JP2000323483A true JP2000323483A (ja) 2000-11-24
JP2000323483A5 JP2000323483A5 (ja) 2007-05-24
JP4690512B2 JP4690512B2 (ja) 2011-06-01

Family

ID=22547020

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25886499A Expired - Fee Related JP4690512B2 (ja) 1998-09-15 1999-09-13 エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法

Country Status (7)

Country Link
US (1) US6177353B1 (ja)
EP (1) EP0987745B1 (ja)
JP (1) JP4690512B2 (ja)
KR (1) KR100676995B1 (ja)
CN (1) CN1146967C (ja)
DE (1) DE69935100T2 (ja)
TW (1) TW457583B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004080045A (ja) * 2002-08-20 2004-03-11 Samsung Electronics Co Ltd マスクを利用した金属膜エッチング方法、半導体素子の配線形成方法、金属膜エッチング方法及びエッチングガス
JP2008529291A (ja) * 2005-02-01 2008-07-31 キモンダ アクチエンゲゼルシャフト ピラー相変化メモリセル
US10784086B2 (en) 2015-04-24 2020-09-22 Lam Research Corporation Cobalt etch back
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10998187B2 (en) 2017-04-19 2021-05-04 Lam Research Corporation Selective deposition with atomic layer etch reset
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100528685B1 (ko) * 1998-03-12 2005-11-15 가부시끼가이샤 히다치 세이사꾸쇼 시료의 표면 가공방법
KR100283425B1 (ko) * 1998-09-24 2001-04-02 윤종용 반도체소자의금속배선형성공정및그시스템
US6399508B1 (en) * 1999-01-12 2002-06-04 Applied Materials, Inc. Method for metal etch using a dielectric hard mask
JP3257533B2 (ja) * 1999-01-25 2002-02-18 日本電気株式会社 無機反射防止膜を使った配線形成方法
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
DE10062639A1 (de) * 2000-12-15 2002-07-04 Infineon Technologies Ag Verfahren zur Erzeugung von Leiterbahnen
US6576562B2 (en) * 2000-12-15 2003-06-10 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device using mask pattern having high etching resistance
TWI243404B (en) * 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
KR100402239B1 (ko) * 2001-06-30 2003-10-17 주식회사 하이닉스반도체 반도체소자의 금속 게이트 형성방법
SG96644A1 (en) * 2001-09-11 2003-06-16 Chartered Semiconductor Mfg Etch/clean process for integrated circuit pad metal
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
US20040171272A1 (en) * 2003-02-28 2004-09-02 Applied Materials, Inc. Method of etching metallic materials to form a tapered profile
DE60310528T2 (de) * 2003-05-07 2007-09-27 Stmicroelectronics S.R.L., Agrate Brianza Verfahren zum Festlegen einer Chalcogenidmaterial-Schicht, insbesondere in einem Verfahren zur Herstellung von Phasenumwandlungs-Speicherzellen
KR100523141B1 (ko) * 2003-07-18 2005-10-19 매그나칩 반도체 유한회사 반도체 소자의 금속 배선층 형성방법
JP5110831B2 (ja) * 2006-08-31 2012-12-26 キヤノン株式会社 光電変換装置及び撮像システム
US20080094885A1 (en) * 2006-10-24 2008-04-24 Macronix International Co., Ltd. Bistable Resistance Random Access Memory Structures with Multiple Memory Layers and Multilevel Memory States
US20100003828A1 (en) * 2007-11-28 2010-01-07 Guowen Ding Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas
US8748323B2 (en) 2008-07-07 2014-06-10 Macronix International Co., Ltd. Patterning method
US9683305B2 (en) * 2011-12-20 2017-06-20 Apple Inc. Metal surface and process for treating a metal surface
US8859418B2 (en) 2012-01-11 2014-10-14 Globalfoundries Inc. Methods of forming conductive structures using a dual metal hard mask technique
CN102723273B (zh) * 2012-05-28 2015-03-11 上海华力微电子有限公司 一种扩大铝线干法刻蚀腐蚀缺陷工艺窗口的方法
CN102820261A (zh) * 2012-08-22 2012-12-12 上海宏力半导体制造有限公司 铝刻蚀的方法
JP2015056578A (ja) * 2013-09-13 2015-03-23 株式会社東芝 半導体装置の製造方法
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN104658905B (zh) * 2015-02-27 2018-01-05 深圳市华星光电技术有限公司 一种刻蚀方法及基板
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
TWI812762B (zh) * 2018-07-30 2023-08-21 日商東京威力科創股份有限公司 處理被處理體之方法、處理裝置及處理系統
CN115938937B (zh) * 2023-03-09 2023-06-09 合肥晶合集成电路股份有限公司 半导体结构及其制备方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6033367A (ja) * 1983-08-04 1985-02-20 Nec Corp アルミニウムのドライエッチング方法
US5211804A (en) 1990-10-16 1993-05-18 Oki Electric Industry, Co., Ltd. Method for dry etching
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5270254A (en) * 1991-03-27 1993-12-14 Sgs-Thomson Microelectronics, Inc. Integrated circuit metallization with zero contact enclosure requirements and method of making the same
JPH05166756A (ja) * 1991-12-12 1993-07-02 Hitachi Ltd エッチング装置
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
US5573973A (en) * 1993-03-19 1996-11-12 National Semiconductor Corporation Integrated circuit having a diamond thin film trench arrangement as a component thereof and method
JP3161888B2 (ja) * 1993-09-17 2001-04-25 株式会社日立製作所 ドライエッチング方法
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP2861785B2 (ja) 1994-02-15 1999-02-24 日本電気株式会社 半導体装置の配線の形成方法
JPH07249607A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5633424A (en) * 1994-12-29 1997-05-27 Graves; Clinton G. Device and methods for plasma sterilization
JP3353524B2 (ja) * 1995-03-22 2002-12-03 ソニー株式会社 接続孔を形成する工程を有する半導体装置の製造方法
US5585285A (en) * 1995-12-06 1996-12-17 Micron Technology, Inc. Method of forming dynamic random access memory circuitry using SOI and isolation trenches
US5654233A (en) * 1996-04-08 1997-08-05 Taiwan Semiconductor Manufacturing Company Ltd Step coverage enhancement process for sub half micron contact/via
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
US5741741A (en) * 1996-05-23 1998-04-21 Vanguard International Semiconductor Corporation Method for making planar metal interconnections and metal plugs on semiconductor substrates
JP3112832B2 (ja) * 1996-05-30 2000-11-27 日本電気株式会社 半導体装置の製造方法
US5792687A (en) * 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
US5976986A (en) * 1996-08-06 1999-11-02 International Business Machines Corp. Low pressure and low power C12 /HC1 process for sub-micron metal etching
JP3258240B2 (ja) * 1996-09-10 2002-02-18 株式会社日立製作所 エッチング方法
JP3006508B2 (ja) * 1996-10-15 2000-02-07 日本電気株式会社 アルミニウム膜又はアルミニウム合金膜のエッチング方法
US5801082A (en) * 1997-08-18 1998-09-01 Vanguard International Semiconductor Corporation Method for making improved shallow trench isolation with dielectric studs for semiconductor integrated circuits
KR100255663B1 (ko) * 1997-12-11 2000-05-01 윤종용 알루미늄막의 식각방법 및 반도체장치의 배선층 형성방법

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004080045A (ja) * 2002-08-20 2004-03-11 Samsung Electronics Co Ltd マスクを利用した金属膜エッチング方法、半導体素子の配線形成方法、金属膜エッチング方法及びエッチングガス
JP2008529291A (ja) * 2005-02-01 2008-07-31 キモンダ アクチエンゲゼルシャフト ピラー相変化メモリセル
US10784086B2 (en) 2015-04-24 2020-09-22 Lam Research Corporation Cobalt etch back
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
US10998187B2 (en) 2017-04-19 2021-05-04 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Also Published As

Publication number Publication date
KR100676995B1 (ko) 2007-01-31
TW457583B (en) 2001-10-01
EP0987745A1 (en) 2000-03-22
US6177353B1 (en) 2001-01-23
KR20000023166A (ko) 2000-04-25
CN1270415A (zh) 2000-10-18
CN1146967C (zh) 2004-04-21
DE69935100D1 (de) 2007-03-29
JP4690512B2 (ja) 2011-06-01
EP0987745B1 (en) 2007-02-14
DE69935100T2 (de) 2007-12-13

Similar Documents

Publication Publication Date Title
JP4690512B2 (ja) エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法
KR100584485B1 (ko) 반도체 소자의 금속 부식 방지 방법
KR101082993B1 (ko) 레지스트용 박리제조성물 및 반도체장치의 제조방법
US20040180551A1 (en) Carbon hard mask for aluminum interconnect fabrication
JPH0786244A (ja) ドライエッチング方法
EP1053566B1 (en) Method and composition for dry photoresist stripping in semiconductor fabrication
US5378653A (en) Method of forming aluminum based pattern
US6420099B1 (en) Tungsten hard mask for dry etching aluminum-containing layers
US6103630A (en) Adding SF6 gas to improve metal undercut for hardmask metal etching
US6077777A (en) Method for forming wires of semiconductor device
JP3570098B2 (ja) ドライエッチング方法
JP3326868B2 (ja) アルミニウム系パターンの形成方法
JP3082396B2 (ja) 半導体装置の製造方法
JPH1197428A (ja) 金属配線のドライエッチング方法
JPH07263425A (ja) 積層配線のドライエッチング方法
US6399509B1 (en) Defects reduction for a metal etcher
JPH05182937A (ja) ドライエッチング方法
JPH07297281A (ja) 接続孔の製造方法
JPH11238732A (ja) 配線構造およびボンディングパッド開口の形成法
JPH0864580A (ja) 半導体装置の製造方法
JPH05275393A (ja) ドライエッチング方法
JP3198599B2 (ja) アルミニウム系パターンの形成方法
JPH05121378A (ja) 半導体装置の製造方法
JP3385679B2 (ja) 異方性エッチング方法
JP2002246393A (ja) メタル配線形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070320

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100526

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100824

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101015

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110204

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110218

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees