JP2000200114A - クロック分配回路 - Google Patents

クロック分配回路

Info

Publication number
JP2000200114A
JP2000200114A JP11002315A JP231599A JP2000200114A JP 2000200114 A JP2000200114 A JP 2000200114A JP 11002315 A JP11002315 A JP 11002315A JP 231599 A JP231599 A JP 231599A JP 2000200114 A JP2000200114 A JP 2000200114A
Authority
JP
Japan
Prior art keywords
clock
wiring
distribution circuit
blocks
driver
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11002315A
Other languages
English (en)
Inventor
Kenichiro Anjo
健一朗 安生
Masayuki Mizuno
正之 水野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP11002315A priority Critical patent/JP2000200114A/ja
Priority to US09/472,843 priority patent/US6378080B1/en
Publication of JP2000200114A publication Critical patent/JP2000200114A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 クロック信号、特に高周波数のクロック信号
を小さなクロックスキューで分配することができるクロ
ック分配回路を提供する。 【解決手段】 分配回路は、複数個の回路を有する複数
個のブロックと、前記各ブロックにクロック信号を分配
する第1のクロックドライバと、前記各ブロックに設け
られそのブロック201内の前記各回路205a乃至2
05zにクロック信号を分配する第2のクロックドライ
バ202と、前記第1のクロックドライバと前記各第2
のクロックドライバとを前記クロック信号が前記各第2
のクロックドライバに同位相で到達するように接続する
第1の配線と、前記第2のクロックドライバ202と前
記各回路205a乃至205zとを接続し伝送線路から
なる第2の配線204と、を有する。第2の配線204
の最長長さは、許容されるクロックスキューと第2の配
線204を伝播する電磁波の伝播速度との積以下であ
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体集積回路に使
用されるクロック分配回路に関し、特に、クロックスキ
ューの低減を図ったクロック分配回路に関する。
【0002】
【従来の技術】近時、大規模集積回路(LSI)におけ
るクロック周波数は急激に上昇してきており、速いもの
では1GHzもの周波数に達している。クロック周波数
の上昇は、クロック同期回路がLSI設計手法の主流に
なっている現在においては、LSIの性能を直接向上さ
せることができるため、極めて意義のある技術である。
従来の電子計算機等に使用されているLSIでは、クロ
ック参照端子間での位相差をできるだけ低減するように
クロックを分配する方法として、種々の構成が提案され
ている。例えば、ツリー構造のクロック分配回路及びメ
ッシュ型のクロック分配回路が主に使用されている。ツ
リー構造のクロック分配回路は、特開平5−23309
2号公報及び特開平9−307069号公報等に記載さ
れており、メッシュ型のクロック分配回路は、特開平6
−244282号公報等に記載されている。
【0003】図11は従来のツリー構造のクロック分配
回路を示す回路図である。従来のツリー構造のクロック
分配回路においては、LSIチップ702にクロック信
号が入力される入力端子701が設けられており、入力
端子701には、インバータ703乃至705が直列に
接続されている。そして、インバータ705には等長且
つ幅が等しいクロック分配配線712L及び712Rが
接続されている。更に、クロック分配配線712Lの末
端には、インバータ706a及び706bが接続され、
クロック分配配線712Rの末端には、インバータ70
6c及び706dが接続されている。更にまた、インバ
ータ706a乃至706dには、等長等幅の配線が夫々
設けられており複数個のインバータ707a乃至707
d、708a乃至708d、709a乃至709d、7
10a乃至710d及び711a乃至711dが接続さ
れている。
【0004】このように構成された従来のクロック分配
回路においては、各配線が等長等幅に形成されているの
で、末端に到達するクロックのクロックスキューが低減
されている。また、ツリー末端のバッファからクロック
を参照する複数個の端子まで複数の等長の配線が使用さ
れているので、クロックスキューが低減されている。前
述の特開平5−233092号公報に記載されたクロッ
ク分配回路はこのような構成となっている。
【0005】また、前述の特開平9−307069号公
報に記載された従来のクロック分配回路では、クロック
ツリーの各節での配線遅延を調整するために遅延用バッ
ファが挿入されている。そして、このような構成とする
ことにより、クロックツリーの各段での位相が揃えられ
ている。
【0006】図12は従来のメッシュ型のクロック分配
回路を示す回路図である。従来のメッシュ型クロック分
配回路においては、LSIチップ802が複数のブロッ
ク801に区画されており、各ブロック801内にメッ
シュ状に交差した配線806が設けられている。更に、
入力端子805に入力されたクロック信号を複数のブロ
ック801に分配するバッファ804がクロックドライ
バとして設けられている。そして、バッファ804から
各ブロック801まで等長等幅に形成されたクロック分
配配線803が設けられている。
【0007】このように構成された従来のクロック分配
回路においては、メッシュ状の配線が使用されているの
で、配線抵抗を並列抵抗と考えることができ、配線抵抗
が小さい。このため、各格子点におけるクロック信号の
電圧変化のタイミングの相違は無視できる程度となる。
前述の特開平6−244282号公報に記載されたクロ
ック分配回路はこのような構成となっている。
【0008】また、他のクロック分配回路として、可変
遅延調整器及び位相比較器を有するPLL(Phase lock
ed Loop)又はDLL(Delay locked Loop)等を利用し
た複数個のブロック内分配回路がLSI内部に配置され
たものが特開平6−282350号公報に記載されてい
る。
【0009】この公報に記載された従来のクロック分配
回路においては、PLL及びDLL等が常に互いの位相
を比較及び調整しながら、クロック参照端子で同位相の
クロックを提供している。この回路によれば、各クロッ
ク分配配線の容量に差があった場合でも、末端に同位相
のクロックが分配される。
【0010】また、可変遅延調整器を使用した周波数逓
倍器をクロックツリーの節の部分に配置されたクロック
分配回路が特開平7−253825号公報に記載されて
いる。この従来のクロック分配回路では、外部から入力
されたクロックが内部で逓倍され、より高速なクロック
が生成されている。
【0011】これらのクロック分配回路は、いずれもク
ロック配線には配線の抵抗成分及び容量成分が存在する
との仮定の下に設計されている。なお、設計されたクロ
ック分配回路がクロックスキュー許容値を満たすか否か
は、クロックの立ち上がり時間を配線の負荷容量と抵抗
成分との積で求める回路のモデリング方法により検証さ
れ、許容値を満たす場合には設計されたものが回路化さ
れる。
【0012】しかし、1995年9月に発行された「ア
イビーエムジャーナルオブリサーチアンドディベロップ
メント(IBM Journal of Research and Development vo
l. 39, No.5)547乃至566頁」では、300MH
zの周波数で動作するマイクロプロセッサにおいて、1
cmの長さの配線では配線の伝播遅延時間は130乃至
370p秒であり、伝播信号の立ち上がり時間は100
乃至900p秒となり、信号の立ち上がり立ち下がり時
間に対して伝播遅延時間が無視できない状況となってい
ることが示されている。また、このような状況では、配
線を容量成分及び抵抗成分のみを考慮したRC分布定数
回路としてではなく、更にインダクタンスを考慮に入れ
た伝送線路として扱う必要があることが示されている。
【0013】
【発明が解決しようとする課題】しかしながら、前述の
公報に記載されたクロック分配回路は、いずれもRC分
布定数回路として設計されたクロック分配回路であるた
め、高周波で動作させようとする場合に問題が生じる。
【0014】例えば、特開平5−233092号公報又
は特開平6−244282号公報に記載された従来のク
ロック分配回路では、いずれも抵抗成分及び容量成分の
みによって信号の伝播特性が決定されることが仮定され
ており、クロックの立ち上がり時間と信号線の信号伝播
時間とが等しくインダクタンス成分が無視できない条件
下では正しく動作しない。クロックの立ち上がり時間
が、例えばクロック周期の10%である場合には、信号
線の伝播時間は1cmで130乃至370p秒となるた
め、その場合には300MHzを超える周波数でインダ
クタンスが無視できなくなる。
【0015】また、特開平6−282350号公報に記
載された可変遅延調整器及び位相比較器を使用したPL
L又はDLL等の回路においては、例えば、周波数が1
GHzを超えると回路構成が極めて困難となる。例え
ば、1997年2月に発行された「アイ・イー・イー・
イー・インターナショナルソリッドステートサーキッツ
カンファレンス(IEEE International Solid-State Cir
cuits Conference)330乃至331頁」に記載された
技術では、PLLそれ自体のジッタのみで154p秒と
なり、LSI上に搭載した場合には、電源ノイズ等の不
確定要素の影響によりジッタは増加する。また、同文献
の332乃至333頁に記載された技術では、DLLそ
の自体のジッタは68p秒であるものの、1MHzの電
源雑音が加わった場合には400p秒ものジッタになる
ことが示されている。このように、PLL及びDLLは
電源ノイズ等の電気的な不確定要素の影響を大きく受け
るため、LSI上に搭載した際にジッタを約100p秒
以下とすることはできない。このため、PLL及びDL
Lを使用して位相調整を行うクロック分配回路であって
許容されるクロックスキューがクロック周期の約10%
以下である回路においては、クロック周波数が1GHz
を超えるとクロックの分配ができなくなってしまう。
【0016】更に、前述の従来のクロック分配回路はR
C分布定数回路として設計されているため、デバイス製
造ばらつきの影響を受けやすい。このデバイス製造ばら
つきによる影響は、トランジスタの出力抵抗(オン電
流)がばらつくことに及ぶ。この現象は全く同一のトラ
ンジスタの電源電圧の変化により生じる現象としてとら
えることができる。即ち、ある2つのインバータ間の線
路において、トランジスタの電源電圧が変化した場合に
信号の伝播遅延時間の差がどの程度生じるかにより、ク
ロックスキューのデバイスばらつき特性を示すことがで
きる。文献「アイ・イー・イー・イー・トランザクショ
ンズオンエレクトロンデバイセズ(IEEE Transactions
on Electron Devices, pp.118-124 January, 1998」に
記載されたRC分布定数回路における伝播遅延時間Tp
dを導出する数式が示されている。これを下記数式1に
示す。
【0017】
【数1】
【0018】但し、Rintは配線の単位長さ当たりの抵
抗、Cintは配線の単位長さ当たりの容量、CLは配線の
負荷容量、Rtrはバッファの出力抵抗である。
【0019】伝播遅延時間Tpdを導出する数式1に
は、トランジスタの出力抵抗であるR trが存在してお
り、RC分布定数回路で設計された配線の伝播遅延時間
はトランジスタのデバイスばらつきに影響されることが
示される。従って、このように設計されたクロック分配
回路においては、クロックスキューのばらつきが生じる
という問題点がある。
【0020】また、特開平9−51207号公報には、
配線長を調節することにより絶対遅延時間の差を減少さ
せることが可能なマイクロストリップ伝送線路基板が開
示されている。しかし、この従来の伝送線路基板をクロ
ック分配回路に適用しても、高周波数のクロック信号に
おけるクロックスキューを効果的に抑制することはでき
ない。
【0021】本発明はかかる問題点に鑑みてなされたも
のであって、クロック信号、特に高周波数のクロック信
号を小さなクロックスキューで分配することができるク
ロック分配回路を提供することを目的とする。
【0022】
【課題を解決するための手段】本発明に係るクロック分
配回路は、複数個の回路を有する複数個のブロックと、
前記各ブロックにクロック信号を分配する第1のクロッ
クドライバと、前記各ブロックに設けられそのブロック
内の前記各回路にクロック信号を分配する第2のクロッ
クドライバと、前記第1のクロックドライバと前記各第
2のクロックドライバとを前記クロック信号が前記各第
2のクロックドライバに同位相で到達するように接続す
る第1の配線と、前記第2のクロックドライバと前記各
回路とを接続し伝送線路からなる第2の配線と、を有
し、前記第2の配線の最長長さは、許容されるクロック
スキューと前記第2の配線を伝播する電磁波の伝播速度
との積以下であることを特徴とする。
【0023】本発明に係る他のクロック分配回路は、複
数個の回路を有する複数個のブロックと、前記各ブロッ
クにクロック信号を供給する複数個の第1のクロックド
ライバと、前記各ブロックに設けられそのブロック内の
前記各回路にクロック信号を分配する第2のクロックド
ライバと、前記各ブロックにおいて前記第1のクロック
ドライバと前記第2のクロックドライバとを前記クロッ
ク信号が前記第2のクロックドライバに同位相で到達す
るように接続する複数本の第1の配線と、前記第2のク
ロックドライバと前記各回路とを接続し伝送線路からな
る第2の配線と、を有し、前記第2の配線の最長長さ
は、許容されるクロックスキューと前記第2の配線を伝
播する電磁波の伝播速度との積以下であることを特徴と
する。
【0024】本発明においては、第2の配線が伝送線路
からなり、その最長長さが適切に規定されているので、
クロックスキューが低減され高周波での正確なクロック
信号の分配が可能となると共に、デバイスばらつきによ
る影響が低減される。
【0025】本発明において、前記第1の配線が伝送線
路からなるものであってもよい。
【0026】また、前記第2のクロックドライバ間の前
記第1の配線の長さは、実質的に前記クロック信号の周
波数から求められる波長に1/4の整数倍を乗じた長さ
であってもよい。
【0027】更に、前記第2の配線の幅は、前記第2の
クロックドライバ側から分岐される毎に細く形成されて
いてもよい。同様に、前記第1の配線の幅は、前記第1
のクロックドライバ側から分岐される毎に細く形成され
ていてもよい。
【0028】更にまた、前記第2の配線は、その末端に
おいてRC分布定数回路に近似できるまで配線抵抗が高
く形成されていてもよい。
【0029】
【発明の実施の形態】以下、本発明の実施例に係るクロ
ック分配回路について、添付の図面を参照して具体的に
説明する。図1は本発明の第1の実施例に係るクロック
分配回路を示す模式図である。
【0030】第1の実施例においては、半導体チップ1
3にクロックを参照する複数の回路端子が設けられてお
り、これらの回路端子をグループ化することにより、複
数のブロック14a乃至14dが形成されている。各ブ
ロック14a乃至14dの中心には、各ブロックのロー
カルクロックを駆動する第1のクロックドライバとして
クロックバッファ15a乃至15dが設けられている。
【0031】また、半導体チップ13には、クロック信
号が入力されるクロック入力端子16が設けられてい
る。クロック入力端子16には、クロックバッファ11
が接続されている。更に、クロックバッファ11には、
伝送線路から構成されたグローバルクロック配線12が
接続されている。グローバルクロック配線12は3箇所
で分割されており、その各末端部に夫々第2のクロック
ドライバとしてクロックバッファ15a乃至15dが接
続されている。なお、バッファ11から各クロックバッ
ファ15a、15b、15c及び15dまでの配線長は
同一である。従って、各クロックバッファ15a乃至1
5dに到達するクロックの位相は同一なものとなる。
【0032】図2はローカルブロックの構成を示す模式
図である。ローカルブロック201は図1における各ブ
ロック14a乃至14dに該当するものである。グロー
バルクロック配線203に接続されたローカルクロック
ドライバとしてのクロックバッファ202が設けられて
いる。このクロックバッファ202は図1における各ク
ロックバッファ15a乃至15dに該当するものであ
る。更に、クロックバッファ202には、伝送線路から
構成されたローカルクロック配線204が接続されてい
る。なお、ローカルクロック配線204には、折れ曲が
り部207のような折れ曲がり部及び分岐部206のよ
うな分岐部が設けられている。また、ローカルクロック
配線204の末端部には、特別な終端抵抗は付加されて
おらず、末端領域208のように他の領域より細く形成
されている。そして、ローカルクロック配線204の種
々の位置にクロック参照端子205a乃至205zが接
続されている。
【0033】図3はローカルクロック配線204におけ
る折れ曲がり部207を示す模式図である。折れ曲がり
部207において、ローカルクロック配線204は外側
の角を切り落とし傾斜部207aが設けられた形で直角
に屈曲されている。このような形状とすることにより、
反射波による損失が低減される。なお、折れ曲がり部2
07はローカルクロック配線204に形成された複数個
の折れ曲がり部の1個であるが、ローカルクロック配線
204内の他の折れ曲がり部及びグローバルクロック配
線の折れ曲がり部にも同様の傾斜部が適用されている。
【0034】図4はローカルクロック配線204におけ
る分岐部206を示す模式図である。分岐部206にに
おいて、ローカルクロック配線204は切り込み206
aが入れられた形で分岐されている。このような形状と
することにより、反射波による損失が低減される。な
お、分岐部206はローカルクロック配線204に形成
された複数個の分岐部の1個であるが、ローカルクロッ
ク配線204内の他の分岐部及びグローバルクロック配
線の分岐部にも同様の切り込みが適用されている。
【0035】なお、ローカルクロック配線204の最長
配線長は、半導体チップ内部で許容されるクロックスキ
ューとローカルクロック配線を電磁波が伝播する電磁波
伝播速度との積以下に設定されている。例えば、許容さ
れるクロックスキューが50p秒であり、電磁波速度が
0.149mm/p秒である場合には、ローカルクロッ
ク配線204の最大長は7.45mmとなる。なお、こ
の許容されるクロックスキューは、例えばクロックの1
0%とすることができる。
【0036】また、本実施例においては、ローカルクロ
ック配線204の幅は分岐206等の分岐部を経て末端
に近づく毎に細く形成されている。そして、最終的には
RC分布定数回路に近似できる程度まで細く形成されて
いる。更に、クロックを参照する端子のうち最もローカ
ルクロック配線204の末端に近いものは、例えばクロ
ックのハイレベルの電圧を少なくとも閾値電圧よりも高
い電圧で参照できるものとされている。この末端におけ
る電圧Vdを示す式を下記数式2に示す。
【0037】
【数2】
【0038】但し、Rintはローカルクロック配線20
4の単位長さ当たりの抵抗、Dはローカルクロック配線
204の長さ、Z0はローカルクロック配線204の特
性インピーダンスである。
【0039】この数式2における電圧Vdがトランジス
タの閾値を超えない場合であれば、RC分布定数回路と
して近似することができるため、その時のRintの値及
び配線の高さから配線の幅を決定することができる。
【0040】このように構成された第1の実施例におい
ては、クロック入力端子16に入力されたクロック信号
はクロックバッファ11により伝送線路から構成された
グローバルクロック配線12を介して各クロックバッフ
ァ15a乃至15dに分配される。このとき、バッファ
11から各クロックバッファ15a乃至15dまでの配
線長が同一であるため、各クロックバッファ15a乃至
15dに到達するクロックの位相は同一なものとなる。
【0041】その後、各クロックバッファ15a乃至1
5dに分配されたクロック信号は、夫々クロックバッフ
ァ15a乃至15dにより各ブロック14a乃至14d
内に設けられたクロック参照端子に分配される。このと
き、本実施例においては、分岐部を経る毎に配線幅が狭
く形成されているので、分岐部を経る毎にローカルクロ
ック配線204の特性インピーダンスの整合がとられ
る。分岐毎に配線幅が狭くされていない場合には、分岐
部で特性インピーダンスの不整合が生じ、信号が反射し
やすくなる。このため、信号が正しく伝播されなくなる
ことがある。
【0042】また、徐々に配線幅が細く形成されている
ので、末端に近づくに連れて配線抵抗が増加することに
なる。そして、最終的にはRC分布定数回路に近似でき
る程度まで細く形成されているので、線路末端での信号
の反射が防止され、波形の乱れが防止される。従って、
ローカルクロック配線204に配線途中の任意の場所で
クロックを参照する端子が接続されているが、その全て
の場所において安定した電圧でクロックを供給すること
ができる。一般に、線路末端での反射を防止するために
は、伝送線路末端で終端抵抗による線路の終端が必要と
されるが、線路の末端部分に終端抵抗を設けた場合に
は、常に抵抗に対して電流が流れることとなり、消費電
力が大きくる。一方、本実施例においては、前述のよう
に、線路が終端されていないので、低消費電力化に好適
である。
【0043】なお、第1の実施例においては、クロック
バッファ15a乃至15dは夫々ブロック14a乃至1
4dの中心に配置されているが、その位置はブロックの
中心に限定されるものではない。
【0044】また、ローカルクロックを参照するクロッ
ク参照端子205a乃至205zがローカルクロック配
線204からクロックを参照するために設けられる配線
の末端部における抵抗値は、RC分布定数回路に近似で
きる程度まで高く設定されていてもよい。このように配
線抵抗値を設定することにより、クロックを参照するた
めに引き出す配線の末端における反射が防止される。
【0045】更に、グローバルクロック配線12のバッ
ファ間の配線長をクロック波長の1/4のほぼ整数倍と
してもよい。例えば、クロック周波数が4GHzであれ
ば、この配線長のなかで最も短い9.33mmとしても
よい。このような長さの配線においては、クロックの入
射波と反射波とが互いに干渉し定在波が生じる。回路端
子の参照とされる電圧レベルはローカルクロック配線の
末端におけるもののみであるため、反射によって定在波
が生じ配線途中での電圧レベルが異なっていたとして
も、末端での電圧レベルが安定していればよい。このた
め、クロックバッファによりその出力インピーダンスと
配線の特性インピーダンスとの整合がとられ、入力端に
おいて反射波が生じないようにされていれば、出力端で
は信号の反射を防ぐための特別な終端抵抗は必要ない。
即ち、出力端での電圧レベルを乱さないためには、少な
くとも入力端で特性インピーダンスと駆動バッファの出
力インピーダンスとの整合がとれていれば、入力端での
反射が生じないため、出力端での信号は乱されなくな
る。
【0046】また、出力端では反射波と進行波とが合成
され、信号振幅が2倍になる。このため、立ち上がり時
間が1/2となるという効果も生じる。図5は横軸に線
路長をとり、縦軸に末端における信号振幅電圧をとって
両者の関係を示すグラフ図である。なお、図5は、配線
高さが1.6μm、配線幅が100μm、層間膜厚が2
μm、配線抵抗率が238Ω/mであるマイクロストリ
ップ伝送線路によりPチャネル幅が1518μm、Nチ
ャネル幅が828μm、双方のチャネル長が0.1μm
であるトランジスタからなるインバータ間を接続し、ク
ロック周波数を5GHzとした場合のものである。5G
Hzの1/4波長は約7mmであり、図5に示すよう
に、7mm程度の線路長で末端信号振幅電圧が定在波の
影響によりピークが現れている。しかし、実際には、7
mmよりも若干短い線路長のときにピークが現れている
が、これは配線が長くなったことによる電圧損失の影響
によるものである。
【0047】また、定在波を利用した配線とすることに
より、配線途中での電圧が干渉の影響により下がるた
め、定在波を利用しない場合と比して低電力化という効
果も生じる。図6は横軸に動作周波数をとり、縦軸に消
費電力をとって両者の関係を示すグラフ図である。な
お、図6は、Pチャネル幅が1518μm、Nチャネル
幅が828μm、双方のチャネル長が0.1μmである
トランジスタからなるインバータ間を伝送線路で構成し
た場合のものである。また、伝送線路の線路長は5GH
zの1/4波長にあたる7mm、特性インピーダンスは
4Ω、配線抵抗は238Ω/mである。図6中のプロッ
ト点は上述のように構成された回路をSPICEにより
シミュレーションした際の消費電力を示すものであり、
図6中の直線は定在波による効果がない場合の消費電力
を示す理論線である。図6に示すように、シミュレーシ
ョンによれば、周波数が5GHzではクロック分配回路
における消費電力が定在波を利用しなかった場合よりも
33%小さくなっている。なお、上述の回路構成では、
5GHzの周波数で定在波が生じるように線路長が設定
されているが、図6に示すように、4乃至6GHzの周
波数で低電力効果があることから、定在波が生じなくて
も、線路途中の電圧が干渉の影響で下がりさえすれば低
電力効果があることも分かる。
【0048】第1の実施例に係るクロック分配回路に対
するクロックスキューのSPICEによるシミュレーシ
ョン結果を図7に示す。図7は横軸に時間をとり、縦軸
に電源電圧をとって両者の関係を示すグラフ図である。
なお、図7には、複数のシミュレーション結果が重ねて
表示されており、これらはシミュレーションを行った回
路のローカルブロック内の各点におけるクロック波形を
示したものである。また、このクロック分配回路は0.
1μmCMOSをターゲットとした10mm角のチップ
であり、2.5mm角の16個のローカルブロックがチ
ップ上に形成されている。
【0049】図7に示すように、シミュレーションの結
果、クロック周期が200p秒である5GHzクロック
が20p秒のスキューで分配されている。即ち、クロッ
クスキューはクロックの周波数の10%程度となってい
る。
【0050】次に、伝送線路を使用することによるデバ
イスばらつきの低減効果を図8に示す。図8は横軸に分
配回路の種類をとり、縦軸に回路に信号が入力されてか
ら出力されるまでの遅延時間をとって種々の分配回路間
の遅延時間の相違を示すグラフ図である。なお、図8に
は、インバータ6段、線路5段の分配回路をある信号が
伝播する際の遅延時間のトランジスタ電源電圧のばらつ
き依存性がSPICEシミュレーションにより示されて
いる。図8において、横軸の左から順に、伝送線路から
クロック配線が構成されたもの、RC分布定数回路から
クロック配線が構成されたもの、線路の抵抗及び容量が
0であるもの(最短線路)の各シミュレーション結果が
示されている。また、伝送線路では、Al配線高さが
1.6μm、幅が10μm、層間膜厚が2μm、特性イ
ンピーダンスが28Ω、配線抵抗が2.38KΩ/m、
配線長が10mmと設定され、バッファのチャネル長が
0.1μm、Pチャネル幅が260μm、Nチャネル幅
が160μmと設定されている。RC分布定数配線で
は、インダクタンスが0である他は伝送線路と同様の条
件に設定されている。更に、最短線路では、抵抗、容量
及びインダクタンスが0である他は伝送線路と同様の条
件に設定されている。そして、この3種類の配線の夫々
について、トランジスタの電源電圧が1.35V、1.
5V、1.65Vの3つの場合に対してシミュレーショ
ンして得られた遅延時間を図中にプロットした。
【0051】図8に示すように、シミュレーションの結
果、最短線路の場合には、トランジスタのデバイスばら
つきの影響により、トランジスタ駆動時における遅延時
間のばらつきが18p秒となった。また、RC分布定数
線路の場合には、トランジスタのデバイスばらつきの影
響により、配線容量及び配線抵抗を駆動する時間が更に
ばらつくため、遅延時間のばらつきが39p秒と最短線
路の場合と比べて著しく大きくなっている。一方、伝送
線路を使用した場合には、伝播遅延時間は電磁波速度及
び伝播距離に依存して決定されているので、デバイスば
らつきの影響は最短線路の際に生じたトランジスタのデ
バイスばらつきの影響と同じ遅延時間の差が生じるだけ
となっている。即ち、伝送線路を使用することにより、
RC分布定数線路を使用する場合と比してデバイスばら
つきの影響を著しく低減することが可能となる。
【0052】次に、本発明の第2の実施例について説明
する。図9は本発明の第2の実施例に係るクロック分配
回路を示す模式図である。
【0053】本発明の第2の実施例においては、第1の
実施例と同様に、半導体チップ113にクロックを参照
する複数の回路端子が設けられており、これらの回路端
子をグループ化することにより、複数のブロック114
a乃至114dが形成されている。各ブロック114a
乃至114dの中心には、各ブロックのローカルクロッ
クを駆動する第2のクロックドライバとしてクロックバ
ッファ115a乃至115dが設けられている。
【0054】また、半導体チップ113には、クロック
信号が入力されるクロック入力端子116a及び116
bが設けられている。クロック入力端子116a及び1
16bには、夫々第1のクロックドライバとしてクロッ
クバッファ111a及び111bが接続されている。更
に、クロックバッファ111a及び111bには、夫々
伝送線路から構成されたグローバルクロック配線112
a及び112bが接続されている。グローバルクロック
配線112aは1箇所で分岐されており、その末端部に
夫々クロックバッファ115a及び115cが接続され
ている。同様に、グローバルクロック配線112bは1
箇所で分岐されており、その末端部に夫々クロックバッ
ファ115b及び115dが接続されている。なお、バ
ッファ111aから各クロックバッファ115a及び1
15cまでの配線長並びにバッファ111bから各クロ
ックバッファ115b及び115dまでの配線長は全て
同一である。また、各ローカルブロック114a乃至1
14dの構成は第1の実施例のものと同様である。
【0055】このように構成された第2の実施例におい
ては、クロック入力端子116aに入力されたクロック
信号はクロックバッファ111aにより伝送線路により
構成されたグローバルクロック配線112aを介して各
クロックバッファ115a及び115cに分配される。
一方、クロック入力端子116bに入力されたクロック
信号はクロックバッファ111bにより伝送線路により
構成されたグローバルクロック配線112bを介して各
クロックバッファ115b及び115dに分配される。
このとき、バッファ間の配線長は同一であるので、各ク
ロック信号の周波数が等しければ、各クロックバッファ
115a乃至115dに到達するクロックの位相は同一
なものとなる。
【0056】その後、各クロックバッファ15a乃至1
5dに分配されたクロック信号は、夫々クロックバッフ
ァ15a乃至15dにより各ブロック14a乃至14d
内に設けられたクロック参照端子に分配される。
【0057】第2の実施例によれば、クロック信号を複
数ブロックに分配する複数本のグローバルクロック配線
が独立して存在する場合でも、各グローバルクロック配
線に個々のLSIのクロック入力端子からクロック信号
を入力することができる。この場合、その入力するクロ
ックのうち2以上が同じ周波数であって、それらの位相
も同じものとしてもよい。
【0058】第1の実施例のように、1つのクロック入
力端子から入力してLSI内部で巨大なグローバルクロ
ック配線を介してブロック毎のクロックバッファにクロ
ック信号を分配する場合には、グローバルクロック配線
が長くなることが予想され、配線抵抗を減らすために配
線幅を広くする必要が生じることがある。しかし、配線
幅を広く形成した場合には、特性インピーダンスが低下
するため、入力端子に接続されたクロックバッファの出
力インピーダンスを低く設定する必要が生じ、消費電力
が大きくなってしまう。一方、第2の実施例によれば、
複数入力端子から同一なクロックを入力することによ
り、かかる不具合を回避し、電力効率及び配線効率を向
上させることができる。
【0059】なお、第2の実施例においては、クロック
バッファ115a乃至115dは夫々ブロック114a
乃至114dの中心に配置されているが、その位置はブ
ロックの中心に限定されるものではない。
【0060】次に、本発明の第3の実施例について説明
する。図10は本発明の第3の実施例に係るクロック分
配回路を示す模式図である。
【0061】本発明の第3の実施例においては、第1及
び第2の実施例と同様に、半導体チップ213にクロッ
クを参照する複数の回路端子が設けられており、これら
の回路端子をグループ化することにより、複数のブロッ
ク214a乃至214dが形成されている。各ブロック
214a乃至214dの中心には、各ブロックのローカ
ルクロックを駆動するクロックバッファ215a乃至2
15dが設けられている。
【0062】また、半導体チップ213には、クロック
信号が入力されるクロック入力端子216a乃至216
dが設けられている。クロック入力端子216a乃至2
16dには、夫々クロックバッファ211a乃至211
dが接続されている。更に、クロックバッファ211a
乃至211dには、夫々伝送線路から構成されたグロー
バルクロック配線212a乃至212dが接続されてい
る。そして、各グローバルクロック配線212a乃至2
12dの末端部に夫々クロックバッファ215a乃至2
15dが接続されている。なお、バッファ211a乃至
211dから各クロックバッファ215a乃至215d
までの配線長は同一である。また、各ローカルブロック
214a乃至214dの構成は第1の実施例のものと同
様である。
【0063】このように構成された第3の実施例におい
ては、各クロック入力端子216a乃至216dからロ
ーカルクロックドライバである各クロックバッファ21
5a乃至215dまで分岐することなく直接信号がドラ
イブされる。
【0064】なお、デバイスばらつきの影響を考慮に入
れると、上述のように、グローバルクロック配線212
a乃至212dは伝送線路から構成されていることが望
ましいが、遅延時間の問題からすれば各グローバルクロ
ック配線の遅延時間をRC分布定数で見積もって構成す
ることもできる。
【0065】なお、第3の実施例においては、クロック
バッファ215a乃至215dは夫々ブロック214a
乃至214dの中心に配置されているが、その位置はブ
ロックの中心に限定されるものではない。
【0066】
【発明の効果】以上詳述したように、本発明によれば、
クロックスキュー及びインダクタンスの影響を考慮して
配線の構造を決定しているので、高周波クロック信号を
小さいクロックスキューで各回路に分配することができ
る。また、従来のクロック分配回路では、配線遅延時間
のばらつきがトランジスタの出力抵抗及び配線抵抗に依
存していたため、デバイスばらつきの影響をうけやすか
ったが、本発明によれば、ブロック内の配線に伝送線路
を使用しているので、LSIのデバイスばらつきの影響
を受けにくくすることができる。これは、従来は、デバ
イスばらつきのうち配線遅延時間及びバッファ遅延時間
のばらつきの双方の影響をうけていたが、本発明では、
配線遅延時間のばらつきは電磁波の伝播速度と配線長と
によってのみ決定されるので、駆動されるトランジスタ
の性能への依存性を除くことができ、影響をうけるデバ
イスばらつきをバッファの遅延時間のみとすることがで
きるからである。
【図面の簡単な説明】
【図1】本発明の第1の実施例に係るクロック分配回路
を示す模式図である。
【図2】ローカルブロックの構成を示す模式図である。
【図3】ローカルクロック配線204における折れ曲が
り部207を示す模式図である。
【図4】ローカルクロック配線204における分岐部2
06を示す模式図である。
【図5】線路長と末端における信号振幅電圧との関係を
示すグラフ図である。
【図6】動作周波数と消費電力との関係を示すグラフ図
である。
【図7】時間と電源電圧との関係を示すグラフ図であ
る。
【図8】種々の分配回路間の遅延時間の相違を示すグラ
フ図である。
【図9】本発明の第2の実施例に係るクロック分配回路
を示す模式図である。
【図10】本発明の第3の実施例に係るクロック分配回
路を示す模式図である。
【図11】従来のツリー構造のクロック分配回路を示す
回路図である。
【図12】従来のメッシュ型のクロック分配回路を示す
回路図である。
【符号の説明】
11、15a、15b、15c、15d、111a、1
11b、115a、115b、115c、115d、2
11a、211b、211c、211d、215a、2
15b、215c、215d;クロックバッファ 12、112a、112b、212a、212b、21
2c、212d;グローバルクロック配線 14a、14b、14c、14d、114a、114
b、114c、114d、214a、214b、214
c、214d;ブロック 204;ローカルクロック配線 206;分岐部 207;折れ曲がり部 208;末端部

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 複数個の回路を有する複数個のブロック
    と、前記各ブロックにクロック信号を分配する第1のク
    ロックドライバと、前記各ブロックに設けられそのブロ
    ック内の前記各回路にクロック信号を分配する第2のク
    ロックドライバと、前記第1のクロックドライバと前記
    各第2のクロックドライバとを前記クロック信号が前記
    各第2のクロックドライバに同位相で到達するように接
    続する第1の配線と、前記第2のクロックドライバと前
    記各回路とを接続し伝送線路からなる第2の配線と、を
    有し、前記第2の配線の最長長さは、許容されるクロッ
    クスキューと前記第2の配線を伝播する電磁波の伝播速
    度との積以下であることを特徴とするクロック分配回
    路。
  2. 【請求項2】 複数個の回路を有する複数個のブロック
    と、前記各ブロックにクロック信号を供給する複数個の
    第1のクロックドライバと、前記各ブロックに設けられ
    そのブロック内の前記各回路にクロック信号を分配する
    第2のクロックドライバと、前記各ブロックにおいて前
    記第1のクロックドライバと前記第2のクロックドライ
    バとを前記クロック信号が前記第2のクロックドライバ
    に同位相で到達するように接続する複数本の第1の配線
    と、前記第2のクロックドライバと前記各回路とを接続
    し伝送線路からなる第2の配線と、を有し、前記第2の
    配線の最長長さは、許容されるクロックスキューと前記
    第2の配線を伝播する電磁波の伝播速度との積以下であ
    ることを特徴とするクロック分配回路。
  3. 【請求項3】 前記第1の配線が伝送線路からなること
    を特徴とする請求項1又は2に記載のクロック分配回
    路。
  4. 【請求項4】 前記第2のクロックドライバ間の前記第
    1の配線の長さは、実質的に前記クロック信号の周波数
    から求められる波長に1/4の整数倍を乗じた長さであ
    ることを特徴とする請求項1乃至3のいずれか1項に記
    載のクロック分配回路。
  5. 【請求項5】 前記第2の配線の幅は、前記第2のクロ
    ックドライバ側から分岐される毎に細く形成されている
    ことを特徴とする請求項1乃至4のいずれか1項に記載
    のクロック分配回路。
  6. 【請求項6】 前記第1の配線の幅は、前記第1のクロ
    ックドライバ側から分岐される毎に細く形成されている
    ことを特徴とする請求項1乃至5のいずれか1項に記載
    のクロック分配回路。
  7. 【請求項7】 前記第2の配線は、その末端においてR
    C分布定数回路に近似できるまで配線抵抗が高く形成さ
    れていることを特徴とする請求項1乃至6のいずれか1
    項に記載のクロック分配回路。
JP11002315A 1999-01-07 1999-01-07 クロック分配回路 Pending JP2000200114A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP11002315A JP2000200114A (ja) 1999-01-07 1999-01-07 クロック分配回路
US09/472,843 US6378080B1 (en) 1999-01-07 1999-12-28 Clock distribution circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11002315A JP2000200114A (ja) 1999-01-07 1999-01-07 クロック分配回路

Publications (1)

Publication Number Publication Date
JP2000200114A true JP2000200114A (ja) 2000-07-18

Family

ID=11525914

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11002315A Pending JP2000200114A (ja) 1999-01-07 1999-01-07 クロック分配回路

Country Status (2)

Country Link
US (1) US6378080B1 (ja)
JP (1) JP2000200114A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1395894A1 (en) * 2001-06-13 2004-03-10 Sony Computer Entertainment Inc. Low power clock distribution methodology
US7005907B2 (en) 2002-06-12 2006-02-28 Nec Corporation Integrated circuit device with clock skew reduced
JP2008504720A (ja) * 2004-05-24 2008-02-14 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 高速クロック配分伝送路ネットワーク
US7818706B2 (en) 2005-05-20 2010-10-19 Nec Electronics Corporation Semiconductor integrated circuit device
JP2022546981A (ja) * 2019-12-23 2022-11-10 グラフコアー リミテッド 反応的低下リミッタ
US11680965B2 (en) 2019-12-23 2023-06-20 Graphcore Limited Droop detection

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6941532B2 (en) 2001-10-17 2005-09-06 Sun Microsystems, Inc. Clock skew verification methodology for grid-based design
US20030074175A1 (en) * 2001-10-17 2003-04-17 Haritsa Manjunath D. Simulation by parts method for grid-based clock distribution design
EP1444723A4 (en) * 2001-11-13 2005-12-21 Mentor Graphics Corp SYNTHESIS STRATEGIES BASED ON APPROPRIATE USE OF INDUCTANCE EFFECTS
US6691291B2 (en) * 2002-02-14 2004-02-10 Sun Microsystems, Inc. Method and system for estimating jitter in a delay locked loop
US7392495B1 (en) * 2002-08-13 2008-06-24 Cypress Semiconductor Corporation Method and system for providing hybrid clock distribution
US7051235B2 (en) * 2002-08-27 2006-05-23 Sun Microsystems, Inc. Clock distribution architecture having clock and power failure protection
JP2004226684A (ja) * 2003-01-23 2004-08-12 Sony Corp 画像表示パネルおよび画像表示装置
US7020224B2 (en) * 2003-09-30 2006-03-28 Pulse—LINK, Inc. Ultra-wideband correlating receiver
US6980613B2 (en) * 2003-09-30 2005-12-27 Pulse-Link, Inc. Ultra-wideband correlating receiver
US8161438B2 (en) 2003-10-21 2012-04-17 Mentor Graphics Corporation Determining mutual inductance between intentional inductors
US7496871B2 (en) * 2003-10-21 2009-02-24 Roberto Suaya Mutual inductance extraction using dipole approximations
JP2006031510A (ja) * 2004-07-20 2006-02-02 Nec Electronics Corp ジッタ解析方法、ジッタ解析装置及びジッタ解析プログラム
US8253246B2 (en) * 2005-12-28 2012-08-28 Takashi Suzuki Wiring structure and electronic device designed on basis of electron wave-particle duality
WO2009114483A1 (en) 2008-03-08 2009-09-17 Mentor Graphics Corporation High-frequency vlsi interconnect and intentional inductor impedance extraction in the presence of a multi-layer conductive substrate
KR100915830B1 (ko) * 2008-03-12 2009-09-07 주식회사 하이닉스반도체 반도체 집적 회로
US7941689B2 (en) * 2008-03-19 2011-05-10 International Business Machines Corporation Minimizing clock uncertainty on clock distribution networks using a multi-level de-skewing technique
CN204496889U (zh) * 2015-04-07 2015-07-22 京东方科技集团股份有限公司 一种电路、显示基板以及显示装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4703288A (en) * 1985-04-03 1987-10-27 American Telephone And Telegraph Company, At&T Bell Laboratories Interconnection lines for wafer-scale-integrated assemblies
JP3026387B2 (ja) 1991-08-23 2000-03-27 沖電気工業株式会社 半導体集積回路
JPH05233092A (ja) 1992-02-18 1993-09-10 Nec Ic Microcomput Syst Ltd クロック信号分配方法および分配回路
JP3006739B2 (ja) 1992-04-20 2000-02-07 松下電器産業株式会社 半導体集積回路装置
JPH06282350A (ja) 1993-01-27 1994-10-07 Hitachi Ltd Lsi内クロック分配回路
JPH06244282A (ja) 1993-02-15 1994-09-02 Nec Corp 半導体集積回路装置
JP2536398B2 (ja) 1993-05-15 1996-09-18 日本電気株式会社 プリント配線パタ―ンコ―ナ修正方法
JPH07253825A (ja) 1994-03-15 1995-10-03 Toshiba Corp 半導体集積回路のクロック信号分配方法およびこの方法に用いられる周波数逓倍器
JPH08221473A (ja) 1994-08-03 1996-08-30 Matsushita Electric Ind Co Ltd 半導体集積回路及びそのレイアウト設計方法
JPH0944267A (ja) * 1995-07-26 1997-02-14 Mitsubishi Electric Corp クロック分配回路
JP3498462B2 (ja) 1995-12-22 2004-02-16 ヤマハ株式会社 集積回路のクロック配線設計法
JP2778572B2 (ja) * 1996-03-21 1998-07-23 日本電気株式会社 クロック分配回路
JPH09307069A (ja) 1996-05-16 1997-11-28 Nippon Telegr & Teleph Corp <Ntt> クロック配線方法およびその装置
JP3112843B2 (ja) 1996-09-12 2000-11-27 日本電気アイシーマイコンシステム株式会社 半導体集積回路の自動配置配線方法
JPH10135337A (ja) 1996-10-25 1998-05-22 Kawasaki Steel Corp 半導体集積回路及び半導体集積回路の設計方法
JP4268694B2 (ja) 1996-12-03 2009-05-27 富士通株式会社 配線処理方法及び配線処理装置並びに配線処理プログラム用記録媒体
JPH10209287A (ja) 1997-01-27 1998-08-07 Hitachi Ltd クロック供給系のレイアウト設計方法
JPH10246754A (ja) 1997-03-03 1998-09-14 Mitsubishi Electric Corp クロックドライバ回路及び半導体集積回路装置
JP2964989B2 (ja) 1997-04-18 1999-10-18 日本電気株式会社 プリント回路基板設計システム
JP3562226B2 (ja) 1997-06-11 2004-09-08 セイコーエプソン株式会社 半導体集積回路装置
US6208702B1 (en) * 1998-01-23 2001-03-27 International Business Machines Corporation High frequency clock signal distribution utilizing CMOS negative impedance terminations
US6052012A (en) * 1998-06-29 2000-04-18 Cisco Technology, Inc. Method and apparatus for clock uncertainly minimization

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1395894A1 (en) * 2001-06-13 2004-03-10 Sony Computer Entertainment Inc. Low power clock distribution methodology
JP2005503004A (ja) * 2001-06-13 2005-01-27 株式会社ソニー・コンピュータエンタテインメント 低電力クロック分配方法
EP1395894A4 (en) * 2001-06-13 2007-04-04 Sony Computer Entertainment Inc LOW POWER CLOCK DISTRIBUTION METHOD
US7005907B2 (en) 2002-06-12 2006-02-28 Nec Corporation Integrated circuit device with clock skew reduced
JP2008504720A (ja) * 2004-05-24 2008-02-14 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 高速クロック配分伝送路ネットワーク
US7818706B2 (en) 2005-05-20 2010-10-19 Nec Electronics Corporation Semiconductor integrated circuit device
JP2022546981A (ja) * 2019-12-23 2022-11-10 グラフコアー リミテッド 反応的低下リミッタ
US11680965B2 (en) 2019-12-23 2023-06-20 Graphcore Limited Droop detection
JP7371230B2 (ja) 2019-12-23 2023-10-30 グラフコアー リミテッド 反応的低下リミッタ

Also Published As

Publication number Publication date
US6378080B1 (en) 2002-04-23

Similar Documents

Publication Publication Date Title
JP2000200114A (ja) クロック分配回路
US8134415B2 (en) Electronic circuitry
US5307381A (en) Skew-free clock signal distribution network in a microprocessor
US7301385B2 (en) Methods and apparatus for managing clock skew
US20080030252A1 (en) High Speed Clock Distribution Transmission Line Network
JP2002535790A (ja) 電子回路
US5896055A (en) Clock distribution circuit with clock branch circuits connected to outgoing and return lines and outputting synchronized clock signals by summing time integrals of clock signals on the outgoing and return lines
US20030001652A1 (en) Hierarchical clock grid for on-die salphasic clocking
US5723995A (en) Method for eliminating process variation timing skews in a source synchronous design
US6208702B1 (en) High frequency clock signal distribution utilizing CMOS negative impedance terminations
JP4886164B2 (ja) 低電力クロック分配方法
JP2009512052A (ja) クロックスキューの小さいシリアル通信インタフェース
US6128347A (en) Signal transmission circuit with protection line driven with signal having same phase as transmission signal
JP2004078804A (ja) クロック信号伝搬ゲート及びそれを含む半導体集積回路
Tawfik et al. Dual supply voltages and dual clock frequencies for lower clock power and suppressed temperature-gradient-induced clock skew
US6011441A (en) Clock distribution load buffer for an integrated circuit
US6664839B2 (en) Semiconductor integrated circuit having reduced crosstalk interference on clock signals
JP5277700B2 (ja) クロック分配回路、半導体集積回路、およびクロック分配方法
US20020190805A1 (en) Electronic circuitry
US20020060594A1 (en) Method and apparatus for a high frequency, low power clock distribution within a vlsi chip
JP2002094489A (ja) データ伝送回路
Wong et al. On-chip interconnect inductance-friend or foe
WO2003073618A1 (en) Clock grid skew reduction technique using biasable delay drivers
US20070229115A1 (en) Method and apparatus for correcting duty cycle error in a clock distribution network
JPH04229634A (ja) クロック分配回路