FR2763424A1 - Processus de damasquinage double - Google Patents

Processus de damasquinage double Download PDF

Info

Publication number
FR2763424A1
FR2763424A1 FR9705992A FR9705992A FR2763424A1 FR 2763424 A1 FR2763424 A1 FR 2763424A1 FR 9705992 A FR9705992 A FR 9705992A FR 9705992 A FR9705992 A FR 9705992A FR 2763424 A1 FR2763424 A1 FR 2763424A1
Authority
FR
France
Prior art keywords
openings
layer
level
dielectric layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR9705992A
Other languages
English (en)
Other versions
FR2763424B1 (fr
Inventor
Tri Rung Yew
Mong Chung Liu
Water Lur
Shih Wei Sun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to GB9709431A priority Critical patent/GB2325083B/en
Priority to DE19719909A priority patent/DE19719909A1/de
Priority to FR9705992A priority patent/FR2763424B1/fr
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to JP9140353A priority patent/JPH10335456A/ja
Priority to NL1006162A priority patent/NL1006162C2/nl
Priority to US08/873,500 priority patent/US5801094A/en
Priority claimed from US08/873,500 external-priority patent/US5801094A/en
Publication of FR2763424A1 publication Critical patent/FR2763424A1/fr
Application granted granted Critical
Publication of FR2763424B1 publication Critical patent/FR2763424B1/fr
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Processus de damasquinage double pour former une structure d'interconnexion métallique à deux niveaux en disposant une couche d'oxyde entre couches (52) sur une structure de composant et en la recouvrant par une couche d'arrêt de gravure (54) mise en forme pour former des ouvertures correspondant aux motifs des interconnexions de premier niveau (78). Puis, une couche d'oxyde intermétallique (58) est disposée au-dessus de la couche (54). Un masque est disposé sur la couche (58), des ouvertures exposant des parties de la couche (58) dans les motifs des lignes de câblage de second niveau. La couche (58) est gravée et des ouvertures sont formées dans la couche (52). Ainsi, en une seule étape, on définit les ouvertures pour le câblage de second niveau (76) et pour les interconnexions de premier niveau (78). Du métal est ensuite déposé, et le métal en excès est retiré.

Description

PROCESSUS DE DAMASQUINAGE DOUBLE
Arrière-plan de l'invention
1. Domaine de l'invention
La présente invention se rapporte à la formation de structures de câblage dans des composants de circuit intégré. Plus particulièrement, la présente invention se rapporte à la formation de traversées, de lignes de câblage et de métallisation en utilisant un processus de damasquinage double.
2. Description de la technique concernée
De nombreux circuits à semiconducteur, hautement intégrés, utilisent des structures de lignes de câblage à multiples niveaux pour interconnecter des zones à l'intérieur des composants et pour interconnecter un ou plusieurs composants à l'intérieur des circuits intégrés. En formant des structures de ce type, il est classique de réaliser des lignes de câblage ou structures d'interconnexion de premier niveau ou de niveau inférieur et ensuite de former des lignes de câblage de second niveau en contact avec les lignes de câblage ou structures d'interconnexion de premier niveau. Une interconnexion de premier niveau pourrait être formée en contact avec une zone dopée à l'intérieur du substrat d'un composant de circuit intégré. Comme variante, un premier niveau d'interconnexion pourrait être formé sur une ligne de câblage métallique ou de polysilicium qui est en contact avec une ou plusieurs structures de composant dans ou sur le substrat du composant de circuit intégré. Une ou plusieurs interconnexions sont formées, de manière classique, entre la ligne de câblage ou d'interconnexion de premier niveau et d'autres parties du composant de circuit intégré ou sur des structures externes au composant de circuit intégré. Cela est accompli, en partie, par l'intermédiaire des lignes de câblage de second niveau.
Une stratégie classique particulière pour former une structure de câblage à deux niveaux est représentée aux figures 1 à 7. En se référant d'abord à la figure 1, une structure d'interconnexion à deux niveaux est formée sur un substrat 10 dans lequel des structures de composant d'un circuit intégré ont été formées. De manière classique, le substrat 10 comprend des structures telles que des MOSFET ou des transistors bipolaires et des zones de contact dopées qui sont à relier à d'autres parties du circuit intégré ou à des bornes d'E/S (d'entrée/sortie) prévues pour le circuit intégré. La surface du substrat 10 peut être la surface d'une structure de composant de silicium, incluant une ou plusieurs zones dopées, ou la surface du substrat 10 peut être une couche isolante. De manière classique, si la surface du substrat 10 est une couche isolante, la couche va avoir plus de 0,1 um (1.000 A) d'épaisseur et va comprendre des interconnexions verticales remplies de conducteurs reliés à des composants dans le substrat. Une couche d'oxyde 12 est déposée, de manière classique, sur le substrat 10 par un dépôt chimique en phase vapeur (CVD) à partir d'un gaz de source TEOS à une épaisseur de 0,4 à 0,6 um (4.000 à 6.000 A) ou plus en tant qu'étape initiale dans le processus de formation de la structure d'interconnexion à deux niveaux.
Les positions des structures d'interconnexion de premier niveau sont définies par un processus classique de photogravure qui forme des ouvertures 14 à travers la couche d'oxyde 12 (figure 2) dans laquelle les interconnexions de premier niveau vont être formées.
D'une manière générale, les ouvertures 14 exposent tout ou partie des conducteurs ou zones dopées dans le substrat sur lequel des interconnexions sont formées.
Les ouvertures 14 sont remplies avec une interconnexion métallique 16 qui pourrait, par exemple, être constituée d'une fine couche d'adhérence ou "colle" sur la surface intérieure de l'ouverture de contact 14 et sur la surface exposée du substrat 10. Des couches d'adhérence appropriées comprennent le nitrure de titane et d'autres matières conductrices incorporant des métaux réfractaires. Le reste de l'ouverture 14 est rempli d'un métal tel que du tungstène pour former l'interconnexion 16. La partie tungstène de l'interconnexion pourrait être formée par un procédé
CVD ou par un procédé CVD sélectif, suivi par un processus de gravure arrière ou de polissage. La structure résultante est représentée à la figure 3.
En se référant maintenant à la figure 4, une couche de métal 18 est déposée à une épaisseur appropriée pour des lignes de câblage de second niveau sur la surface de la couche d'oxyde 12 et sur la prise métallique 16.
La couche de métal 18 va subir une mise en forme en des motifs en lignes de câblage de second niveau et pourrait être une simple couche d'aluminium, ou, la couche 18 pourrait être une structure de câblage à couches multiples incluant des métaux réfractaires ou des composés incluant des métaux réfractaires, en même temps que d'autres métaux moins coûteux. Les lignes de câblage de second niveau 20 sont définies dans un processus classique de photogravure en disposant une couche de photorésist sur la couche de métal 18, en exposant le photorésist par l'intermédiaire d'un masque et en retirant les parties de la couche de photorésist exposées pour former un masque de gravure de photorésist. Les parties de la couche de métal 18 exposées par les ouvertures dans le masque de photorésist sont ensuite retirées par gravure et le masque de photorésist est retiré par polissage pour former la structure représentée à la figure 5. Après que la structure d'interconnexion à deux niveaux, représentée à la figure 5, a été formée, il est nécessaire de disposer une couche diélectrique intermétallique (IMD) entre les lignes de câblage de second niveau et recouvrant les lignes de câblage de second niveau pour permettre un traitement supplémentaire du composant de circuit intégré. La couche diélectrique intermétallique pourrait être constituée d'une ou plusieurs couches d'oxyde déposées par un dépôt chimique en phase vapeur activé par plasma (PECVD) ou par d'autres processus CVD. La couche diélectrique intermétallique 22 formée de cette manière a globalement une topographie de surface non uniforme, comme le montre la figure 6. Il est ainsi nécessaire d'aplanir la couche diélectrique intermétallique 22, en utilisant par exemple un polissage mécano-chimique (CMP) pour former une couche diélectrique intermétallique aplanie 24, comme le montre la figure 7.
Le procédé utilisé pour former la structure d'interconnexion à deux niveaux de la figure 7 souffre d'un certain nombre d'inconvénients. Pour les applications futures qui utilisent du cuivre à l'intérieur des conducteurs ou lignes de câblage, la gravure du cuivre métallique est très difficile étant donné que les produits chimiques de gravure et les techniques appropriées n'ont pas encore été identifiés.
Par conséquent, il est souhaitable d'utiliser un procédé de formation de lignes de câblage qui ne repose pas sur la mise en forme d'une couche de métal dans un processus de gravure chimique. Les dimensions réduites des composants introduisent également des difficultés dans le procédé de formation de lignes de câblage décrit. Déposer des métaux dans des ouvertures de couches diélectriques et déposer des matières diélectriques dans des ouvertures relativement étroites entre des lignes métalliques sont des processus difficiles qui sont sujets à la formation de vides et au piégeage d'impuretés. Cela est particulièrement vrai lorsque les interconnexions et les lignes de câblage sont rendues plus petites et que l'espacement entre les lignes de câblage est rendu plus étroit. Comme tel, le processus de formation de la structure de la figure 7 présente un très fort taux de défauts qui peut même augmenter pour des largeurs de trait plus petites.
Parce que le processus des figures 1 à 7 exige que les espaces entre les lignes de câblage soient remplis par des processus de dépôt, le processus des figures 1 à 7 n'est guère approprié à des réductions supplémentaires des largeurs de trait utilisées dans la fabrication du composant. De plus, disposer la surface plane nécessaire sur la couche diélectrique intermétallique après l'achèvement de la structure d'interconnexion à deux niveaux exige des étapes supplémentaires de traitement. Il est souhaitable, toutes les fois que c'est possible, de réduire le nombre d'étapes de traitement nécessaires pour former un composant, parce que réduire le nombre d'étapes de traitement raccourcit le temps mis pour produire le composant, et parce qu'éliminer des étapes de traitement améliore les rendements et réduit ainsi les coûts. A cause de ces facteurs, d'autres procédés de fabrication de structures d'interconnexion à multiples niveaux ont été examinés.
Une variante au processus classique de formation d'interconnexion est ce qu'on appelle le processus de damasquinage double. Les processus de damasquinage double sont plus immédiatement adaptables aux largeurs de trait plus petites et la plupart des processus de damasquinage double produisent une surface finale aplanie au-dessus de la structure d'interconnexion. Par conséquent, une surface qui est appropriée pour des étapes supplémentaires de traitement peut être obtenue en utilisant le processus de damasquinage double en moins d'étapes de processus que dans le procédé représenté aux figures 1 à 7. Des aspects d'un processus de damasquinage double sont représentés aux figures 8 à 14. Comme avec le processus plus classique d'interconnexion représenté aux figures 1 à 7, le processus de damasquinage double commence avec le dépôt d'une couche d'oxyde 12 sur le substrat 10, comme le montre la figure 8. Une couche relativement fine d'arrêt de gravure de nitrure de silicium 30 est déposée sur la couche d'oxyde 12 (figure 9) à utiliser dans une étape de gravure suivante. Comme le montre la figure 10, une couche diélectrique intermétallique 32 est déposée sur la couche d'arrêt de gravure 30. De manière classique, la matière diélectrique intermétallique est choisie pour être de l'oxyde de silicium de sorte que la couche de nitrure de silicium sous-jacente 32 est une couche d'arrêt de gravure efficace quand des ouvertures pour les interconnexions de second niveau sont réalisées dans la couche d'oxyde intermétallique d'oxyde 32. L'épaisseur de la couche d'oxyde intermétallique 32 est choisie pour être appropriée pour les lignes de câblage métalliques de second niveau, de manière classique 0,4 à 0,6 um (4.000 à 6.000 A) ou plus.
Une série d'étapes de photogravure est effectuée pour définir d'abord le motif des lignes de câblage de second niveau et ensuite pour définir le motif des interconnexions à l'intérieur du premier niveau de la structure d'interconnexion. Un masque est formé sur la couche d'oxyde intermétallique 32 où le masque comprend un motif d'ouvertures qui correspond au motif souhaité des lignes de câblage pour les lignes de câblage de second niveau. Des ouvertures 34 sont ensuite formées dans la couche d'oxyde intermétallique 32 par une gravure à travers les ouvertures dans le masque de photorésist. L'étape de gravure commence d'abord à travers la couche d'oxyde intermétallique pour laisser des parties restantes 36 de la couche d'oxyde intermétallique entre les ouvertures 34. Cette première étape de gravure s'arrête sur la couche de nitrure de silicium 30, et ensuite la gravure est effectuée en alignement des ouvertures 34 pour graver à travers la couche de nitrure de silicium 30, laissant des parties restantes de la couche de nitrure de silicium 38 sur l'un ou l'autre côté des ouvertures 34. Le masque de photorésist est ensuite retiré par polissage, produisant la structure représentée à la figure 11.
D'une manière générale, il est nécessaire que la largeur des ouvertures 34 dans la couche d'oxyde intermétallique 36 ayant subi une formation de motifs soit plus grande que la limite de définition de la gravure parce que des étapes supplémentaires de photogravure sont nécessaires pour définir les interconnexions de premier niveau. Former les ouvertures 34 plus larges que la limite de définition donne une plus grande latitude de traitement pour que les étapes utilisées pour former les interconnexions de premier niveau.
En se référant maintenant à la figure 12, un masque de photorésist 40 est formé au-dessus du composant de la figure 11 par un processus classique de photogravure. Des ouvertures 42 sont réalisées dans le masque 40, lesquelles exposent des parties sélectionnées de la première couche d'oxyde 12 reposant à l'intérieur des ouvertures 34. Une gravure est effectuée sur la première couche d'oxyde 12 exposée à l'intérieur des ouvertures 42 dans le masque de photorésist 40 pour définir les motifs des interconnexions qui réalisent le premier niveau de la structure d'interconnexion. Le masque de photorésist 40 est ensuite retiré par polissage. Ensuite, une couche de métal 44 est déposée au-dessus du dispositif pour remplir les ouvertures dans la couche d'oxyde intermétallique 36 et pour remplir les ouvertures dans la première couche d'oxyde 12. Comme le montre la figure 13, il est classique de remplir, jusqu'au débordement, les ouvertures 34 dans la couche d'oxyde intermétallique 36 pour assurer que les ouvertures dans la couche d'oxyde intermétallique 36 et dans la première couche d'oxyde 12 sont totalement remplies. Le métal en excès est ensuite retiré, de manière classique par un processus CMP, pour réaliser les lignes de câblage métalliques de second niveau 46 et les interconnexions de premier niveau 48 de la structure d'interconnexion à deux niveaux représentée à la figure 14. Comme le montre la figure 14, le résultat de l'étape de CMP finale donne une surface aplanie qui est bien appropriée pour des étapes ultérieures de traitement.
Le processus de damasquinage double, représenté aux figures 8 à 14, procure plusieurs avantages par rapport au processus classique représenté aux figures 1 à 7.
Cependant, le processus représenté aux figures 8 à 14 est très demandeur d'un point de vue technologie de processus. Par conséquent, il est souhaitable de développer un processus de damasquinage double qui a une latitude de processus plus large et qui est plus facilement adapté à un processus de fabrication à grande échelle.
Résumé des modes de réalisation préférés
Selon un aspect particulier de la présente invention, un circuit intégré, incluant des structures de conducteurs de premier niveau et de second niveau, est formé sur un substrat incorporant un ou plusieurs composants de circuit intégré. Premièrement, une couche diélectrique entre couches (inter-couches) est disposée au-dessus du substrat, ensuite c'est le tour d'une couche d'arrêt de gravure. La couche d'arrêt de gravure est mise en forme pour définir des ouvertures dans la couche d'arrêt de gravure mise en forme, en correspondance à des positions où les structures de conducteurs de premier niveau sont à former. Une couche diélectrique intermétallique est ensuite disposée audessus de la couche d'arrêt de gravure mise en forme.
Un masque de second niveau est formé au-dessus de la couche diélectrique intermétallique en ayant des ouvertures correspondant à des positions où des structures de conducteurs de second niveau sont à former. Le procédé continue par la gravure à travers les ouvertures dans le masque de second niveau pour former des ouvertures de conducteurs de second niveau dans la couche diélectrique intermétallique, et par la gravure à travers les ouvertures dans la couche d'arrêt de gravure ayant subi une formation de motifs pour former les structures de conducteurs de premier niveau dans la couche diélectrique entre couches. Du métal est déposé dans les ouvertures de conducteurs de second niveau et dans les structures de conducteurs de premier niveau.
Brève description des dessins
D'autres caractéristiques et avantages de l'invention ressortiront plus clairement à la lecture de la description ci-après, faite en référence aux dessins annexés, dans lesquels
Les figures 1 à 7 représentent un processus classique de formation d'une structure d'interconnexion à deux niveaux.
Les figures 8 à 14 représentent des aspects d'un processus de damasquinage double pour former une structure d'interconnexion à deux niveaux.
Les figures 15 à 21 représentent des aspects d'un processus de damasquinage double selon des modes de réalisation préférés de la présente invention.
Description détaillée des modes de réalisation préférés
Le processus de damasquinage double, représenté aux figures 8 à 14, demande la formation d'une couche épaisse de photorésist 40 au-dessus de la topographie non uniforme de la structure de la figure 11. Par conséquent, il est nécessaire d'avoir une grande profondeur de focalisation pour exposer la totalité de l'épaisseur du masque de photorésist 40 pour réaliser des ouvertures 42 bien définies dans le masque de photorésist. Des photorépéteurs (stepper) à haute définition du type préféré dans les processus modernes de fabrication ont de grandes difficultés à réaliser la profondeur de focalisation nécessaire pour la formation du masque de photorésist représenté à la figure 12.
Cette étape du processus est même plus difficile quand elle est effectuée sur la topographie de surface non uniforme présente, de manière classique, au-dessus d'un composant de circuit intégré. Les modes de réalisation préférés de la présente invention évitent la nécessité d'un masque de photorésist épais de ce type, et l'exigence liée d'une grande profondeur de focalisation par le processus de photogravure, en faisant subir une formation de motifs à la couche d'arrêt de gravure du processus de damasquinage double classique avant de déposer la couche d'oxyde intermétallique. Ainsi, des modes de réalisation préférés de la présente invention forment des masques de photorésist au-dessus de structures beaucoup plus planes que celles représentées à la figure 11 du processus de damasquinage double classique. Des masques de photorésist ayant une épaisseur plus uniforme peuvent ensuite être réalisés et l'étape d'exposition du masque peut être effectuée avec une profondeur de focalisation plus petite qui permet l'utilisation de photorépéteurs (stepper) à la définition la plus élevée.
Dans un mode de réalisation particulièrement préféré de la présente invention, une structure d'interconnexion à deux niveaux est formée en disposant une première couche d'oxyde au-dessus du substrat et en recouvrant la première couche d'oxyde par une couche d'arrêt de gravure. La couche d'arrêt de gravure est mise en forme pour former des ouvertures correspondant aux motifs des interconnexions qui sont à former par la suite en premier niveau de la structure d'interconnexion à deux niveaux. Après que la couche d'arrêt de gravure soit mise en forme, une couche d'oxyde intermétallique est disposée au-dessus de la couche d'arrêt de gravure, à l'intérieur de laquelle les lignes de câblage de second niveau sont à former.
Parce que la couche d'arrêt de gravure est relativement fine, la topographie, formée sur la surface de la couche d'oxyde intermétallique par la formation de motifs d'interconnexion à l'intérieur de la couche d'arrêt de gravure, est relativement petite. Un masque est ensuite disposé sur la couche d'oxyde intermétallique avec des ouvertures dans le masque, exposant des parties de la couche d'oxyde intermétallique dans les motifs des lignes de câblage à disposer dans le second niveau de la structure d'interconnexion. La couche d'oxyde intermétallique est gravée et le processus de gravure continue dans la première couche d'oxyde là où la première couche d'oxyde est exposée à travers les ouvertures dans la couche d'arrêt de gravure pour former des ouvertures dans la première couche d'oxyde correspondant aux ouvertures dans la couche d'arrêt de gravure. En effet, la couche d'arrêt de gravure agit comme un masque dur pour le processus de gravure des motifs d'interconnexion dans la première couche d'oxyde.
Ainsi, en une seule étape de gravure, on définit à la fois les ouvertures pour les lignes de câblage de second niveau et pour les interconnexions de premier niveau. Du métal est ensuite déposé au-dessus de la structure, et le métal en excès est retiré, par exemple, par polissage pour définir la structure finale d'interconnexion à deux niveaux.
On va maintenant décrire des modes de réalisation préférés de la présente invention en se référant plus particulièrement aux figures 15 à 21. Tandis que la description qui va suivre est faite en termes d'interconnexions de premier niveau et de lignes de câblage de second niveau, on comprendra que certains aspects de la présente invention trouvent une application dans la formation de contacts entre deux couches de lignes de câblage et entre des couches non adjacentes de conducteurs. Par conséquent, il est possible d'utiliser certains aspects de la présente invention dans la formation d'interconnexions entre un premier niveau et un troisième ou autre niveau d'une structure de câblage. Le procédé de formation d'interconnexions de la présente invention commence, de préférence, après la formation d'un composant de circuit intégré à l'intérieur d'un substrat 50. Le procédé de formation d'interconnexions commence par le dépôt d'une couche diélectrique entre couches 52 audessus de la surface du substrat 50 (figure 15) . La couche diélectrique entre couches 52 peut être une couche d'oxyde déposée à une épaisseur de plusieurs milliers d'angströms ou plus par un processus de PECVD, un processus de dépôt chimique en phase vapeur à basse pression (LPCVD), ou par un autre processus de dépôt diélectrique. L'un de ces processus pourrait utiliser, par exemple, un gaz de source TEOS. Souvent, la surface du substrat 50 va avoir une topographie non uniforme correspondant aux structures des composants à l'intérieur du composant de circuit intégré. Par conséquent, on préfère que la surface de la couche diélectrique entre couches 52 soit aplanie avant que la structure d'interconnexion à deux niveaux soit formée.
L'aplanissement peut être accompli lors d'un processus de gravure arrière, mais il est accompli, de préférence, en utilisant un processus CMP. L'épaisseur finale de la couche diélectrique entre couches 52 est dictée par la topographie du composant de circuit intégré sous-jacent et va ainsi varier d'une conception à l'autre. La hauteur de l'interconnexion de premier niveau formée à travers la couche 52 va être dictée par l'épaisseur, quelle qu'elle soit, qui est prévue pour la couche diélectrique entre couches 52.
Une couche d'arrêt de gravure 54 est déposée audessus de la surface aplanie de la couche diélectrique entre couches 52 (figure 16). On préfère que la matière choisie pour la couche d'arrêt de gravure soit différente à la fois de la couche diélectrique entre couches au-dessous de la couche d'arrêt de gravure et de la couche diélectrique intermétallique formée audessus de la couche d'arrêt de gravure. De manière classique, on préfère que la couche diélectrique entre couches 50 et la couche diélectrique intermétallique soient toutes les deux des oxydes, de sorte qu'un choix approprié pour la couche d'arrêt de gravure 54 est le nitrure de silicium. En plus d'être suffisamment différent de l'oxyde de silicium pour servir de couche d'arrêt de gravure, le nitrure de silicium a l'avantage supplémentaire d'être un isolant, ce qui est souhaitable étant donné que la couche d'arrêt de gravure va être laissée en place, d'une manière générale, dans la structure d'interconnexion terminée et va s'étendre entre les différentes lignes de câblage. La couche d'arrêt de gravure 54 est, de préférence, mince pour minimiser l'impact de la couche d'arrêt de gravure sur la topographie de surface du composant lors des étapes ultérieures de traitement.
D'un autre côté, la couche d'arrêt de gravure 54 devrait être suffisamment épaisse pour fonctionner comme une couche d'arrêt de gravure tout au long du processus de gravure à la fois de la couche diélectrique intermétallique et de la couche diélectrique entre couches. De plus, la couche d'arrêt de gravure devrait être suffisamment épaisse pour agir en tant que masque dur lors du processus de gravure des ouvertures d'interconnexion dans la couche diélectrique entre couches 50. Une couche d'arrêt de gravure 54 appropriée, en nitrure de silicium, pourrait avoir une épaisseur comprise entre environ 0,02 pm et 0,15 um (200 à 1.500 A).
La couche d'arrêt de gravure 54 est ensuite mise en forme pour réaliser des ouvertures dans la couche d'arrêt de gravure 54 correspondant aux positions dans lesquelles les interconnexions de premier niveau sont à former à l'intérieur de la couche diélectrique entre couches 50. Par conséquent, un masque est formé audessus de la couche de nitrure de silicium 54, lequel donne des ouvertures appropriées qui exposent des parties de la couche de nitrure de silicium 54 dans lesquelles des interconnexions sont à former, et ensuite la couche d'arrêt de gravure de nitrure de silicium 54 est gravée pour donner des ouvertures 56 qui exposent des parties de la couche diélectrique entre couches 52. Pour minimiser l'impact des ouvertures 56 à travers la couche d'arrêt de gravure 54 sur la topographie de surface de la couche diélectrique intermétallique non encore formée, on préfère que le processus de gravure qui forme les ouvertures 56 à travers la couche d'arrêt de gravure de nitrure de silicium 54 s'arrête sur la surface sous-jacente de la couche d'oxyde entre couches 52. De préférence, aucun creux n'est formé au niveau de la surface de la couche d'oxyde entre couches 52 dans le processus de formation des ouvertures 56. Cela et d'autres étapes de gravure effectuées sur les couches diélectriques et d'arrêt de gravure de la présente invention peuvent être effectués, de manière avantageuse, dans un système de gravure tel que le système Lam Research Rainbow. Le système Lam Research Rainbow utilise des agents de gravure obtenus à partir d'un ou plusieurs gaz de source, tels que le SF6 ou le C2 F6, mélangés à différentes quantités d'autres gaz, tels que le HBr et le He, pour régler la sélectivité du processus de gravure. Dans un système de ce type, la sélectivité du processus de gravure entre l'oxyde de silicium et le nitrure de silicium peut être réglée de façon automatique sur une large plage de sélectivités. Ainsi, dans le processus de gravure utilisé pour graver la couche d'arrêt de gravure de nitrure de silicium 54, on règle, de préférence, dans la plus grande mesure du possible, la sélectivité pour graver le nitrure de silicium tout en n'attaquant pas l'oxyde de silicium.
Différentes variantes sont possibles, bien que non souhaitées, parce que la gravure de la couche d'oxyde de silicium entre couches 52 à ce moment va nécessiter une profondeur de focalisation plus élevée dans les processus suivants de photogravure. Le masque utilisé pour faire mettre en forme la couche d'arrêt de gravure de nitrure de silicium 54 est ensuite retiré, formant la structure représentée à la figure 17.
Une couche diélectrique intermétallique 58 est ensuite déposée au-dessus de la couche d'arrêt de gravure 54 mise en forme (figure 18). Comme on l'a précédemment examiné, on préfère que la couche diélectrique intermétallique 58 soit formée de la même matière que la couche diélectrique entre couches 52 et d'une matière différente de la couche d'arrêt de gravure 54. Ainsi, la couche diélectrique intermétallique 58 est, de préférence, une couche d'oxyde de silicium. La couche diélectrique intermétallique 58 pourrait être déposée par un processus de CVD à partir d'un précurseur TEOS ou de gaz de source SiH4, à une épaisseur appropriée pour les lignes de câblage de second niveau, étant donné que l'épaisseur des lignes de câblage de second niveau va être déterminée par l'épaisseur de la couche d'oxyde intermétallique. Pour les structures des présents composants, les lignes de câblage de second niveau pourraient avoir une épaisseur d'environ 0,4 à 0,8 um (4.000 à 8.000 A), et ainsi la couche d'oxyde intermétallique 58 est déposée à une épaisseur d'environ 0,4 à 0,8 pm (4.000 à 8.000 A). Des creux relativement petits 60 vont être formés sur la surface de la couche d'oxyde intermétallique 58 correspondant à la présence des ouvertures 56 dans la couche d'arrêt de gravure 54. Parce que la profondeur des creux 60 va être beaucoup plus petite que la topographie présente dans le processus de damasquinage double classique, comme celui représenté à la figure 11, les creux 60 vont présenter un problème, comparativement petit, pour maintenir la focalisation à travers une couche de photorésist disposée au-dessus de la couche diélectrique intermétallique 58 lors de l'étape de photogravure utilisée pour définir les motifs pour les lignes de câblage de second niveau.
En se référant maintenant à la figure 19, un masque de photorésist 62 est formé sur la couche d'oxyde intermétallique 58. Le masque 62 a un motif d'ouvertures correspondant au motif des lignes de câblage de second niveau qui sont à former dans la couche d'oxyde intermétallique 58. Certaines des ouvertures 64 dans le masque de photorésist 62 sont disposées au-dessus des ouvertures 56 dans la couche d'arrêt de gravure 54 dans lesquelles des interconnexions de premier niveau sont à former audessous des parties des lignes de câblage de second niveau. D'autres parmi les ouvertures 66 dans le masque de photorésist 62 sont formées au-dessus de positions dans lesquelles des lignes de câblage de second niveau sont à former. Il peut être souhaitable de former des ouvertures 64 légèrement plus larges dans le masque de photorésist 62 au-dessus des ouvertures 56 dans la couche d'arrêt de gravure 54. Le remplissage des trous plus aisé lors du processus de formation des interconnexions de premier niveau.
La couche d'oxyde intermétallique 58 est ensuite gravée à travers les ouvertures 64, 66 dans le masque de photorésist 62 en utilisant un processus qui est hautement sélectif à l'oxyde, c'est-à-dire que le processus de gravure devrait aisément graver l'oxyde mais pas la matière de la couche d'arrêt de gravure 54, (nitrure de silicium), dans la mesure du possible. Un processus approprié de gravure sélective peut, par exemple, être accompli en utilisant un agent de gravure obtenu à partir d'un mélange de gaz de source incluant le C4Fg/CO ou le CF4 mélangé à du CHF3, de l'Ar ou du
N2. Ainsi, le processus de gravure enlève des parties de la couche d'oxyde intermétallique 58 partout où elle est exposée par le masque de photorésist pour définir des ouvertures à l'intérieur de la couche d'oxyde intermétallique 58 dans lesquelles les lignes de câblage de second niveau sont à former. Le processus de gravure s'arrête sur la couche d'arrêt de gravure de nitrure de silicium 54 à l'intérieur des parties des ouvertures 66 du masque de photorésist qui se situent au-dessus d'une couche d'arrêt de gravure solide 54. A l'intérieur de ces ouvertures 64 de masque de photorésist qui se situent au-dessus des ouvertures 56 dans la couche d'arrêt de gravure de nitrure de silicium 54, le processus de gravure continue dans la couche d'oxyde diélectrique entre couches 52 pour former des ouvertures 68 alignées avec les ouvertures 56 du masque d'arrêt de gravure, la couche d'arrêt de gravure 54 agissant partiellement comme un masque dur pour ce processus. Les ouvertures dans la couche d'oxyde diélectrique entre couches 52 vont être remplies de métal, par la suite, pour réaliser les interconnexions de premier niveau du composant.
Le processus de gravure utilisé lors de la formation des lignes de câblage de second niveau et des interconnexions de premier niveau est hautement sélectif à l'oxyde tout en étant sensiblement inactif sur la couche d'arrêt de gravure de nitrure de silicium 54. Malgré le niveau élevé de sélectivité, le processus de gravure utilisé pour former les ouvertures dans la couche d'oxyde entre couches 52 grave encore les surfaces exposées de la couche d'arrêt de gravure de nitrure de silicium 54 dans une faible mesure. Ainsi, la surface de la couche d'arrêt de gravure 54 exposée peut être gravée pour former de légers creux 70 à l'intérieur des ouvertures 66 dans le masque de photorésist qui ne sont pas au-dessus des ouvertures 56 dans la couche d'arrêt de gravure. Les bords des ouvertures 56 dans la couche d'arrêt de gravure 54 sont également légèrement attaqués lors de ce processus, donnant un bord allant en s' amincissant 72 vers les ouvertures dans la couche d'arrêt de gravure. On préfère la formation d'un bord allant en s'amincissant 72, de ce type, vers les ouvertures dans la couche d'arrêt de gravure 54, parce qu'un bord allant en s'amincissant de ce type améliore l'aptitude à remplir les ouvertures 68 à l'intérieur de la couche diélectrique entre couches 52. La présence du bord allant en s'amincissant 72 réduit la propension à former un surplomb au-dessus des ouvertures 68 dans la couche diélectrique entre couches 52. Ainsi, si le processus utilisé pour graver la couche d'oxyde intermétallique 58 et la couche d'oxyde entre couches 52 ne forment pas un cône 72 le long du bord des ouvertures 56 dans la couche d'arrêt de gravure 54, il peut être souhaitable d'inclure un processus de gravure isotrope sur le bord des ouvertures dans la couche d'arrêt de gravure 54, après que la couche diélectrique entre couches 52 a été gravée, pour former une paroi latérale allant en s'amincissant sur les ouvertures dans la couche d'arrêt de gravure.
Après l'achèvement de la structure de la figure 19, le masque de photorésist 62 est retiré par polissage et la structure est prête pour le dépôt d'une couche de métal 74 pour remplir les ouvertures dans la couche diélectrique intermétallique 58 et la couche diélectrique entre couches 52, comme le montre la figure 20. La couche de métal 74 peut être un métal simple, tel que de l'aluminium, déposé par pulvérisation cathodique, ou un autre métal de faible coût. Cependant, les exigences des composants de circuit intégré à haute densité, en ce qui concerne les structures de câblage, sont complexes, et il est plus classique d'utiliser une structure de câblage multicouche pour remplir les ouvertures dans la structure de la figure 19. Par exemple, il peut être souhaitable de disposer une fine couche d'adhérence ou "colle" sur les surfaces intérieures des ouvertures exposées à l'intérieur des ouvertures 64, 66. Cette couche de colle peut améliorer le dépôt ultérieur de certains types de métaux de connexion. Comme variante, la couche de colle peut agir principalement comme une barrière contre l'interdiffusion entre le métal des structures d'interconnexion et le substrat. Des couches de colle appropriées comprennent le titane, le tungstène, une solution solide de titane et de tungstène, ou des variantes de composés, dont nombre d'entre eux comportent également des métaux réfractaires, tels que le nitrure de titane. Ces métaux de couche de colle peuvent être déposés par un processus de CVD ou par un processus de dépôt physique en phase vapeur, en fonction de la nature de la matière particulière utilisée comme couche de colle. Après que la fine couche d'adhérence ou de colle a été formée sur les surfaces intérieures des ouvertures dans les couches diélectriques, les parties restantes des ouvertures sont remplies, de manière classique avec un métal de connexion différent du métal utilisé en tant que couche de colle. Le métal de connexion peut être, par exemple, du tungstène, de l'aluminium, des alliages comprenant de l'aluminium, du cuivre, des alliages comprenant du cuivre, et une variété d'autres métaux, en fonction du composant particulier en train d'être formé et des contraintes du processus utilisé pour former le composant. Comme on le sait dans la technique, ces métaux peuvent être déposés, d'une manière générale, par des processus de dépôt physique en phase vapeur, tels que la pulvérisation cathodique, mais certains métaux sont déposés, de préférence, par un processus de CVD. La couche de métal 74 disposée audessus de la structure est, de préférence, remplie jusqu'au débordement, comme le montre la figure 20.
La définition de la structure d'interconnexion à deux niveaux est achevée par l'enlèvement des parties en excès de la couche de métal 74, de préférence par un processus de CMP du métal. Le résultat final d'un processus de polissage de ce type est de donner une surface s'étendant d'un bout à l'autre des lignes de câblage de second niveau 76 et de la couche diélectrique intermétallique 58. De cette façon, à la fois les structures d'interconnexion de premier niveau 78 et les lignes de câblage de second niveau 76 sont réalisées en utilisant une seule étape de gravure d'oxyde et sans avoir à déposer une matière diélectrique entre les lignes de métal. De plus, les surfaces plus planes, sur lesquelles les masques de photorésist sont formés dans le processus des figures 15 à 21 pour former la structure d'interconnexion à deux niveaux, permettent d'effectuer la photogravure avec une meilleure précision. Finalement, le processus de la présente invention donne naturellement une surface aplanie, comme le montre la figure 21, qui permet des étapes ultérieures de traitement. Les processus selon les procédés de la présente invention peuvent ainsi former des structures d'interconnexion à deux niveaux avec une meilleure fiabilité et une meilleure facilité de fabrication. Le traitement suivant comprend normalement le dépôt d'un niveau supplémentaire d'une ligne de câblage sur la surface de la structure représentée à la figure 21. De manière classique, une couche de métal formant colle est déposée sur la surface du composant et du métal supplémentaire est déposé en recouvrement et ensuite la couche de métal est mise en forme pour définir des lignes de câblage de troisième niveau.
Tandis que la présente invention a été décrite en se référant particulièrement à des modes de réalisation préférés de cette dernière, on comprendra que ces modes de réalisation sont fournis à titre d'exemple. Les hommes de l'art apprécieront que différentes variantes et modifications puissent être apportées à ces modes de réalisation sans sans sortir de l'esprit ni du domaine de la présente invention. Par conséquent, l'étendue de la présente invention n'est pas limitée aux modes de réalisation préférés décrits.

Claims (12)

REVEND I CAT IONS
1. Procédé de fabrication d'un circuit intégré ayant des structures de conducteurs de premier niveau (78) et des structures de conducteurs de second niveau (76), le procédé comprenant
la réalisation d'un substrat (50) incorporant un ou plusieurs composants de circuit intégré
la réalisation d'une couche diélectrique entre couches (52) au-dessus du substrat (50)
la réalisation d'une couche d'arrêt de gravure (54) au-dessus de la couche diélectrique entre couches (52) ;
la mise en forme de la couche d'arrêt de gravure (54) pour définir des ouvertures (56) dans la couche d'arrêt de gravure (54) mise en forme correspondant aux positions dans lesquelles les structures de conducteurs de premier niveau (78) sont à former
la réalisation d'une couche diélectrique intermétallique (58) au-dessus de la couche d'arrêt de gravure (54) mise en forme
la formation d'un masque de second niveau (62) audessus de la couche diélectrique intermétallique (58), le masque de second niveau (62) ayant des ouvertures (64) correspondant aux positions dans lesquelles les structures de conducteurs de second niveau (76) sont à former
la gravure à travers les ouvertures (64) dans le masque de second niveau (62) pour former des ouvertures (66) de conducteurs de second niveau (76) dans la couche diélectrique intermétallique (58) et la gravure à travers les ouvertures (56) dans la couche d'arrêt de gravure (54) mise en forme pour former des ouvertures (66) de conducteurs de premier niveau (78) dans la couche diélectrique entre couches (52) ; et
le dépôt de métal dans les ouvertures de conducteurs de second niveau et dans les ouvertures de conducteurs de premier niveau.
2. Procédé selon la revendication 1, comprenant en outre l'étape d'enlèvement de métal du dessus de la couche diélectrique intermétallique (58), en laissant du métal à l'intérieur des ouvertures de conducteurs de second niveau et des ouvertures de conducteurs de premier niveau.
3. Procédé selon la revendication 2, dans lequel l'étape d'enlèvement de métal laisse des connexions de métal dans les ouvertures de conducteurs de second niveau ayant des surfaces supérieures coplanaires avec les parties environnantes de la couche diélectrique intermétallique (58).
4. Procédé selon la revendication 3, dans lequel l'étape d'enlèvement de métal laisse une surface aplanie au-dessus des connexions de métal et de la couche diélectrique intermétallique (58).
5. Procédé selon la revendication 4, dans lequel l'étape d'enlèvement de métal comprend un polissage ou une gravure mécano-chimique.
6. Procédé selon la revendication 4, dans lequel l'étape d'enlèvement de métal est accomplie par un polissage mécano-chimique.
7. Procédé selon la revendication 1, dans lequel la couche diélectrique entre couches (52) et la couche diélectrique intermétallique (58) comportent de l'oxyde de silicium.
8. Procédé selon la revendication 7, dans lequel la couche d'arrêt de gravure (54) comporte du nitrure de silicium.
9. Procédé selon la revendication 1, dans lequel les ouvertures (64) dans le masque de second niveau (62) sont plus grandes que les ouvertures (56) dans la couche d'arrêt de gravure (54) mise en forme.
10. Procédé selon la revendication 1, dans lequel la couche d'arrêt de gravure (54) mise en forme agit comme un masque dur pour graver la couche diélectrique entre couches (52).
11. Procédé selon la revendication 1, dans lequel les ouvertures (56) dans la couche d'arrêt de gravure (54) mise en forme vont en s'amincissant de sorte qu'une partie supérieure des ouvertures (56) dans la couche d'arrêt de gravure (54) mise en forme est plus large qu'une parte inférieure des ouvertures (56) dans la couche d'arrêt de gravure (54) mise en forme.
12. Procédé selon la revendication 1, dans lequel la couche diélectrique entre couches (52) et la couche diélectrique intermétallique (58) comportent de l'oxyde de silicium, la couche d'arrêt de gravure (54) comporte du nitrure de silicium et la couche d'arrêt de gravure (54) est en contact à la fois avec la couche diélectrique entre couches (52) et la couche diélectrique intermétallique (58).
FR9705992A 1997-02-28 1997-05-15 Processus de damasquinage double Expired - Fee Related FR2763424B1 (fr)

Priority Applications (6)

Application Number Priority Date Filing Date Title
GB9709431A GB2325083B (en) 1997-05-09 1997-05-09 A dual damascene process
DE19719909A DE19719909A1 (de) 1997-05-09 1997-05-13 Zweifaches Damaszierverfahren
FR9705992A FR2763424B1 (fr) 1997-05-09 1997-05-15 Processus de damasquinage double
NL1006162A NL1006162C2 (nl) 1997-05-09 1997-05-29 Werkwijze voor het vervaardigen van een geïntegreerde keten met geleiderstructuren.
JP9140353A JPH10335456A (ja) 1997-05-09 1997-05-29 集積回路の製造方法
US08/873,500 US5801094A (en) 1997-02-28 1997-06-12 Dual damascene process

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
GB9709431A GB2325083B (en) 1997-05-09 1997-05-09 A dual damascene process
DE19719909A DE19719909A1 (de) 1997-05-09 1997-05-13 Zweifaches Damaszierverfahren
FR9705992A FR2763424B1 (fr) 1997-05-09 1997-05-15 Processus de damasquinage double
NL1006162A NL1006162C2 (nl) 1997-05-09 1997-05-29 Werkwijze voor het vervaardigen van een geïntegreerde keten met geleiderstructuren.
JP9140353A JPH10335456A (ja) 1997-05-09 1997-05-29 集積回路の製造方法
US08/873,500 US5801094A (en) 1997-02-28 1997-06-12 Dual damascene process

Publications (2)

Publication Number Publication Date
FR2763424A1 true FR2763424A1 (fr) 1998-11-20
FR2763424B1 FR2763424B1 (fr) 2003-06-27

Family

ID=27545067

Family Applications (1)

Application Number Title Priority Date Filing Date
FR9705992A Expired - Fee Related FR2763424B1 (fr) 1997-02-28 1997-05-15 Processus de damasquinage double

Country Status (5)

Country Link
JP (1) JPH10335456A (fr)
DE (1) DE19719909A1 (fr)
FR (1) FR2763424B1 (fr)
GB (1) GB2325083B (fr)
NL (1) NL1006162C2 (fr)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346454B1 (en) * 1999-01-12 2002-02-12 Agere Systems Guardian Corp. Method of making dual damascene interconnect structure and metal electrode capacitor
JP2000216247A (ja) * 1999-01-22 2000-08-04 Nec Corp 半導体装置及びその製造方法
JP3502288B2 (ja) 1999-03-19 2004-03-02 富士通株式会社 半導体装置およびその製造方法
US6313025B1 (en) * 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100368320B1 (ko) * 2000-12-28 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
JP2011077468A (ja) * 2009-10-02 2011-04-14 Panasonic Corp 半導体装置の製造方法および半導体装置
JP5104924B2 (ja) * 2010-08-23 2012-12-19 富士通セミコンダクター株式会社 半導体装置
JP5891846B2 (ja) * 2012-02-24 2016-03-23 富士通セミコンダクター株式会社 半導体装置の製造方法
JP6853663B2 (ja) * 2015-12-28 2021-03-31 株式会社半導体エネルギー研究所 半導体装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996012297A2 (fr) * 1994-10-11 1996-04-25 Advanced Micro Devices, Inc. Procede simplifie de double damasquinage servant a effectuer une metallisation a des niveaux multiples et a realiser une structure d'interconnexion
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
JPH03198327A (ja) * 1989-12-26 1991-08-29 Fujitsu Ltd 半導体装置の製造方法
US5246883A (en) * 1992-02-06 1993-09-21 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure and method
US5466639A (en) * 1994-10-06 1995-11-14 Micron Semiconductor, Inc. Double mask process for forming trenches and contacts during the formation of a semiconductor memory device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996012297A2 (fr) * 1994-10-11 1996-04-25 Advanced Micro Devices, Inc. Procede simplifie de double damasquinage servant a effectuer une metallisation a des niveaux multiples et a realiser une structure d'interconnexion
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process

Also Published As

Publication number Publication date
GB9709431D0 (en) 1997-07-02
GB2325083B (en) 1999-04-14
NL1006162C2 (nl) 1998-12-01
DE19719909A1 (de) 1998-11-19
GB2325083A (en) 1998-11-11
JPH10335456A (ja) 1998-12-18
FR2763424B1 (fr) 2003-06-27

Similar Documents

Publication Publication Date Title
EP0351001B1 (fr) Procédé pour fabriquer un dispositif semi-conducteur ayant au moins un niveau de prise de contact à travers des ouvertures de contact de petites dimensions
US5600182A (en) Barrier metal technology for tungsten plug interconnection
EP0343698A1 (fr) Procédé pour réaliser une configuration d'interconnexion sur un dispositif semiconducteur notamment un circuit à densité d'intégration élevée
FR2663784A1 (fr) Procede de realisation d'un etage d'un circuit integre.
FR2757312A1 (fr) Transistor mis a grille metallique auto-alignee et son procede de fabrication
FR2764734A1 (fr) Procede de formation de plots de contact d'un dispositif a semiconducteur
FR2763424A1 (fr) Processus de damasquinage double
EP0372644B1 (fr) Procédé de réalisation d'un circuit intégré incluant des étapes pour réaliser des interconnexions entre des motifs réalisés à des niveaux différents
FR2502399A1 (fr) Dispositif a semi-conducteurs comportant un contact rapporte a faible resistance
EP1328012A1 (fr) Procédé de fabrication d'un composant électronique incorporant un microcomposantinductif
EP0975018B1 (fr) Procédé de formation d'une capacité sur un circuit intégré
FR2855324A1 (fr) Procede de fabrication d'une interconnexion pour un dispositif a semiconducteur
EP0923125A1 (fr) Procédé de réalisation d'interconnexions métalliques dans des circuits intégrés
FR2782841A1 (fr) Procede permettant de former des plots de contact et, en meme temps, de rendre plane une surface de substrat dans des circuits integres
EP0275595B1 (fr) Procédé de réalisation d'interconnexions et de croisements entre niveaux de métallisation d'un circuit intégré
EP1320123A1 (fr) Procédé de fabrication d'un composant électronique incorporant un micro-composant inductif
US20070072410A1 (en) Method of forming copper interconnection using dual damascene process
EP3832707A1 (fr) Structure d'interconnexion d'un circuit intégré
EP2843693A1 (fr) Procédé de réalisation d'un plot conducteur sur un élément conducteur
FR2773262A1 (fr) Procede de formation d'elements conducteurs dans un circuit integre
EP2105959A2 (fr) Procédé de formation de niveaux d'interconnexion d'un circuit intégré
FR2774808A1 (fr) Structure doublement damasquinee et son procede de fabrication
EP1316974A1 (fr) Procédé de fabrication d'un composant électronique incorporant un micro-composant inductif
JPH11354466A (ja) 接点用開口を有する半導体基板に接点を形成する方法
FR2789803A1 (fr) Procede de realisation d'une connexion metallique verticale dans un circuit integre

Legal Events

Date Code Title Description
ST Notification of lapse

Effective date: 20150130