DE69108837T2 - Erzeugungsmethode einer CVD-Silizium-Oxynitridschicht. - Google Patents

Erzeugungsmethode einer CVD-Silizium-Oxynitridschicht.

Info

Publication number
DE69108837T2
DE69108837T2 DE69108837T DE69108837T DE69108837T2 DE 69108837 T2 DE69108837 T2 DE 69108837T2 DE 69108837 T DE69108837 T DE 69108837T DE 69108837 T DE69108837 T DE 69108837T DE 69108837 T2 DE69108837 T2 DE 69108837T2
Authority
DE
Germany
Prior art keywords
nitrogen
reactor
organylsilane
gas
gaseous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69108837T
Other languages
English (en)
Other versions
DE69108837D1 (de
Inventor
Nobuyoshi Sato
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kawasaki Steel Microelectronics Inc Chiba Jp
Original Assignee
Kawasaki Steel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kawasaki Steel Corp filed Critical Kawasaki Steel Corp
Application granted granted Critical
Publication of DE69108837D1 publication Critical patent/DE69108837D1/de
Publication of DE69108837T2 publication Critical patent/DE69108837T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Description

  • Die Erfindung betrifft allgemein ein Verfahren zur Herstellung einer Isolierschicht, die auf einem Halbleitersubstrat als Isolationsschicht, als isolierende Zwischenschicht sowie auf einer Halbleitereinrichtung als äußere Passivierungsschicht verwendbar ist; insbesondere betrifft die Erfindung ein Herstellungsverfahren für eine CVD-Siliciumoxidnitrid (SiON)-Schicht.
  • Bei Halbleitervorrichtungen werden verschiedene Arten von Isolierschichten verwendet. So gibt es beispielsweise zwischen Metall schichten eine isolierende Zwischenschicht und auf der äußeren Oberfläche einer Halbleitervorrichtung eine Passivierungsschicht. Gewöhnlich bestehen solche Isolierschichten aus Siliciumdioxid (SiO&sub2;), Phosphatsilicatglas (PSG), Borophosphatsilicatglas (BPSG), Siliciumnitrid (Si&sub3;N&sub4;) oder Siliciumoxidnitrid (SiON). Siliciumdioxid, Phosphatsilicatglas und Borophosphatsilicatglas haben eine kleine Dielektrizitätskonstante und eine sehr gute Isolierwirkung, verfügen über nur über eine mäßige Naßfestigkeit. Siliciumnitrid hat zwar eine sehr gute Naßfestigkeit, aber auch eine hohe Dielektrizitätskonstante.
  • Siliciumoxidnitrid hat Eigenschaften zwischen Siliciumdioxid und Siliciumnitrid, so daß bei geeigneter Wahl der Zusammensetzung eine Isolierschicht erhalten wird, deren Naßfestigkeit und Isoliervermögen ausgezeichnet ist und deren Dielektrizitätskonstante niedrig ist. Daher gab es vielfach Versuche und Vorschläge, Siliciumoxidnitridschichten als Passivierungsschutzschicht bzw. als Zwischenisolierschicht bei Halbleitereinrichtungen einzusetzen.
  • Bei einem bekannten Siliciumoxidnitridschicht-Herstellungs verfahren wird eine Mischung aus Monosilan (SiH&sub4;), Distickstoffmonoxid (N&sub2;O) und Ammoniak (NH&sub3;) in einen Reaktionsbehälter eingeleitet und die Siliciumoxidnitridschicht mit Plasma-CVD, wobei den Reaktanden elektrische Energie zugeführt wird, auf einen Halbleiterwafer abgeschieden.
  • Bei diesem bekannten Siliciumoxidnitridschicht-Herstellungsverfahren ist ein Hauptbestandteil des Reaktionsgases, d.h. Monosilan, giftig. Seine Handhabung ist daher mühsam und bedarf Sicherheitsvorkehrungen. Weiterhin wird beim Plasma-CVD-Verfahren die Halbleiterwaferoberfläche dem Plasmagas ausgesetzt und kann daher durch hochenergetische Elektronen sowie geladene Teilchen geschädigt werden. Dies kann die elektrischen Eigenschaften der Halbleitervorrichtung mindern und schädigen und die Produktionsausbeute herabsetzen.
  • Seitdem wurden in JP-OS Hei-No. 1-152631 (veröffentlicht am 15.06.89), JP-OS 1-238024 (veröffentlicht am 22.09.89), Jp- Os 1-239940 (veröffentlicht am 25.09.89) sowie JP-OS 1-260-833 (veröffentlicht am 18.10.89) werden weitere Verfahren vorgeschlagen, bei denen eine Siliciumoxidnitridschicht ohne die Verwendung des giftigen Monosilans hergestellt wird.
  • Das bekannte, in der JP-OS Hei-No. 1-152631 offenbarte Verfahren ermöglicht die Herstellung einer Siliciumoxidnitridschicht bei einer relativ niedrigen Temperatur, wie z.B. 350ºC, durch Verwendung eines ein organisches Silan, Ozon und Ammoniak umfassenden Gasgemisches. Bei diesem bekannten Verfahren wird den Reaktanden Strahlungsenergie in Form von UV-Strahlung mit einer Wellenlänge kürzer als 300 nm zugeführt. Dies bedingt eine große und teure UV-Strahlenquelle, wobei der Reaktor ein für UV-Strahlung durchlässiges Fenster aufweisen muß. Überdies weist das Bestrahlungs-CVD-Verfahren eine niedrige Abscheidungsgeschwindig keit, z.B. 10-20 nm (100-200) pro Minute, auf.
  • Bei dem bekannten, in der JP-OS Hei-No. 1-238024 offenbarten Verfahren wird ein aus einem Organylsilan und Stickstoff bestehendes Gasgemisch in einen Reaktor eingeleitet, und anschließend ein Stickstoff-Plasma-CVD- Verfahren bei vermindertem Druck, z.B. bei 266 Pa (2 Torr), durchgeführt. Bei diesem Verfahren wird das Substrat dem Stickstoff-Plasma ausgesetzt, wodurch die elektrischen Eigenschaften der Halbleitereinrichtung beeinträchtigt werden können.
  • Bei dem Verfahren gemäß der JP-OS Hei-No. 1-239940 wird ein aus einem Organylsilan und Ammoniak bestehendes Gasgemisch in einen Reaktor eingeleitet, und anschließend auf dem Substrat eine Niederdruck-Plasma-CVD-Siliciumoxidnitrid- Schicht abgeschieden. Dieses Verfahren weist den Nachteil auf, daß die Substratoberfläche durch das Plasma-Teilchen- Bombardement beschädigt wird.
  • Bei dem in der JP-OS Hei-No. 1-260833 offenbarten Verfahren wird ein aus einem Organyloxysilan und Ammoniak bestehendes Gasgemisch in einen bei vermindertem Druck, z.B. 70-200 Pa (0,5-1,5 Torr), gehaltenen Reaktor eingeleitet, während ein Halbleiterwafer auf eine relativ hohe Temperatur, z.B. 750- 800ºC, aufgeheizt wird. Bekanntlich werden metallische, aus Aluminium bestehende Leiterdrähte eingesetzt, deren Erweichungstemperatur bei ca. 450ºC liegt. Demgemäß könnte dieses bekannte Verfahren nicht bei Halbleitereinrichtungen mit einem Aluminium-Verdrahtungsmuster eingesetzt werden. Da bei vermindertem Druck gearbeitet wird, läßt überdies die Egalisierung von Stufen zu wünschen übrig, wodurch es ziemlich schwierig ist, eine Isolierschicht mit ebener Oberfläche zu erhalten.
  • Bei den oben erwähnten bekannten Verfahren, die ohne den Einsatz des giftigen Monosilan-Gases auskommen, wird ein hauptsächlich aus einem Organylsilan, wie z.B. Tetraethoxysilan (TEOS), sowie Ammoniak oder Stickstoff bestehendes Gasgemisch eingesetzt, wodurch die abgeschiedene Siliciumoxidnitridschicht kaum die gewünschte Zusammensetzung aufweist.
  • Freeman und Kern (J. Vac. Sci. Techn. A 1446, 7(3), 1989) offenbaren die Verwendung von HMDS (Hexamethyldisilazan) als effektive alleinige Reaktand-Quelle für Si, N und C in einem Niederdruck-CVD-Verfahren bei Temperaturen um ca. 800ºC zur Herstellung von dielektrischen, für elektronische Anwendungen geeigneten Schichten. Die Autoren untersuchten die pyrolytische Niederdruck-CVD von HMDS allein und in Kombination mit Sauerstoff, Distickstoffmonoxid, Ammoniak sowie Ammoniak-Sauerstoff-Atmosphären. Dieses Verfahren leidet unter dem Nachteil, daß Substrate mit niedrigschmelzenden Leitern aufgrund der zur Ausbildung der dielektrischen Schicht benötigten hohen Temperatur ausgeschlossen sind.
  • Die Aufgabe der vorliegenden Erfindung besteht darin, ein neues, brauchbares und sicheres Herstellungsverfahren für eine Siliciumoxidnitridschicht mit gewünschter Zusammensetzung ohne Verwendung des giftigen Monosilans unter Vermeidung der Aufheizung des Substrats auf eine Temperatur, bei der ein Aluminium-Verdrahtungsmuster erweicht, zu schaffen.
  • Erfindungsgemäß wird ein Herstellungsverfahren für eine CVD-Schicht auf einem Halbleitersubstrat geschaffen, das folgende Stufen umfaßt:
  • Überführen des Halbleitersubstrats in einen Reaktor;
  • Aufheizen des Halbleitersubstrats auf eine zwischen 150 und 450ºC liegende Temperatur; und
  • Einbringen eines aus einem stickstoffhaltigen Organylsilan und Ozon bestehenden Gasgemisches in den Reaktor, um mittels chemischer Dampfphasenabscheidung (CVD) eine isolierende Siliciumoxidnitridschicht auf dem Halbleitersubstrat abzuscheiden, wobei das stickstoffhaltige Organylsilan aus (CH&sub3;)&sub3;SiNHSi(CH&sub3;)&sub3;, (CH&sub3;)&sub3;SiN(C&sub2;H&sub5;)&sub2;, (CH&sub3;)&sub3;SiNHCOCH&sub3;, (CH&sub3;)&sub3;SiN(CH&sub3;)&sub2; oder (CH&sub2;=CH)(CH&sub3;)&sub2;SiN(CH&sub3;)&sub2; besteht, und wobei das Verfahren ohne Zufuhr von elektrischer oder Strahlungsenergie zu den Reaktanten ausgeführt wird.
  • Bei einer bevorzugten Ausführungsform der vorliegenden Erfindung wird der Reaktor bei Atmosphärendruck gehalten.
  • Beim erfindungsgemäßen Verfahren wird ein aus einem stickstoffhaltigen Silan und Ozon bestehendes Gasgemisch als Reaktionsgas eingesetzt, wodurch die Verwendung des giftigen Monosilans vermieden wird, und das Verfahren sicher ausgeführt werden kann. Überdies wird die Siliciumoxidnitridschicht bei einer 450ºC nicht überschreitenden Temperatur abgeschieden, wodurch das auf dem Substrat ausgebildete Verdrahtungsmuster unbeeinträchtigt bleibt. Deshalb kann die nach dem erfindungsgemäßen Verfahren ausgebildete Siliciumoxidnitridschicht vorteilhafterweise sowohl als isolierende Zwischenschicht als auch als äußere Passivierungsschutzschicht auf einem Halbleitersubstrat eingesetzt werden.
  • Die Erfindung wird nachfolgend anhand der Zeichnungen näher erläutert. Es zeigt:
  • Fig.1 eine schematische Darstellung eine Ausführungsform einer zur Durchführung des erfindungsgemäßen Verfahrens geeigneten Vorrichtung;
  • Fig.2 einen Querschnitt durch einen Transistor mit einer nach dem erfindungsgemäßen Verfahren hergestellten Isolierschicht; und
  • Fig.3 einen Graph, der die Variation der Steilheit des in Fig. 2 dargestellten Transistors zeigt.
  • Fig.1 ist eine schematische Darstellung einer Ausführungsform einer zur Durchführung des erfindungsgemäßen Siliciumoxidnitridschicht-Herstellungsverfahrens geeigneten Vorrichtung. Diese umfaßt einen Reaktor 1 sowie ein hierin vorgesehenes elektrisches Heizelement 3. Ein Siliciumwafer 2 wird in den Reaktor 1 überführt und auf die gewünschte Temperatur aufgeheizt. Die Vorrichtung umfaßt weiterhin einen Ozongenerator 4 und einen Thermostat 5. Hierin befinden sich ein Gaszylinder 5a, der ein stickstoffhaltiges Silan-Material enthält und der Stickstoff-Gas liefert, um ein stickstoffhaltiges Silan-Gas zu erzeugen. Das Stickstoff-Gas wird auch als Trägergas genutzt. Es ist anzumerken, daß als Trägergas auch andere inerte Gase, wie z.B. Ar und He, eingesetzt werden können. Das vom Ozongenerator erzeugte Ozon, das vom Gaszylinder 5a im Thermostat 5 gelieferte stickstoffhaltige Organylsilan sowie das Stickstoff-Trägergas werden in den Reaktor 1 eingeleitet. Hierin sind weiterhin Ablenkbleche zur Regulierung des Gasgemisches angeordnet, so daß der Siliciumwafer 2 mit einem laminaren Gasstrom beaufschlagt werden kann.
  • Beispiel 1
  • Ein Siliciumwafer 2, auf dem ein MOS-Transistor mit einem Gate von 1 m Länge und 20 m Breite ausgebildet war, wurde in den Reaktor 1 überführt. Ein das Ozon und das stickstoffhaltige Organylsilan (CH&sub3;)&sub3;SiNHSi(CH&sub3;)&sub3; enthaltendes Gasgemisch wurde in den Reaktor 1 eingeleitet, wobei der Druck in demselben bei Atmosphärendruck gehalten wurde. Das stickstoffhaltige Organylsilan-Gas und das Ozon-Gas wurde mit einem Durchfluß von 100 cm³/min bzw. 3 cm³/min eingeleitet. Der Siliciumwafer 2 wurde mittels des Heizelements 3 auf eine Temperatur von 400ºC erhitzt. Die Abscheidung wurde 10 min lang durchgeführt. Die Temperatur im Reaktor 1 betrug ca. 370ºC, während der Gaszylinder 5a im Thermostat 5 bei einer Temperatur von 100ºC gehalten wurde. Der Durchfluß des Sauerstoff-Gases durch den Ozongenerator 4 wurde auf 4 l/min, der Durchfluß des Stickstoff-Gases durch den Gaszylinder 5a im Thermostat 5 wurde auf 1 l/min sowie Stickstoff-Gasdurchfluß in den Reaktor 1 auf 20 l/min eingestellt. Der Ozon-Gaserzeugungsfaktor im Ozongenerator 4 betrug 5 %. Dergestalt wurde auf der Oberfläche des Siliciumwafers 2 eine Siliciumoxidnitridschicht mit einer Dicke von ca. 700 nm (7000) ausgebildet.
  • Vergleichsbeispiel 1
  • Ähnlich wie bei obigem Beispiel 1 wurde ein Siliciumwafer, auf dem ein MOS-Transistor mit einem Gate von 1 m Länge und 20 m Breite ausgebildet war, in eine Niederdruck-CVD-Vorrichtung überführt, wobei ein aus Monosilan und Ammoniak bestehendes Gasgemisch in den Reaktor eingeleitet wurde. Der Reaktions-Raum wurde mit elektrischer Hochfrequenz- Energie beaufschlagt, wodurch eine Plasma-Dampfphasen-Abscheidung zur Erzeugung einer als Passivierungsschicht dienenden Siliciumoxidnitridschicht bewirkt wurde.
  • Um die Eigenschaft der gemäß dem erfindungsgemäßen Verfahren hergestellten Siliciumoxidnitridschicht abzuschätzen, wurde die Variation der Steilheit gm unter mechanischer Spannung bestimmt.
  • Die N-Kanal-MOS-Transistoren mit den nach dem erfindungsgemäß bzw. dem bekannten Verfahren hergestellten Siliciumoxidnitridschichten wurden mit den Vorspannungsquellen E&sub1; und E&sub2; verbunden, wie aus Fig.2 ersichtlich. Der Transistor umfaßte ein Siliciumsubstrat 11, auf der Oberfläche des Substrats 11 ausgebildete Source- und Drain-Zonen 12, 13, eine Gate-Oxid-Schicht 14, eine Polysilicium-Gate-Elektrode 15, eine Borophosphatsilicatglas-Schicht 16, Aluminium- Elektroden 17, 18 sowie eine isolierende Siliciumoxidnitridschicht 19. Das Gate wies einen Breite/Länge-Quotient von 20 m/l m auf.
  • Die Source-Elektrode 17 wurde geerdet, während die Drain-Elektrode 18 an eine Drain-Vorspannungsquelle E&sub1; von 7,5 V angeschlossen wurde. Die Gate-Elektrode 15 wurde mit einer Gate-Vorspannungsquelle E&sub2; verbunden, während das Siliciumsubstrat 11 geerdet wurde. Nach dem oben erwähnten Beschleunigungstest bei 7,5 V wurde die Gate- Vorspannungsquelle E&sub2; auf solch einen Wert eingestellt, daß der Substrat-Strom Isub bei einer Drain-Spannung von 2,0 V ein Maximum erreichte. Die oben erwähnten Vorspannungs-Bedingungen wurden 14 h beibehalten, wobei die Veränderung der aus dem Drain-Strom (ID)/Gate-Spannung(VG)-Quotienten berechneten Steilheit gin aufgezeichnet wurde.
  • Der in Fig.3 dargestellte Graph zeigt die Ergebnisse des oben beschriebenen Versuchs. Auf der Horizontal-Achse ist die Zeitdauer der mechanischen Spannung aufgetragen, während die Vertikal-Achse die relative Veränderung der Steilheit (gm/gmo) repräsentiert. gmo steht für die anfängliche Steilheit, während gin die Differenz zwischen der jeweiligen und der anfänglichen Steilheit repräsentiert. Die Kurve A bezeichnet die Veränderung der Steilheit der nach dem erfindungsgemäßen Verfahren hergestellten Siliciuinoxidnitridschicht, während die Kurve B die Veränderung der Steilheit der nach dem bekannten Verfahren hergestellten Siliciuinoxidnitridschicht kennzeichnet.
  • Wie aus dem Vergleich der in Fig. 3 dargestellten Kurven A und B ersichtlich, ist die Abnahme der Steilheit der gemäß dein erfindungsgemäßen Verfahren hergestellten Passivierungsschicht geringer als bei der nach dein bekannten Verfahren hergestellten Schicht. Bei der nach dem bekannten Verfahren hergestellten Plasina-CVD-Siliciumoxidnitridschicht ist die Substrat-Oberfläche gerade unter dein Gate durch das Ionen-Bombardement geschädigt, und das Substrat ist aufgeladen. Die Steilheit gm berechnet sich aus ID/IV, wobei beim Vergleichsbeispiel 1 der Drain-Strom ID aufgrund des Ionen-Bombardeinents und der Aufladung des Substrats vermindert ist, wodurch die Steilheit verringert wird. Beim erfindungsgemäßen Verfahren wird die Siliciuinoxidnitridschicht ohne Plasma abgeschieden, wodurch die oben erwähnte Beschädigung und Aufladung vermieden werden kann, und die Steilheit im wesentlichen unbeeinträchtigt bleibt.
  • Bei obiger Ausführungsform wird als stickstoffhaltiges Organylsilan (CH&sub3;)&sub3;SiNHSi(CH&sub3;)&sub3; verwendet, über erfindungsgemäß können auch (CH&sub3;)&sub3;SiN(C&sub2;H&sub5;)&sub2;, (CH&sub3;)&sub3;SiNHCOCH&sub3;, (CH&sub3;)&sub3;SiN(CH&sub3;)&sub2; oder (CH&sub2;=CH) (CH&sub3;)&sub2;SiN(CH&sub3;)&sub2; eingesetzt werden.
  • Bei obiger Ausführungsform wird das CVD-Verfahren bei Atmosphärendruck ausgeführt, jedoch ist es erfindungsgemäß auch möglich, die Siliciumoxidnitridschicht mittels eines Hochdruck-CVD-Verfahrens herzustellen. Hierbei wird der Reaktor bei einem beispielsweise zwischen 1,5 und 5 bar liegendein Druck gehalten. Bei solch einem hohen Druck ist die Halbwertszeit des Ozons höher, wodurch die Abscheidungsgeschwindigkeit gesteigert und die Ebenheit der Siliciuinoxidnitridschicht verbessert wird. Da beim erfindungsgeinäßen Hochdruck-CVD-Verfahren das Gasgemisch das stickstoffhaltige Organylsilan umfaßt, kann überdies die gewünschte Zusammensetzung der abgeschiedenen Siliciumoxidnitridschicht erhalten werden.
  • Um die Siliciumoxidnitridschicht so gleichmäßig wie möglich herzustellen, kann weiterhin der Siliciumwafer im Reaktor in Vibration versetzt werden.
  • Wie oben detailliert erläutert, kann beim erfindungsgemäßen Siliciumoxidnitridschicht-Herstellungsverfahren der Einsatz des giftigen Monosilans vermieden werden, so daß das Verfahren sicher durchgeführt werden kann. Da überdies die Reaktion bei einer 450ºC nicht überschreitenden Temperatur ausgeführt wird, werden während des CVD-Verfahrens Aluminiuin-Leiterdrähte nicht beschädigt, weshalb das Siliciumoxidnitrid vorteilhafterweise als äußere Schutz-Passivierungsschicht einer Halbleitereinrichtung eingesetzt werden kann. Wenn das CVD-Verfahren bei Atmosphärendruck durchgeführt wird, kann dieses überdies unschwer in einer einfachen Vorrichtung durchgeführt werden. Sowohl beim Atmosphärendruck - als auch beim Hochdruck-CVD-Verfahren wird die Substratoberfläche durch das Plasma nicht beschädigt, wodurch die Eigenschaften der Halbleitereinrichtung unbeeinträchtigt bleiben. Weiterhin kann beim Hochdruck-CVD-Verfahren die Abscheidungsge schwindigkeit gesteigert werden.

Claims (8)

1. Verfahren zur Herstellung einer CVD-Schicht auf einem Halbleitersubstrat, umfassend die Schritte: Bereitstellen von einein Halbleitersubstrat in einem Reaktor;
Erwärmen des Halbleitersubstrats auf eine Temperatur zwischen 150 und 450ºC; und
Einführen einer Mischung aus stickstoffhaltigem gasförmigem Organylsilan und gasförmigein Ozon in den Reaktor, um auf dem Halbleitersubstrat durch chemische Dampfphasenabscheidung (CVD) eine isolierende Siliciuinoxidnitridschicht aufzubringen, wobei das stickstoffhaltige gasförmige Organylsilan aus (CH&sub3;)&sub3;SiNHSi(CH&sub3;)&sub3;, (CH&sub3;)&sub3;SiN(C&sub2;Hs)&sub2;, (CH&sub3;)&sub3;SiNHCOCH&sub3;, (CH&sub3;)&sub3;SiN(CH&sub3;)&sub2; oder (CH&sub2;=CH) (CH&sub3;)&sub2;SiN(CH&sub3;)&sub2; besteht; wobei das Verfahren, ohne daß den Reaktanten elektrische oder Strahlungsenergie zugeführt wird, durchgeführt wird.
2. Verfahren nach Anspruch 1, wobei der Reaktor bei Atmosphärendruck gehalten wird.
3. Verfahren nach Anspruch 1, wobei der Reaktor auf einem Druck gehalten wird, der über Atinosphärendruck liegt.
4. Verfahren nach Anspruch 3, wobei der Reaktor auf einem Druck zwischen 1,5 und 3 bar gehalten wird.
5. Verfahren nach einem der Ansprüche 1, 2, 3 oder 4, wobei die Mischung aus einem stickstoffhaltigen gasförmigen Organylsilan und Ozon mit einem Trägergas in den Reaktor eingeleitet wird.
6. Verfahren nach Anspruch 5, wobei als Trägergas Stickstoff verwendet wird.
7. Verfahren nach Anspruch 6, wobei das stickstoffhaltige gasförmige Organylsilan durch Leiten von gasförmigen Stickstoff durch eine Gasblasen-Einrichtung, die das stickstoffhaltige Organylsilan enthält, erzeugt wird.
8. Verfahren nach einem der vorangehenden Ansprüche, wobei die Mischung stickstoffhaltiges gasförmiges Organylsilan und Ozongas im Verhältnis 100 Vol.-Teile gasförmiges Organylsilan zu 3 Vol.-Teile Ozongas enthält.
DE69108837T 1990-10-16 1991-10-15 Erzeugungsmethode einer CVD-Silizium-Oxynitridschicht. Expired - Fee Related DE69108837T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2275264A JPH04151839A (ja) 1990-10-16 1990-10-16 シリコンオキシナイトライド膜の製造方法

Publications (2)

Publication Number Publication Date
DE69108837D1 DE69108837D1 (de) 1995-05-18
DE69108837T2 true DE69108837T2 (de) 1995-08-24

Family

ID=17552996

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69108837T Expired - Fee Related DE69108837T2 (de) 1990-10-16 1991-10-15 Erzeugungsmethode einer CVD-Silizium-Oxynitridschicht.

Country Status (5)

Country Link
EP (1) EP0481706B1 (de)
JP (1) JPH04151839A (de)
KR (1) KR920008876A (de)
CA (1) CA2053419A1 (de)
DE (1) DE69108837T2 (de)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4333160A1 (de) * 1993-09-29 1995-03-30 Siemens Ag Herstellverfahren für eine nitridierte Siliziumoxidschicht mit verringerter Temperaturbelastung
JP3432601B2 (ja) * 1994-06-17 2003-08-04 東京エレクトロン株式会社 成膜方法
US5674788A (en) * 1995-06-06 1997-10-07 Advanced Micro Devices, Inc. Method of forming high pressure silicon oxynitride gate dielectrics
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
KR20030019613A (ko) * 2000-07-28 2003-03-06 동경 엘렉트론 주식회사 성막방법
JP4877687B2 (ja) * 2000-07-28 2012-02-15 東京エレクトロン株式会社 成膜方法
JP2005536055A (ja) * 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
JP4954448B2 (ja) 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources

Also Published As

Publication number Publication date
JPH04151839A (ja) 1992-05-25
CA2053419A1 (en) 1992-04-17
EP0481706B1 (de) 1995-04-12
KR920008876A (ko) 1992-05-28
DE69108837D1 (de) 1995-05-18
EP0481706A1 (de) 1992-04-22

Similar Documents

Publication Publication Date Title
DE69010857T2 (de) Verfahren zur Herstellung dünner Schichten von hoher Reinheit.
DE3346803C2 (de)
DE69108837T2 (de) Erzeugungsmethode einer CVD-Silizium-Oxynitridschicht.
DE10123858B4 (de) Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht
DE60112354T2 (de) Cvd-synthese von siliziumnitridmaterialien
DE68909774T2 (de) Abscheidung von Siliziumdioxid-Filmen, ausgehend von flüssigen Alkylsilanen.
DE69534699T2 (de) Verfahren zur Ablagerung von Fluorsilikatglas
DE60116216T2 (de) Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht
DE60124965T2 (de) UV-Härtungsverfahren zur Erzeugung eines Films mit geringem k-Wert
DE1696625C3 (de) Verfahren zum Erzeugen einer Nitridschutzschicht auf einem Halbleiterkörper
DE69026059T2 (de) Verfahren zur Herstellung eines SiO2 Films mit einer Polysiloxan/Ozonreaktion
DE4326211A1 (de) Chemisches Bedampfungsverfahren zum Beschichten von Halbleiterwafer mit Titansilicid
EP0090319B1 (de) Verfahren zum selektiven Abscheiden von aus Siliziden hochschmelzender Metalle bestehenden Schichtstrukturen auf im wesentlichen aus Silizium bestehenden Substraten und deren Verwendung
EP1507888B1 (de) Plasmaangeregtes chemisches gasphasenabscheide-verfahren zum abscheiden von siliziumnitrid oder siliziumoxinitrid in einem mim-kondensator
DE2641387B2 (de) Verfahren zum Abscheiden einer Glasschicht
DE19612450A1 (de) Halbleitereinrichtung und Herstellungsverfahren derselben
DE69311184T2 (de) Halbleitervorrichtung samt Herstellungsverfahren
DE19630342C2 (de) Herstellungsverfahren einer isolierenden Zwischenschicht auf einem Halbleitersubstrat
DE2656821A1 (de) Vorrichtung und verfahren zum auftragen eines filmes auf einem substrat
DE3780562T2 (de) Chemischer dampfniederschlag mit waermestrahlung von einer isolierschicht auf ein substrat aus iii-v-material, verwendung zur herstellung einer mis-struktur.
DE10224167A1 (de) Ein Halbleiterelement mit einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration und ein Verfahren zur Herstellung desselben
DE3785699T2 (de) Halbleiteranordnung mit zwei durch eine isolationsschicht getrennten elektroden.
DE1544287A1 (de) Verfahren zum Herstellen einer Schutzschicht aus einer Silizium-oder Germaniumstickstoff-Verbindung an der Oberflaeche eines Halbleiterkristalls
DE2431917A1 (de) Glaspassiviertes halbleiterbauelement fuer hohe leistungen und verfahren zu seiner herstellung
DE69026756T2 (de) Methode und Anordnung zur Ablagerung einer Siliciumdioxydschicht

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: KAWASAKI STEEL MICROELECTRONICS, INC., CHIBA, JP

8339 Ceased/non-payment of the annual fee