DE602004010729T2 - Herstellung von verbesserten Silizium-basierten MEMS-Vorrichtungen - Google Patents

Herstellung von verbesserten Silizium-basierten MEMS-Vorrichtungen Download PDF

Info

Publication number
DE602004010729T2
DE602004010729T2 DE602004010729T DE602004010729T DE602004010729T2 DE 602004010729 T2 DE602004010729 T2 DE 602004010729T2 DE 602004010729 T DE602004010729 T DE 602004010729T DE 602004010729 T DE602004010729 T DE 602004010729T DE 602004010729 T2 DE602004010729 T2 DE 602004010729T2
Authority
DE
Germany
Prior art keywords
layer
doped
amorphous silicon
titanium
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE602004010729T
Other languages
English (en)
Other versions
DE602004010729D1 (de
Inventor
Luc Granby Ouelett
Robert St Luc Antaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Teledyne Digital Imaging Inc
Original Assignee
Dalsa Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dalsa Semiconductor Inc filed Critical Dalsa Semiconductor Inc
Publication of DE602004010729D1 publication Critical patent/DE602004010729D1/de
Application granted granted Critical
Publication of DE602004010729T2 publication Critical patent/DE602004010729T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00642Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
    • B81C1/0065Mechanical properties
    • B81C1/00666Treatments for controlling internal stress or strain in MEMS structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0164Controlling internal stress of deposited layers by doping the layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0167Controlling internal stress of deposited layers by adding further layers of materials having complementary strains, i.e. compressive or tensile strain
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0169Controlling internal stress of deposited layers by post-annealing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0735Post-CMOS, i.e. forming the micromechanical structure after the CMOS circuit

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Micromachines (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

  • Gebiet der Erfindung
  • Diese Erfindung betrifft die Herstellung von MEMS (Mikroelektromechanische Systeme)-Vorrichtungen und genauer ein Verfahren zum Herstellen von MEMS-Vorrichtungen, die die Herstellung hochentwickelter integrierter Schaltungen vor der Erzeugung der mechanischen Komponenten auf demselben Bauteil ermöglicht.
  • Hintergrund der Erfindung
  • Die Herstellung hochentwickelter, auf Silicium basierender mikroelektromechanischer Systeme MEMS, erfordert die Integration sich bewegender mechanischer Teile und das Steuern, Abfühlen oder Ankoppeln integrierter Schaltungen und manchmal mit Hochspannung arbeitender integrierter Schaltungen, die in der Lage sind, die mechanischen Teile zu betätigen.
  • Die Prozesse, die verwendet werden, um hochentwickelte MEMS aus auf Silicium basierenden strukturellen Materialien zu erzeugen, erfordern typischerweise einen Entlastungsschritt bei hoher Temperatur, um die mechanische Belastung des auf Silicium basierenden strukturellen Materials zu verringern und seine genaue Bearbeitung und mechanische Freigabe der sich bewegenden Teile zu ermöglichen. Dieses Entlasten bei hoher Temperatur erfordert, daß die integrierte Schaltung nach den mechanischen Teilen mit hoher Oberflächentopologie dieser hochentwickelten MEMS hergestellt wird. Dies ist eine ungewünschte Kombination, da anspruchsvolle integrierte Schaltungen eine sehr feine Lithographie erfordern, die unter Verwendung einer Apparatur mit einer kurzen Tiefenschärfe durchgeführt wird. Diese hohe Oberflächentopologie, die durch die mechanischen Teile auferlegt wird, muß dann durch geeignetes chemisch-mechanisches Polieren (CMP) oder mit anderen Mitteln beseitigt werden, um zu ermöglichen, daß die integrierte Schaltung hergestellt werden kann. Die Einschränkung durch die Entlastung bei hoher Temperatur, die zusätzlichen Kosten, die mit der Planarisation durch CMP verknüpft sind, und das Erfordernis, die integrierte Schaltung nach dem mechanischen Teilen herzustellen, sind bei vorhandenen Prozessen wesentliche Einschränkungen.
  • Die Integration sich bewegender mechanischer Teile, der steuernden, abfühlenden oder ankoppelnden integrierten Schaltungen und manchmal der mit Hochspannung arbeitenden integrierten Schaltungen, die zu einem leistungsfähigen Antrieb der mechanischen Teile in der Lage sind, ist bisher durch die Hochtemperaturentlastung von Polysilicum bei Temperaturen, die 1000°C überschreiten, beschränkt worden.
  • Beispiele einschränkender Hochtemperatur-Entlastungsprozesse für Polysilicium bei Temperaturen, die 1000°C überschreiten.
  • Modularer MEMS-Prozeß von Analog Devices
  • Ein erstes gut bekanntes Beispiel eines einschränkenden Hochtemperatur-Entlastungsprozesses ist der modulare MEMS-Prozeß von Analog Devices, der die Integration eines 6 μm dicken, sich bewegenden strukturellen Materials aus Polysilicium und eines mit 5 V arbeitenden, 0.8 μm dicken CMOS-Prozesses für das Steuern, Abfühlen und Ankoppeln von Funktionen umfaßt. Ein Beispiel dieses Prozesses ist in der folgenden Referenz beschrieben: Moorthi Palaniapan, Roger T. Howe, John Yasaitis, „Integrated Surface-Micromachined Z-axis Frame Microgyroscope (Integriertes, oberflächenmikrobearbeitetes Mikrogyroskop mit Z-Achsen-Rahmen)", International Electron Device Meeting 2002, San Fransico, 8.-11. Dezember 2002, Sitzung 8: Detektoren, Sensoren und Anzeigen – Jüngste Fortschritte bei auf Trägheit beruhenden und biologischen MEMS (Detectors, Sensors and Displays – Recent advances in inertial and biological MEMS). Diese Referenz zeigt, daß der modulare MEMS-Prozeß von Analog Devices eine Hochtemperatur-Entlastung einer 6 μm dicken, sich bewegenden, strukturellen Schicht aus Polysilicium, welche die mechanischen Teile bildet, verwendet. Anschließend an diese Hochtemperatur-Entlastung wird eine dicke ausgewählte epitaxiale Siliciumschicht epitaxial wachsen gelassen und als ein Substrat für die integrierte Schaltung verwendet, die hergestellt wird, nachdem ein chemisch-mechanisches Polieren, CMP, durchgeführt wird, um so eine glatte Oberfläche zu erlangen. Das epitaxiale Abscheiden von Silicium wird typischerweise bei einer Temperatur von 1000–1200°C durchgeführt und führt dann zu einer weiteren Entlastung des strukturellen Polysiliciums. Die Herstellung der integrierten Schaltung in diesem gewachsenen und polierten epitaxialen Silicium wird zu hochentwickelten MEMS führen, so wie Beschleunigungsmessern und Mikrogyroskopen von Analog Device.
  • Der modulare MEMS-Prozeß von Analog Devices, der in 1 gezeigt ist, ist durch die folgenden Anforderungen gekennzeichnet:
    • a. Die integrierte Schaltung muß nach den mechanischen Teilen mit hoher Oberflächentopologie hergestellt werden. Da anspruchsvolle integrierte Schaltungen sehr feine Strukturen umfassen, die in ein glattes Substrat eingearbeitet werden, wobei Photoapparatur mit kurzer Fokusschärfe verwendet wird, muß anschließend an die Mikrobearbeitung dieser mechanischen Teile eine glatte Oberflächenbeschaffenheit erreicht werden.
    • b. Entlastung bei hoher Temperatur muß nach der Herstellung des MEMS bei dem strukturellen Polysilicium durchgeführt werden, während des epitaxialen Wachstums des Substrats, das für den Teil der integrierten Schaltung verwendet werden soll.
    • c. Nach dem epitaxialen Wachstum ist ein Schritt des CMP erforderlich, um die erforderliche Planarität und Oberflächenbeschaffenheit zu erreichen.
    • d. Die integrierte Schaltung muß nach dem CMP in den polierten epitaxialen Siliciumbereichen hergestellt werden.
  • Der modulare MEMS-Prozeß von Analog Devices erfordert den Einsatz eines Entlastungsschrittes bei hoher Temperatur für die MEMS-Strukturen während des epitaxialen Wachstums des Substrats, das für den Teil der integrierten Schaltung verwendet werden soll. Dies wiederum erfordert die Verwendung von CMP und die Herstellung der integrierten Schaltung nach der Herstellung der mechanischen Teile.
  • Der MEMS-Prozeß von SAIT
  • Ein zweites Beispiel eines solchen einschränkenden Hochtemperatur-Entlastungsprozesses ist der MEMS-Prozeß des Samsung Advanced Institute of Technology (SAIT), der die Integration eines doppelten Polysilicium-MEMS-Bauteils mit einer standardmäßigen integrierten Schaltung umfaßt. Ein Beispiel dieses Prozesses ist in der folgenden Referenz gezeigt: Y B Gianchandani, H Kim, M Shinn, B Ha, B Lee, K Najafi und C Song, „A fabrication process for integrating polysilicon microstructures with post-processed CMOS circuits (Ein Herstel lungsprozeß zum Integrieren von Polysilicium-Mikrostrukturen mit nachbearbeiteten CMOS-Schaltungen)", M. Micromech. Microeng. 10 (2000) 380-386. Diese Referenz zeigt, daß der MEMS-Prozeß von SAIT einen Graben verwendet, der erzeugt wird, indem KOH verwendet wird, um in Polysilicium Ausnehmungen der mechanischen Teile zu vertiefen, die durch eine Oxid/Nitrid-Deckschicht während des Erzeugens der integrierten Schaltung NACH der Herstellung der mechanischen Teile geschützt wird. Eine eintreibende Diffusion der p-Dotierung bei 1200°C und über 16 Stunden wird als eine Entlastung des mikrostrukturellen Siliciums eingesetzt, um so ein hochentwickeltes MEMS zu erhalten. Der MEMS-Prozeß von SAIT ist in 2 gezeigt und weist die folgenden Schritte auf:
    • a. Erzeuge einen Graben, indem KOH und eine thermische Oxidmaske verwendet wird, scheide Isolationsschichten aus Oxid und Nitrid am Boden ab, scheide erste Polysiliciumschicht ab und strukturiere diese.
    • b. Scheide eine erste Opfer-Oxidschicht ab und strukturiere diese, scheide eine mikrostrukturelle (zweite) Polysiliciumschicht ab und strukturiere diese. Bei beiden Schritten werden die Filme von dem Bereich der CMOS-Schaltung abgestreift, bevor die Schichten an dem Boden des Grabens bemustert werden.
    • c. Scheide ein zweites Opferoxid ab und strukturiere dieses, scheide eine dritte Polysiliciumschicht ab und strukturiere diese.
    • d. Streife das Nitrid von den nicht ausgenommenen Bereichen ab und strukturiere die obere Kappenschicht, welche die MEMS-Teile schützt. Führe den vollständigen CMOS-Prozeß bis zur metallischen Verbindungsschicht aus. Die p-Dotierung, gefolgt von einer eintreibenden Diffusion, die bei 1200°C über 16 h in einer Umgebung aus Stickstoff und Sauerstoff durchgeführt wird, stellt die Entlastung des mikrostrukturellen Polysiliciums sicher.
    • e. Öffne Kontaktlöcher in den MEMS-Bereichen, scheide Aluminium für die Schaltungsverbindung und die Leitungsübergänge zwischen der Schaltung und den MEMS-Bereichen ab und strukturiere diese.
    • f. Bedecke die Aluminummetallisierung mit einer Schutzschicht, beseitige die schützende Deckschicht von den MEMS-Bereichen, ätze alle Opferschichten in BHF, beseitige die Schutzschicht von den CMOS-Bereichen.
  • Der SAIT-Prozeß, der in der 2 gezeigt ist, ist dann durch die folgenden Anforderungen gekennzeichnet:
    • a. Er erfordert auch, daß die integrierte Schaltung nach dem mechanischen Teilen mit hoher Oberflächentopologie erzeugt wird. Diese integrierte Schaltung wird wenigstens 100 μm entfernt von dem ausgenommenen Bereich hergestellt, in dem sich die mechanischen Teile befinden. Alle Schichten, die die integrierte Schaltung bilden, werden von dem ausgenommenen Bereich entfernt, wobei die schützende Deckschicht aus Oxid/Nitrid als Ätzstopp und Schutz der mechanischen Teile verwendet wird. Das Beschränken der integrierten Schaltung auf den ebenen Bereich des Siliciumwafers und entfernt von dem ausgenommenen Bereich beseitigt das Problem der Fokusschärfe.
    • b. Er erfordert auch die Entlastung des strukturellen Polysilciums bei hohen Temperaturen. Dies wird bei 1200°C über 16 Stunden während der eintreibenden Diffusion der p-Dotierung nach dem Herstellen des MEMS durchgeführt.
    • c. Er erfordert kein CMP, um die erforderliche Planarität und Oberflächbeschaffenheit zu erlangen, da die integrierte Schaltung wenigstens 100 μm entfernt von dem ausgenommenen Bereich erzeugt wird, in dem sich die mechanischen Teile befinden, und da die Verbindungen zwischen der integrierten Schaltung und den mechanischen Teilen über dem abgeschrägten Bereich ausgeführt werden, was durch das Ätzen mit KOH erreicht wird.
    • d. Er erfordert, daß die integrierte Schaltung nach dem mechanischen Teilen erzeugt wird, in die horizontalen Bereiche hinein, entfernt von dem ausgenommenen Bereich, in dem sich die mechanischen Teile befinden.
  • Der MEMS-Prozeß des SAIT erzwingt auch den Einsatz einer Entlastung der MEMS-Strukturen bei hohen Temperaturen, bei 1200°C über 16 Stunden während der eintreibenden Diffusion der p-Dotierung der integrierten Schaltung, und erfordert die Erzeugung der integrierten Schaltung NACH den mechanischen Teilen.
  • Der IMEMS-Prozeß von Sandig
  • Ein drittes Beispiel eines solchen einschränkenden Hochtemperatur-Entlastungsprozesses ist der Prozeß für integrierte mikroelektromechanische Systeme (IMEMS – Integrated Micro Electromechanical Systems) der Sandia National Laboratories, der die Integration eines MEMS-Bauteils, das wenigstens zwei Ebenen aus Polysilicium enthält, mit einer integrierten Schaltung umfaßt. Ein Beispiel dieses Prozesses ist in der folgenden Referenz gezeigt: J.H. Smith, S. Montague, J.J. Sniegowski, J.R. Murray und P.J. McWhorter, „Embedded micromechanical devices for the monolithic integration of MEMS with CMOS (Eingebettete mikromechanische Bauteile für die monolithische Integration von MEMS mit CMOS)", IEDM 1995 Proceedings, Seiten 609-612. Diese Referenz zeigt, daß der MEMS-Prozeß von Sandig einen Graben verwendet, der erzeugt wird, indem KOH eingesetzt wird, um die mechanischen Teile in einem flachen Graben auszunehmen, das Polieren des Wafers unter Einsatz des CMP erfordert und das Versiegeln der mechanischen Teile in dem Graben erfordert, wobei eine Siliciumnitridschicht verwendet wird. Eine Entlastung bei hoher Temperatur wird durchgeführt, nachdem die mechanischen Teile in dem Graben eingebettet sind, vor dem Verarbeiten herkömmlicher CMOS-, bipolarer oder BiCMOS-Prozesse. Diese Entlastung des mikrostrukturellen Polysilicium bei hoher Temperatur stellt sicher, daß die anschließende thermische Vorgabe bei der Bearbeitung der CMOS, der bipolaren Schaltungen oder der BiCMOS die mechanischen Eigenschaften des Polysiliciums nicht beeinflussen wird und ein arbeitendes IMEMS erhalten wird. Der IMEMS-Prozeß von Sandia, der in der 3 gezeigt ist, ist dann durch das folgende gekennzeichnet:
    • a. Er erfordert, daß die CMOS-, die bipolaren oder die BiCMOS-Bauteile nach den mechanischen Teilen mit hoher Oberflächentopologie erzeugt werden. Wiederum, da anspruchsvolle integrierte Schaltungen sehr feine Strukturen umfassen, die in eine glatte Oberfläche eingearbeitet werden, wobei eine Photoapparatur mit kurzer Fokusschärfe verwendet wird, muß anschließend an die Mikrobearbeitung dieser mechanischen Teile ein planares Substrat mit glatter Oberflächenbeschaffenheit verwirklicht werden.
    • b. Er erfordert eine Entlastung des strukturellen Polysiliciums bei hoher Temperatur. Diese wird nach der Herstellung des MEMS und vor der Bearbeitung der CMOS, der bipolaren Strukturen oder der BiCMOS durchgeführt.
    • c. Er erfordert, daß nach dem Erzeugen des MEMS und vor dem Bearbeiten der CMOS, der bipolaren Strukturen oder der BiCMOS ein CMP durchgeführt wird.
    • d. Er erfordert, daß die CMOS, die biopolaren Strukturen oder die BiCMOS nach dem CMP in die polierten Siliciumbereiche eingearbeitet werden.
  • Der IMEMS-Prozeß von Sandia erfordert auch den Einsatz einer Entlastung der MEMS-Strukturen bei hoherer Temperatur vor der Bearbeitung der integrierten Schaltung, er erfordert den Einsatz des CMP und erfordert die Erzeugung der integrierten Schaltung nach den mechanischen Teilen.
  • Der PolyMUMPs-Prozeß von MEMSCAP:
  • Ein viertes Beispiel eines solchen einschränkenden Prozesses ist der Polysilicium-Mehrfachnutzer-MEMS-Prozeß, MUMP – Mulit-User MEMS Process, von MEMSCAP gemäß der folgenden Referenz: David A. Koester, Allen Cowen, Ramaswamy Mahadevan und Busbee Hardy, „PolyMUMPs design handbook, revision 8.0", 2002. Dieser die Oberflächen mikrobearbeitende Prozeß verwendet drei Entlastungen von mit Phosphor dotiertem Polysilicium bei hoher Temperatur, nämlich:
    • a. Eine Entlastung eines 0.5 μm dicken Poly 0 bei hoher Temperatur, während der die Phosphoratome einer 2.0 μm dicken Phosphosilicatschicht bei 1050°C eine Stunde lang in Argon eindiffundiert werden.
    • b. Eine Entlastung eines 2.0 μm dicken Poly 1 bei hoher Temperatur, während der die Phosphoratome einer 0.2 μm dicken Phosphosilicatschicht bei 1050°C eine Stunde lang in Argon eindiffundiert werden.
    • c. Eine Entlastung aus 1.5 μm dicken Poly 2 bei hoher Temperatur, während der die Phosphoratome einer 0.2 μm dicken Phosphorcilicatschicht bei 1050°C eine Stunde in Argon eindiffundiert werden.
  • Der MUMPs-Prozeß von MEMSCAP, der in 4 gezeigt ist, ist dann durch das folgende gekennzeichnet:
    • a. Er erfordert drei Hochtemperatur-Entlastungen bei jeweils 1050°C über 1 Stunde, um die drei Schichten aus Polysilicium zu dotieren und zu entlasten.
    • b. Wegen dieser drei aufeinanderfolgenden Dotier-Diffusionen und Entlastungen bei 1050°C jeweils über 1 Stunde würde jeder Versuch, in dem MUMPs-Prozeß eine integrierte Schaltung zu integrieren, erfordern, daß die integrierte Schaltung NACH dem MUMPs erzeugt wird, um so das Zerstören der Übergänge während solcher einschränkender Entlastungsschritte zu verhindern.
  • Der Oberflächenmikrobearbeitungsprozeß der Robert Bosch GmbH
  • Ein fünftes Beispiel eines solchen einschränkenden Hochtemperatur-Entlastungsprozesses ist der Oberflächenmikrobehandlungsprozeß der Robert Bosch GmbH, der in den folgenden drei Referenzen beschrieben ist: Horst Münzel, Michael Offenberg, Klaus Heyers, Bernhard Elsner, Markus Lutz, Helmut Skapa, Heinz-Georg Vossenberg, Nicholas Buchan, Eckhard Graf, USA-Patent 5 937 275 , „Method for producing acceleration sensors (Verfahren zum Erzeugen von Beschleunigungssensoren)", Robert Bosch GmbH, angemeldet am 9. Juli 1996 und erteilt am 10. August 1999; M. Furtsch, M. Offenberg, H. Muenzel, J.R. Morante, „Comprehensive study of processing parameters influencing the stress and stress gradient of thick polysilicon layers (Umfassende Studie der Prozeßparameter, die die Belastung und den Spannungsgradienten bei dicken Polysiliziumschichten beeinflussen)", SPIE Conference Proceedings 'Micromachining and microfabrication process technology III, conference proceedings', SPIE Band 3223, Seiten 130-141, Austin, Texas, 29.-30. September 1997.
    http://www.europractice.bosch.com/en/download/customer_support.pdf
  • Dieser Prozeß verwendet zwei Ebenen aus Polysilicium, nämlich:
    • a. Eine erste, 0.45 μm dicke Oberflächenpolysiliciumschicht, die bei 630°C durch chemische Gasphasenabscheidung bei niedrigem Druck (LPCVD – Low Pressure Chemical Vapor Deposition) abgeschieden, mit Antimon dotiert und bei 1000°C in einer Sauerstoffatmosphäre getempert wird, um das Dotiermittel Sb einzutreiben und zu aktivieren.
    • b. Eine zweite, 10.3 μm dicke strukturelle Epipoly-Schicht wird als eine 11.8 μm dicke Schicht mit einer Geschwindigkeit von 3.5 μm/Minute und bei einer Temperatur von 1180°C in einem Einzelwafer-Epitaxi-Reaktor, Modell E2, von ASM Epsilon One, abgeschieden, wobei ein Prozeß mit Trichlorsilan (SiHCI3), Wasserstoff (H2) und Phosphin (PH3) verwendet wird. Die sich ergebende, 11.8 μm dicke strukturelle Epipoly-Schicht hat eine sehr rauhe Oberfläche (Ra 260 nm), die für die weitere Bearbeitung nicht akzeptabel ist und somit den Einsatz des CMP erfordert, um die Dicke der strukturellen Epipoly-Schicht auf 10.3 μm und ihre Oberflächenrauhigkeit auf ungefähr 5 nm zu verringern.
    • c. Der Oberflächenmikrobearbeitungsprozeß der Robert Bosch GmbH, der in der 5 gezeigt ist, ist dann durch das folgende gekennzeichnet:
  • Er erfährt zwei Entlastungsschritte bei hoher Temperatur: einen ersten, bei 1000°C in einer Sauerstoffatmosphäre, um das Dotiermittel Sb einzutreiben und zu aktivieren; und einen zweiten, bei 1180°C, für das Abscheiden der strukturellen Epipoly-Schicht.
  • Wegen dieser zwei aufeinanderfolgenden Entlastungsschritte bei hoher Temperatur würde jeder Versuch, bei dem Oberflächenmikrobearbeitungsprozeß der Robert Bosch GmbH eine integrierte Schaltung einzuschließen, auch erfordern, daß die integrierte Schaltung NACH dem MEMS-Prozeß erzeugt wird, um so die Zerstörung der Übergänge während solcher einschränkender Wärmebehandlungen zu verhindern.
  • Der MEMS-Prozeß mit CMOS zuerst, Mikromechanik zuletzt von Sandig
  • Ein sechstes Beispiel eines solchen einschränkenden Entlastungsprozesses bei hoher Temperatur wird mit dem MEMS-Prozeß mit CMOS zuerst, Mikromechanik zuletzt, von Sandig berichtet, der die Integration eines MEMS-Bauteils mit einer integrierten Schaltung umfaßt, wobei herkömmliche Aluminiumverbindungen vollständig durch Wolframverbindungen ersetzt sind, um das Schmelzen der Aluminiumverbindungen zu verhindern und es zu ermöglichen, daß die integrierte Schaltung der Wärmebehandlung bei 1100°C über 3 Stunden widersteht, die für die Entlastung des mikrobearbeiteten Polysiliciums erforderlich ist. Ein Beispiel dieses Prozesses nur mit Wolfram ist in den folgenden drei Referenzen gezeigt: Stephen Montague, James H. Smith, Jeffrey. J. Sniegowski, Paul J. McWhorther, USA Patent 5 798 283 , „Method for integrating microelectromechanical devices with electronic circuitry (Verfahren zum Integrieren mikroelektromechanischer Bauteile mit elektronischer Schaltung)", Sandia National Laboratories, angemeldet am 6. September 1995 und erteilt am 25. August 1998; Carole C. Barron, James G. Flemming, Stephen Montague, USA Patent 5 963 788 , „Method for integrating microelectromechanical devices with electronic circuitry (Verfahren zum Integrieren mikroelektromechanischer Bauteile mit elektronischer Schaltung)", Sandia National Laboratories, angemeldet am 19. November 1997 als teilweise Fortführung der Anmeldung Nr. 08/524,700 ( USA Patent 5 798 283 ) und erteilt am 5. Oktober 1999; James H. Smith, Stephen Montague und Jeffrey J. Sniegowski, „Material and processing issues for the monolithic integration of microelectronics with surface-micromachined polysilicon sensors and actuators (Themen des Materials und der Verarbeitung für die monolithische Integration von Mikroelektronik mit oberflächenmikrobehandelten Sensoren und Antrieben aus Polysilicium)" Mikromachining and Microfabrication '95, SPIE, Oktober 95.
  • Diese Referenzen zeigen, daß der MEMS-Prozeß mit CMOS zuerst, Mikromechanik zuletzt von Sandia gemäß 4 die integrierte Schaltung vor den mechanischen Teilen erstellt, was somit die Oberflächentopologie verringert und das Erfordernis eines CMP beseitigt. Dies ist eine Verbesserung gegenüber den zuvor angesprochenen Referenzen des Standes der Technik, jedoch, anschließend an das Herstellen der integrierten Schaltung, erfordert dieser Prozeß weiter eine sehr einschränkende Entlastung mit einer Dauer von 3 Stunden und bei 1100°C für das strukturelle Polysilicium. Um die thermische Stabilität der integrierten Schaltung zu verbessern, sind Aluminiumverbindungen vollständig durch 1.0 μm dicke Wolframverbindungen mit niedriger Belastung ersetzt worden, die durch chemische Gasphasenabscheidung, CVD (Chemical Vapor Deposition) abgeschieden worden sind. Um das Wolfram an den Kontaktstellen von dem darunterliegenden Silicium zu trennen, wird ein Stapel aus Adhäsionsschicht/Diffusionsbarriere mit 15 nm selektivem Titaniumsilicid, TiSi, gefolgt von 50 nm Titannitrid, TiN, verwendet. Wenn eine Wolframmetallisierung über dem Feldoxid abgeschieden wird, wird nur die Schicht aus TiN verwendet. Da es schwierig ist, Aluminium- oder Gold-Anbindedrähte an Wolfram zu binden, werden Bindeanschlußflächen gebildet, indem das strukturelle Polysilicium, das auf einer Diffusionsbarriere aus 50 nm TiN und einem Mikrometer Wolfram abgeschieden wird, verwendet wird. Der Prozeß ist instabil, da die Druckbelastung und die Oberflächenrauhigkeit der Wolframfilme Verarbeitungsschwierigkeiten hervorrufen, so wie das Delaminieren oder Abheben der Anschlußflächen und photolithographische Instabilitäten bei Projektionsstufen. Ein manuell ausgerichteter Kontaktausrichter muß verwendet werden; die Diffusionsbarriere aus TiN versagt gelegentlich während der Entlastung des strukturellen Polysiliciums über 3 Stunden bei 1100°C, was somit poröses WTiSi und WSi an der Basis der Kontakte bildet; und das Herausdiffundieren von Bor aus den p+-Quellen/Senken-Dotierung in Silicium bewirkt eine starke Leistungssverschlechterung der p-Kanal-Bauteile aufgrund eines Anwachsens des Kontaktwiderstandes zwischen Wolfram und dem Silicium vom p-Typ während der Entlastung des strukturellen Polysiliciums bei 1100°C während der 3 Stunden.
  • Wegen der Probleme, denen man beim Versuch, diese Technologie in eine Herstellungsanlage zu überführen, gegenübersteht, entschied sich Sandia, neben dem MEMS-Prozeß mit CMOS zuerst, Mikromechanik zuletzt, mit nur Wolfram, der die Entlastung mit den erforderlichen 3 Stunden Dauer und 1100°C des strukturellen Polysiliciums umfaßt, weitere Ansätze zu versuchen.
  • An diesem Punkt wird deutlich, daß die einschränkende Entlastung bei hoher Temperatur in den verschiedenen oben beschriebenen bekannten MEMS-Prozessen die Integration der integrierten Schaltung VOR den mikrobearbeitenden Schritten verhindert und jeden Typ einer modularen Integration dieser mikrobearbeitenden Schritte über der integrierten Schaltung verhindert.
  • Es wird deutlich, daß jedwede Szenarios für die Integration dieser mikrobearbeitenden Schritte über einer integrierten Schaltung es erfordern, die strukturellen, auf Silicium basierenden Schichten bei einer viel niedrigeren Temperatur als 1000°C zu entlasten. Das folgende wird die bekannten Techniken sichten, die verwendet werden, um die Entlastungstemperatur der abgeschiedenen Siliziumfilme zu verringern.
  • Die Techniken, die verwendet werden, um die Temperatur beim Entlasten der abgeschiedenen Siliziumfilme zu verringern.
  • Prozeß für gering belastetes Polysilicium der University of Michigan
  • Ein erstes Beispiel einer Technik, die verwendet wird, um die Entlastungstemperatur nicht dotiertes Siliciumfilme zu verringern, wird durch die folgenden Veröffentlichungen der University of Michigan zur Verfügung gestellt: Khalil Najafi und Carlos H. Mastrangelo, „Solidstate microsensors and smart structures (Festkörper-Mikrosensoren und geschickte Strukturen)", 1993 IEEE Ultrasonics Symposium, Seiten 341-350; Carlos H. Mastrangelo und William C. Tang, „Surface-micromachined capacitive differential Pressure sensor with lithographically defined silicon diaphragm (Oberflächenmikrobehandelter kapazitiver differentieller Drucksensor mit lithographisch definierter Siliciummembran)", Journal of Microelectromechanical Systems, Band 5, Nr. 2, Juni 1996; P.-C. Hsu, C.H. Mastrangelo und K.D. Wise, „A high sensitivity polysilicon diaphgram condenser microphone (Hochempfindliches Kondensatormikrofon mit Membran aus Polysilicium)", MEMS-Konferenz 1998, Heidelberg, Deutschland, 25.-29. Januar 1998; B.P. Gogoi und C.H. Mastrangelo, „Force Balanced Micromachined Pressure Sensors (Kraftausgeglichene mikrobearbeitete Drucksensoren)", IEEE Transactions an Electron Devices, IEEE Trans. Electron Dev., Dezember 1999; B.P. Gogoi und C.H. Mastrangelo, „A low voltage forced balanced Pressure sensor with hermetically sealed servomechnism (Kraftausgeglichener Niederspannungs-Drucksensor mit hermetisch abgedichtetem Servomechanismus)", IEEE MEMS '99 Konferenz, Seiten 493-498, Orlando, Florida, Januar 1999; Kun Wang und Clark T.-C. Nguyen, „High-Order Medium Frequency Micromechanical Electronic Filters (Mikromechanische elektronische Mittelfrequenz-Filter hoher Ordnung)", Journal of micro electromechanical systems, Band 8, Nr. 4, Dezember 1999; Robert D. White, Karl Grosh, „Design and characterization of a MEMS piezoresistive cochlear-like acoustic sensor (Gestaltung und Kennzeichnung eines akustischen, schneckenartigen Piezowiderstandssensors eines MEMS)", Proceedings of IMECE '02, 2002 ASME International Mechanical Engineering Congress und Exposition, New Orleans, Louisiana, 17.-22. November 2002.
  • Diese Technik beschreibt das Abscheiden eines wenig belasteten, nicht dotierten Polysiliciums bei einem Druck von 160 mTorr und bei einer Temperatur von ungefähr 590–610°C. Dieses nicht dotierte Polysilicum ist elektrisch nicht leitend und ein Dotieren mit Phosphor bei einer Energie von 100 keV und mit einer Dosis von ungefähr 1–2 E16/cm2, gefolgt von einem Tempern bei 950°C über einige Stunden in Stickstoff ist erforderlich, um die Phosphor- Dotierung zu aktivieren und seine elektrische Leitfähigkeit zu erhöhen. Diese Entlastung bei hoher Temperatur erlaubt es, daß die mechanische Belastung des elektrisch leitenden Polysiliciums auf ungefähr 25 MPa verringert werden kann, beschränkt jedoch wiederum die Integration über einer integrierten Schaltung.
  • Als Alternative beschreibt diese Technik das Abscheiden eines nicht dotierten Polysiliciumfilms bei einer Temperatur von 588°C, was zu einem nicht leitenden Polysilicium mit einer Zugfestigkeit im Abscheidezustand von weniger als 100 MPa führt. Wiederum verringern das Dotieren mit Phosphor bei einer Energie von 50 keV und mit einer Dosis von ungefähr 7 E15/cm2, gefolgt von einer einstündigen Aktivierung von Phosphor bei 1050°C und Entlastung in Stickstoff, die Restspannungen so weit wie möglich.
  • Diese Technik erfordert dann ein Dotieren nach dem Abscheiden und eine wenige Stunden dauernde Entlastung bei 950°C und eine Aktivierung oder eine einstündige Entlastung bei 1050°C und Aktivierung des abgeschiedenen Polysiliciums. Die wenige Stunden dauernde Entlastung bei 950°C und die Aktivierung sind marginal besser als die, die mit den oben beschriebenen Referenzen des Standes der Technik verknüpft sind, und wiederum würde jeder Versuch, eine integrierte Schaltung bei dem Oberflächenmikrobearbeitungsprozeß der University of Michigan zu integrieren, auch erfordern, daß die integrierte Schaltung NACH dem MEMS-Prozeß erzeugt wird, um so die Zerstörung der Übergänge während solcher einschränkender Wärmebehandlungen zu verhindern.
  • Wenig belastetes, nicht dotiertes, poröses Polysilicium nach UC Berkley
  • Ein zweites Beispiel einer Technik, die verwendet wird, um die Entlastungstemperatur von Siliciumfilmen zu verringern, wird durch die folgenden Veröffentlichungen der UC Berkley zur Verfügung gestellt: K.S. Lebouitz, A. Mazaheri, R.T. Howe und A.P. Pisano, „Vacuum Encapsulation of Resonant Devices Using Permeable Polysilicon (Vakuumverkapselung resonanter Bauteile unter Verwendung von permeablem Polysilicium)", Proc. 12th International IEEE Conference an Micro Electro Mechanical Systems (MEMS '99), Orlando, FL, Seiten 470-5; Jeffrey D. Zahn, David Trebotich und Dorian Liepmann, „Microfabricated microdialysis microneedles for continuous medical monitoring (Mikroerzeugte Mikrodialysenadeln für die kontinuierliche medizinische Überwachung)", 1st Annual International IEEE-EMBS Special Topic Conference an Microtechnologies in Medicine & Biology 1, 12.-14. Oktober 2000, Lyon, Frankreich; John McCaslin Heck, „Polycrystalline silicon germanium for fabrication, release and packaging of micro electromechanical Systems (Polykristallines Silicium-Germanium für das Erzeugen, Entlasten und Packen mikroelektromechanischer Systems)", Doktorarbeit, U.S. Berkeley, Frühjahr 2001; G.M. Dougherty, T. Sands und A. Pisano „The Materials Science of 'Permeable Polysilicon' Thin Films (Die Materialwissenschaft von Dünnfilmen aus permeablem Polysilicium')", Symposium B: Materials Science of Microelectromechanical Systems (MEMS) Devices IV, MRS Fall 2001 Meeting, Boston, MA, 27. November 2001, Materials Research Society Band 687; http://www-bsac.eecs.berkeley.edu\~gmd\perm_page.html.
  • Diese Publikationen zeigen, daß ein nicht dotiertes, permeables Polysilicium, das bei 597°C abgeschieden worden ist, 125 sccm (Standard – cm3)SiH4, und bei 555 mTorr, gekennzeichnet ist durch eine niedrige Restspannung aufgrund von Porendefekten (5–20 nm breit) zwischen den Polysilicium-Körnern. Dieses permeable Polysilicium kann auch bei 605°C, mit 250 sccm SiH4 und 550 mTorr abgeschieden werden. 7 zeigt die Restspannung und Oberflächenstrutur des nicht dotierten permeablen Polysiliciums. Um dieses wenig belastete, nicht dotierte, permeable Polysilicium bei strukturellen MEMS-Anwendungen einzusetzen, ist es wiederum notwendig, es bei einer hohen Temperatur in dem Bereich zwischen 950°C und 1050°C zu dotieren und zu aktivieren, um die Dotiermittel zu aktivieren und ein wenig belastetes, leitendes, permeables Polysiliciummaterial zu erlangen. Dieser beschriebene Prozeß, dotiertes permeables Polysilicium zu erlangen, würde nicht besser sein als der Oberflächenmikrobearbeitungsprozeß der University of Michigan und würde die Entlastungstemperatur des abgeschiedenen leitenden Siliciummaterials nicht wesentlich verringern.
  • Gering belastetes, rekristallisiertes Polysilicium der U. of Wisconsin
  • Ein drittes Beispiel einer Technik, die verwendet wird, um die Entlastungstemperatur nicht dotierter Siliciumfilme herabzusetzen, wird durch die folgenden Publikationen der U. of Wisconsin zur Verfügung gestellt: Henry Guckel und David W. Burns, USA-Patent 4 897 360 „Polysilicon thin film process (Polysilicium-Dünnfilmprozeß)", Wisconsin Alumni Research Foundation, angemeldet am 9. Dezember 1987 und erteilt am 30. Januar 1990; Henry Guckel und Jeffry Sniegowski, USA-Patent 5 090 254 , „Polysilicon resonating beam transducer (Resonante Strahltransducer aus Polysilicium)", Wisconsin Alumni Research Foundation, angemeldet am 11. April 1990 und erteilt am 25. Februar 1992; Henry Guckel und Jeffry Snie gowski, USA-Patent 5 188 983 , „Polysilicon resonating beam transducers and method of producing the same (Resonante Strahltransducer aus Polysilicium und Verfahren zum Herstellen derselben)", Wisconsin Alumni Research Foundation, angemeldet am 3. Januar 1992 und erteilt am 23. Februar 1993.
  • Diese genannten Patente des Standes der Technik schützen eine Technik, um einen gering belasteten, nicht dotierten, rekristallisierten, auf Silicium basierenden Film zu bilden. Dieser Film wird bei einer Temperatur von ungefähr 591°C durch chemische Gasphasenabscheidung bei niedrigem Druck, LPCVD (Low Pressure Chemical Vapor Deposition) abgeschieden, wobei eine 100% reine Silanumgebung bei einem Druck von ungefähr 300 mTorr verwendet wird, was zu einer typischen Abscheidegeschwindigkeit von 6.8 nm/Minute führt. Der 2.0 μm dicke, abgeschiedene, nicht dotierte Film zeigt zwei Phasen: eine erste Phase, die sich zwischen dem Substrat und dem Filminneren befindet, gekennzeichnet durch ein nicht dotiertes polykristallines Material mit Körnern zwischen 30 nm bis 300 nm ohne meßbare bevorzugte Ausrichtung, und eine zweite Phase, die sich oberhalb der ersten polykristallinen Phase befindet und sich zur Filmoberfläche hinauf erstreckt, gekennzeichnet durch ein nicht dotiertes amorphes Material mit einer gemessenen Oberflächenrauhigkeit, die so gering wie 0.8 nm effektiv ist. Die mechanische Belastung dieses zweiphasigen abgeschiedenen nicht dotierten Films ist typischerweise 300 MPa auf Druck und kann mittels einer Rekristallisation in vorbestimmte Zugspannungswerte umgewandelt werden, sobald eine Entlastung in Stickstoff nach dem Abscheiden eingesetzt wird, während der sich die nicht dotierte amorphe Phase in die nicht dotierte kristalline Phase umwandelt. Eine Entlastung über 60 Minuten bei 835°C in Stickstoff erzeugt einen einphasigen, rekristallisierten, nicht dotierten, polykristallinen Film mit einer endgültigen Zugfestigkeit von 130 MPa und ohne meßbaren Zuwachs in der Oberflächenrauhigkeit. Eine niedrigere Entlastungstemperatur führt zu einem rekristallisierten, nicht dotierten Film mit einer Zugfestigkeit, die so gering wie 20 MPa ist. Diese gering mechanisch belasteten, rekristallisierten, nicht dotierten Filme sind weiterhin nicht leitend. Das Dotieren dieser nicht dotierten rekristallisierten Filme nach dem Abscheiden erfordert Diffusion und Aktivierung bei einer hohen Temperatur, die mit einer möglicherweise darunterliegenden, auf Aluminium basierenden integrierten Schaltung nicht verträglich ist.
  • Gering belastete geschichtete Polysiliciumstrukturen der Siemens Aktiengesellschaft Ein viertes Beispiel einer Technik, die verwendet wird, um die Entlastungstemperatur von Siliciumfilmen zu erniedrigen, wird durch die folgenden Veröffentlichungen der Siemens Aktiengesellschaft zur Verfügung gestellt: Markus Biebl, USA-Patent 5 753 134 , „Method for producing a layer with reduced mechanical stresses (Verfahren zum Erzeugen einer Schicht mit verringerten mechanischen Spannungen)", Siemens Aktiengesellschaft, angemeldet am 23. November 1994 und erteilt am 19. Mai 1998.
  • Dieses genannte Patent des Standes der Technik schützt eine Technik, eine nicht dotierte, auf Silicium basierende laminierte Struktur mit einer verringerten mechanischen Belastung zu bilden. Wie es in der 8 gezeigt ist, könnte diese wenig belastete, nicht dotierte, laminierte Struktur 30 abgeschieden werden (jeweils in der Reihenfolge von der darunterliegenden Opferschicht zu der oberen Fläche), indem ein erstes druckbelastetes, nicht dotiertes Hochtemperatur-Polysilicium 23, eine 0.1 bis 3 nm dicke Hilfsschicht 24 aus SiO2 (erzeugt beispielsweise durch eine Wartezeit in der Atmosphäre), eine zweite zugbelastete, nicht dotierte, amorphe Niedertemperatur-Siliciumschicht 25, eine zweite 0.3 bis 3 nm dicke Hilfsschicht 26 aus SiO2 und eine dritte druckbelastete nicht dotierte Hochtemperatur-Polysiliciumschicht 27, eine dritte 0.3 bis 3 nm dicke Hilfsschicht 28 aus SiO2 und eine vierte zugbelastete amorphe, nicht dotierte Niedertemperatur-Siliciumschicht 29 verwendet werden. Die Hilfsschichten 24, 26, 28 aus SiO2 stellen sicher, daß kein epitaxiales Wachstum auftritt, wenn das nicht dotierte amorphe Silicium über dem nicht dotiertem Polysilicium wächst.
  • Dieses genannte Patent des Standes der Technik offenbart, daß die nicht dotierten Schichten 23, 25, 27, 29 mit individueller Dicke, die im Bereich zwischen 50 nm und 1 μm liegt, dotiert und bei hoher Temperatur aktiviert werden müssen, indem schnelle thermische Aktivierung, RTA (Rapid Thermal Activation), bei ungefähr 1000°C nach dem Abscheiden der entsprechenden Schichten eingesetzt wird, um den elektrischen Widerstand der laminierten Struktur 30 zu verringern. Anschließend an diese vier RTAs werden die zweite amorphe nicht dotierte Siliciumschicht 25 und die vierte amorphe nicht dotierte Siliciumschicht 29 in dotiertes polykristallines Silicum umgewandelt, ähnlich wie bei den dotierten Polysiliciumschichten 23 und 27. Um sicherzustellen, daß die laminierte Struktur 30 einen Folienwiderstand hat, der einer einzelnen dotierten Polysiliciumschicht mit derselben Dicke entspricht, sollten diese vier Dotierungen und die vier RTA-Schritte bei hoher Temperatur die Hilfsschichten 24, 26, 28 aus SiO2 aufbrechen. Wieder sind die erforderlichen vier RTA-Schritte bei einer Temperatur von ungefähr 1000°C zu einschränkend und erlauben keine Integration des MEMS NACH der integrierten Schaltung.
  • Gering belastete geschichtete Polysiliciumstrukturen der Case Western Reserve University
  • Ein fünftes Beispiel einer Technik, die verwendet wird, um die Entlastungstemperatur von Siliciumfilmen zu verringern, wird durch die folgenden Veröffentlichungen der Case Western Reserve University zur Verfügung gestellt: Arthur H. Heuer, Harold Kahn und Jie Yang, USA-Patent 6 268 068 , „Low stress polysilicon film and method for producing same (Gering belasteter Polysiliciumfilm und Verfahren zum Erzeugen desselben)", Case Western Reserve University, angemeldet am 1. März 1999 und erteilt am 31. Juli 2001; Arthur H. Heuer, Harold Kahn und Jie Yang, USA-Patent 6 465 045 , „Low stress polysilicon film and method for producing same (Geringbelasteter Polysiliciumfilm und Verfahren zum Erzeugen desselben)", Case Western Reserve University, angemeldet am 18. Oktober 2000 und erteilt am 15. Oktober 2002; Arthur H. Heuer, Harold Kahn, Jie Yang und Stephen M. Phillips, USA-Patent 6 479 166 , „Large area polysilicon films with predetermined stress characteristics and method for producing same (Großflächige Polysiliciumfilme mit vorbestimmten Belastungseigenschaften und Verfahren und Erzeugen derselben)", Case Western Reserve University, angemeldet am 1. Mai 2000 und erteilt am 12. November 2002; Jie Yang, Harold Kahn, An-Qiang He, Stephen M. Phillips und Arthur H. Heuer, „A new technique for producing large-area asdeposited zero-stress LPCVD polysilicon films: The multipoly process (Eine neue Technik zum Erzeugen großflächiger abgeschiedener belastungsfreier Polysiliciumfilme mittels LPCVD: Der Multipoly-Prozeß)", IEEE Journal of micro electromechanical systems, Band 9, Nr. 4, Dezember 2000, Seiten 485-494.
  • Diese drei genannten Patente des Standes der Technik und diese Veröffentlichung beschreiben eine Technik, die dem oben zitierten Patent der Siemens Aktiengesellschaft ähnlich ist, welche zur Herstellung von wenig belasteten laminierten Strukturen führt, die die aufeinanderfolgende Kombination von zugbelasteten, amorphen (oder keramischen), nicht dotierten Niedertemperatur (570°C oder darunter)-Siliciumschichten und von druckbelasteten, nicht dotierten Hochtemperatur (650°C)-Polysiliciumschichten umfaßt. In diesem Fall jedoch werden die Hilfsschichten aus SiO2 nicht dazu verwendet, die Wechselwirkung der oberen amorphen (oder keramischen) nicht dotierten Schichten mit den darunterliegenden nicht dotierten Polysiliciumschichten zu verhindern. Tatsächlich integriert diese Technik des genannten Standes der Technik alle Schichten in einem einzigen Abscheidelauf, ohne Kontakt mit der Atmosphäre.
  • Wie in 9 zu sehen ist, ist ein Beispiel einer derartigen wenig belasteten laminierten Struktur, welche zugbelastete (ungefähr +200 MPa), nicht dotierte, amorphe Siliciumschichten und druckbelastete (ungefähr –200 MPa), nicht dotierte Polysiliciumschichten kombiniert, eine 3.0 μm dicke laminierte Struktur mit einer mechanischen Zugbelastung insgesamt von nur 7 MPa, wobei die folgenden neun (9) Schichten verwendet werden:
    • a. Ein 59 Minuten dauerndes Abscheiden einer keramischen, nicht dotierten Polysiliciumschicht bei 570°C, gefolgt von einem 25 minütigen Heizen, um 615°C zu erreichen;
    • b. Ein 54 Minuten dauerndes Abscheiden einer säulenförmigen, nicht dotierten Polysiliciumschicht bei 615°C, gefolgt von einem 30 minütigen Abkühlen auf 570°C;
    • c. Ein 118 Minuten dauerndes Abscheiden einer keramischen, nicht dotierten Polysiliciumschicht bei 750°C, gefolgt von einem 25 minütigen Heizen, um 615°C zu erreichen;
    • d. Ein 54 Minuten dauerndes Abscheiden einer säulenförmigen, nicht dotierten Polysiliciumschicht bei 615°C, gefolgt von einem 30 minütigen Kühlen auf 570°C;
    • e. Ein 118 Minuten dauerndes Abscheiden einer keramischen, nicht dotierten Polysiliciumschicht bei 570°C, gefolgt von einem 25 minütigen Heizen, um 615°C zu erreichen;
    • f. Ein 54 Minuten dauerndes Abscheiden einer säulenförmigen, nicht dotierten Polysiliciumschicht bei 615°C, gefolgt von einem 30 minütigen Kühlen auf 570°C;
    • g. Ein 118 Minuten dauerndes Abscheiden einer keramischen, nicht dotierten Polysiliciumschicht bei 570°C, gefolgt von einem 25 minütigen Heizen, um 615°C zu erreichen;
    • h. Ein 54 Minuten dauerndes Abscheiden einer säulenförmigen, nicht dotierten Polysiliciumschicht bei 615°C, gefolgt von einem 30 minütigen Kühlen auf 570°C;
    • i. Ein 59 Minuten dauerndes Abscheiden einer keramischen, nicht dotierten Polysiliciumschicht bei 570°C.
  • Dieses zitierte Patent des Standes der Technik gibt an, daß die 59 Minuten dauernde Abscheidung der ersten und neunten nicht dotierten Schicht (die Hälfte der Abscheidezeit für jede der nicht dotierten Schichten 3, 5 und 7) für eine geringe Restspannung und eine relativ glatte äußere Oberfläche sorgt. Wieder muß die laminierte Struktur dieses genannten Patentes des Standes der Technik dotiert und bei hoher Temperatur aktiviert werden, indem entweder RTA oder Ofenaktivierung bei ungefähr 1000°C und über eine Dauer, die ausreichend lang ist, um gleichförmig zu dotieren und den elektrischen Widerstand der erhaltenen 3 μm dicken laminierten Struktur zu verringern, verwendet wird. Anschließend an dieses Aktivieren der Dotierung bei hoher Temperatur werden die erste, die dritte, die fünfte, die siebte und die neunte keramische, nicht dotierte Siliziumschicht in dotiertes, polykristallines Silizium umgewandelt, ähnlich wie die zweite, die vierte, die sechste und die achte dotierte Polysiliziumschicht. Diese erforderliche RTA oder Ofenaktivierung bei einer Temperatur von ungefähr 1000°C ist wiederum zu einschränkend und erlaubt keine Integration eines MEMS NACH der integrierten Schaltung.
  • Gering belastetes, rekristallisiertes, in situ dotiertes Polysilicium nach UC Berkeley
  • Ein sechstes Beispiel einer Technik, die verwendet wird, um die Entlastungstemperatur von Siliciumfilmen zu verringern, wird durch die folgenden Veröffentlichungen der UC Berkeley zur Verfügung gestellt: James M. Bustillo, Roger T. Howe und Richard S. Muller, „Surface Micromachining for Microelectromechanical Systems (Oberflächenmikrobearbeitung für Mikroelektromechanische Systeme)", Proceedings of the IEEE, Band 86, Nr. 8, August 1998; Jocelyn Tsekan Nee, „Hybrid surface-/bulk micromachining processes for scanning microoptical components (Hybride Oberflächen/Volumenmikrobearbeitungsprozesse zum Abtasten mikrooptischer Komponenten)", Doktorarbeit, UC Berkeley, Herbst 2001.
  • Ein gering belastetes, rekristallisiertes, in situ dotiertes Polysilicium ist an der UC Berkeley untersucht worden. Indem eine Abscheidetemperatur von 585–590°C verwendet wird, kann ein in situ dotiertes Polysilicium mit niedrigem Widerstand mit einer relativ hohen Geschwindigkeit abgeschieden werden. Wie es in der 10 gezeigt ist, leidet dieses abgeschiedene mit Phosphor dotierte Polysilicium mit niedrigem Widerstand, das bei einer solchen Temperatur von 585–590°C erhalten worden ist, an einer kristallographischen Inhomogenität, die als Polysiliciumkörner nahe der darunterliegenden Oxidgrenzfläche beobachtet wird, die nach und nach in Richtung auf die obere Fläche verschwindet. Diese nicht homogene kristalline Struktur führt zu einem Spannungsgradienten und erfordert ein kurzzeitiges schnelles thermisches Tempern (RTA) bei 950°C, das durchgeführt werden muß, um eine niedrige Zugbelastung mit einem vernachlässigbaren Spannungsgradienten über die Dicke des Films hinweg zu erhalten. Da die kurze Dauer der RTA bei 950°C die typischerweise länger dauernden und bei höheren Temperaturen durchgeführten Ofentemperungen ersetzt, ist diese Technik etwas besser als die oben diskutierten Techniken, hat jedoch weiter eine thermisches Vorgabe, die den Ansatz des Erzeugens des MEMS NACH der integrierten Schaltung undurchführbar macht, da die Verbindungsmaterialien einer solchen Entlastung bei hoher Temperatur nicht widerstehen werden.
  • Zusammenfassung der mit dem genannten Stand der Technik verknüpften einschränkenden Entlastungsprozesse bei hoher Temperatur
  • Die Prozesse, die in den Dokumenten des Standes der Technik oben beschrieben sind, können das Erzeugen hochentwickelter MEMS-Bauteile nach der integrierten Schaltung wegen der Entlastung bei übermäßig hoher Temperatur nicht ermöglichen.
  • Der modulare MEMS-Prozeß von Analog Devices erfordert, daß die CMOS-Bauelemente in einer dicken polierten (wobei CMP verwendet wird) selektiven epitaxialen Siliciumschicht erzeugt werden, NACHDEM die mechanischen Teile hergestellt sind. In diesem Fall ermöglicht die epitaxiale Siliciumabscheidung bei einer Temperatur von ungefähr 1000–1200°C und die Diffusion der Dotierung der integrierten Schaltung die Entlastung des strukturellen Polysiliciums.
  • Der MEMS-Prozeß des Samsung Advanced Institute of Technology erfordert ebenfalls, daß die CMOS-Bauelemente nach den mechanischen Teilen hergestellt werden. In diesem Fall wird die 16 Stunden dauernde eintreibende Diffusion der p-Dotierungen in die integrierte Schaltung bei 1200°C als Entlastung des mikrostrukturellen Polysiliciums verwendet.
  • Der IMEMS-Prozeß von Sandia National Laboratories erfordert ebenfalls, daß die CMOS-, die bipolaren oder BiCMOS-Bauteile nach den mechanischen Teilen erzeugt werden. Wiederum wird eine Hochtemperaturentlastung bei Temperaturen in der Größenordnung von 1000°C durchgeführt, nach den mechanischen Teilen, vor dem Erzeugen der integrierten Schaltung, um so sicherzustellen, daß die anschließende thermische Vorgabe, die erforderlich ist, um die integrierten Schaltungen zu bearbeiten, die mechanischen Eigenschaften der mechanischen Teile nicht beeinflussen wird.
  • Der Polysilicium-Mehrnutzer-MEMS (PolyMUMPs)-Prozeß von MEMSCAP erfordert drei aufeinanderfolgende (jeweils eine Stunde dauernde) Kontaktzeiten bei 1050°C, um die drei Schichten aus Polysilicium zu dotieren und zu entlasten, die mit dem Phosphor dotiert werden, das von den benachbarten Phosphorsilikatschichten eindiffundiert. Wiederum würde jeder Versuch, die CMOS-Bauteile in den MUMPs-Prozeß zu integrieren, es erfordern, daß die integrierte Schaltung nach den mechanischen Teilen erzeugt wird, um die Zerstörung der Übergänge während dieser drei Kontaktvorgänge bei 1050°C zu verhindern.
  • Der Oberflächenmikrobearbeitungsprozeß der Robert Bosch GmbH erfordert zwei Kontaktvorgänge bei hoher Temperatur: einen ersten, bei 1000°C in einer Sauerstoffumgebung, um das Sb-Dotiermittel in eine erste Polysiliciumschicht zu treiben und zu aktivieren; und einen zweiten, bei ungefähr 1100°C, für das Abscheiden der zweiten strukturellen Epipoly-Schicht. Wieder erfordert dieser Prozeß, daß die integrierte Schaltung nach den mechanischen Teilen erzeugt wird, um die Zerstörung der Übergänge während solcher einschränkender Wärmebehandlungen zu verhindern.
  • Der Prozeß CMOS zuerst, Mikromechanik zuletzt der Sandia National Laboratories beschreibt die Erzeugung der CMOS-Bauteile vor den mechanischen Teilen, erfordert jedoch weiter eine sehr einschränkende 3 Stunden dauernde Entlastung des strukturellen Polysiliciums bei 1100°C anschließend an die Erzeugung der integrierten Schaltung. Um die thermische Stabilität der integrierten Schaltung zu verbessern, werden die Aluminiumverbindungen vollständig durch 1.0 μm dicke, wenig belastete Wolframverbindungen ersetzt, die durch chemische Gasphasenabscheidung, CVD, über einer Barrierenschicht aus Titannitrid, TiN, abschieden werden. Der Prozeß ist wegen verschiedener Punkte noch instabil, die folgendes betreffen: Delaminierung des Wolframs und Abheben an Verbindungsanschlußflächen, Ober flächenrauhigkeit des Wolframs, die die manuelle Photolithographie auferlegt, Schichtausfall der Barriere aus TiN während der 3 Stunden dauernden Entlastung bei 1100°C und Herausdiffundieren von Bor während der 3 Stunden dauernden Entlastung bei 1100°C. Diese Beschränkungen haben bewirkt, daß Sandia diese Idee fallengelassen hat und nach anderen Ansätzen neben dem MEMS-Prozeß mit CMOS zuerst, Mikromechanik zuletzt, bei dem nur Wolfram verwendet wird, sucht.
  • Der Prozeß der University of Michigan beschreibt das Abscheiden eines gering belasteten nicht dotierten Polysiliciums bei einer Temperatur von ungefähr 588–610°C, gefolgt von der Diffusion und Aktivierung bei 950°C–1050°C (über eine bis wenige Stunden in Stickstoff) einer Phosphor-Dotierung mit einer Energie von 50 keV–100 keV und einer Dosis von ungefähr 7 E15/cm2–2 E16/cm2, um so die elektrische Leitfähigkeit des abgeschiedenen Polysiliciums zu erhöhen und seine mechanische Belastung auf ungefähr 25 MPa zu verringern. Wieder zwingt die erforderliche Diffusion und Aktivierung der Phosphor-Dotierung bei 950°C–1050°C, daß die integrierte Schaltung NACH dem MEMS-Prozeß erzeugt wird, um so die Zerstörung der Übergänge während solcher einschränkender Wärmebehandlungen zu verhindern.
  • Der Prozeß für gering belastetes, nicht dotiertes, poröses Polysilicium der US Berkeley beschreibt ein nicht dotiertes, poröses Silicium mit geringer Restspannung, das bei 597°C–605°C unter speziellen Bedingungen abgeschieden worden ist, welche sein Dotieren und Aktivieren bei einer hohen Temperatur erfordern, die im Bereich zwischen 950°C und 1050°C liegt, um so ein gering belastetes, leitendes, permeables Polysiliciummaterial zu erhalten. Wiederum erzwingt es die erforderliche Diffusion und Aktivierung des porösen Polysiliciums mit niedriger Restspannung bei 950°C–1050°C, daß die integrierte Schaltung NACH dem MEMS-Prozeß erzeugt wird, um die Zerstörung der Übergänge während derartiger einschränkender Wärmebehandlungen zu verhindern.
  • Der Prozeß für gering belastetes, rekristallisiertes Polysilicium der U. of Wisconsin beschreibt das Abscheiden einer 2.0 μm dicken, mit 300 MPa druckbelasteten, nicht dotierten Siliciumfilmes bei 591°C, der zwei Phasen hat: eine untere, nicht dotierte, polykristalline Phase und eine obere, nicht dotierte, amorphe Phase. Eine Entlastung nach dem Abscheiden bei einer Temperatur unterhalb von 835°C ermöglicht es, daß dieser Film in einen rekristallisierten, nicht dotieren Film mit einer Zugbelastung umgewandelt wird, die so gering wie 20 MPa ist.
  • Das Abscheiden und Aktivieren dieser nicht dotierten, rekristallisierten Filme nach dem Abscheiden bei einer Temperatur zwischen 950°C–1050°C erzwingt wieder, daß die integrierte Schaltung nach dem MEMS-Prozeß erzeugt wird, um so die Zerstörung der Übergänge während derartiger einschränkender Wärmebehandlungen zu verhindern.
  • Der Prozeß für gering belastetes geschichtetes Polysilicium der Siemens Aktiengesellschaft beschreibt eine Technik, um eine nicht dotierte, auf Silicium basierende laminierte Struktur mit geringer mechanischer Belastung zu bilden, indem ein erstes druckbelastetes, nicht dotiertes Hochtemperatur-Polysilicium, eine erste Hilfsschicht aus SiO2, ein zweites zugbelastetes, nicht dotiertes amorphes Niedertemperatur-Silicium, eine zweite Hilfsschicht aus SiO2, ein drittes druckbelastetes nicht dotiertes Hochtemperatur-Silicium, eine dritte Hilfsschicht aus SiO2 und ein viertes zugbelastetes, amorphes, nicht dotiertes Niedertemperatur-Silicium verwendet werden. Wiederum erzwingen es die Diffusionsvorgänge und Aktivierungen dieser laminierten, nicht dotierten Schichten mit vier unabhängigen schnellen thermischen Aktivierungen, RTA, bei ungefähr 1000°C nach dem Abscheiden, daß die integrierte Schaltung NACH dem MEMS-Prozeß erzeugt wird, um so die Zerstörung der Übergänge während derartiger einschränkender Wärmebehandlungen zu verhindern.
  • Der Prozeß für gering belastetes geschichtetes Polysilicum der Case Western Reverse University beschreibt die Erzeugung von 3.0 μm dicken laminierten Strukturen mit geringer Zugbelastung (+7 MPa), welche die aufeinanderfolgende Kombination von fünf amorphen (oder keramischen), nicht dotierten Niedertemperatur (570°C oder darunter)-Siliciumschichten mit Zugbelastung von +200 MPa mit vier nicht dotierten Hochtemperatur (615°C)-Polysiliciumschichten mit Druckbelastung von –200 MPa ohne Hilfsschichten aus SiO2 in einem einzigen Abscheidelauf ohne atmosphärischen Kontakt umfaßt. Wiederum erzwingen das Abscheiden und die Aktivierung dieser nicht dotierten laminierten Struktur durch RTA oder Ofenaktivierung bei ungefähr 1000°C über eine Dauer, die ausreichend lang ist, um gleichförmig zu dotieren und den elektrischen Widerstand der erhaltenen 3 μm dicken laminierten Struktur zu verringern, nach dem Abscheiden, daß die integrierte Schaltung NACH dem MEMS-Prozeß erzeugt wird, um so die Zerstörung der Übergänge während derartiger einschränkender Wärmebehandlungen zu verhindern.
  • Der Prozeß für gering belastetes, rekristallisiertes, in situ dotiertes Polysilicium der UC Berkeley beschreibt ein gering belastetes, rekristallisiertes, in situ dotiertes Polysilicium, das bei einer Temperatur von ungefähr 585–590°C mit einer relativ hohen Geschwindigkeit abgeschieden wird, was einen Film mit einer kristallographischen Inhomogenität liefert, die als Polysilicium-Körner nahe der darunterliegenden Oxidgrenzfläche beobachtet wird, die nach und nach in Richtung auf die obere Fläche verschwindet. Diese nicht homogene kristalline Struktur führt zu einem Spannungsgradienten und erfordert ein kurzzeitiges RTA bei 950°C, um über die Filmdicke hinweg eine geringe Zugbelastung mit vernachlässigbarem Spannungsgradienten zu erhalten. Wieder erzwingt das kurzzeitige RTA bei 950°C der nicht homogenen kristallinen Struktur, daß die integrierte Schaltung nach dem MEMS-Prozeß erzeugt wird, um so die Zerstörung der Übergänge und der Verbindungen während derartiger einschränkender Wärmebehandlungen zu verhindern.
  • Keine der genannten Referenzen des Standes der Technik beschrieb einen Prozeß, der die effektive Herstellung von hochentwickelten MEMS-Bauteilen nach der integrierten Schaltung ermöglichen kann, weil jeder mit einer Behandlung bei außerordentlich hoher Temperatur verknüpft ist, um das abgeschiedene Silicium zu entlasten, zu dotieren oder die Dotiermittel zu aktivieren.
  • Die DE 198 29 609 offenbart ein Verfahren zum Erzeugen einer MEMS-Vorrichtung, bei dem wenigstens eine Schicht aus amorphem Silicium abgeschieden wird. Bei diesem Verfahren wird das amorphe Silicium rekristallisiert. Es gibt keine Lehre, wie reproduzierbare mechanische Eigenschaften zu erhalten sind.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung offenbart eine verbesserte Technik, die das Erzeugen eines hochentwickelten MEMS nach der integrierten Schaltung erlaubt. Diese Technik schaltet die Behandlung bei extrem hoher Temperatur, um zu entlasten, zu dotieren oder die Dotiermittel des abgeschiedenen Siliciums zu aktivieren, aus, vermeidet den Einsatz von CMP und erlaubt es, daß anspruchsvolle integrierte Schaltungen vor den mechanischen Teilen erzeugt werden.
  • Gemäß der vorliegenden Erfindung wird ein Verfahren zum Herstellen einer mikroelektromechanischen (MEM) Vorrichtung und einer elektronischen Vorrichtung auf einem gemeinsamen Substrat zur Verfügung gestellt, das das Herstellen der elektronischen Vorrichtung, die eine Vielzahl von elektronischen Komponenten auf dem gemeinsamen Substrat umfaßt, das Aufbringen einer Verbindungsschicht auf der elektronischen Vorrichtung, das Kapseln der integrierten elektronischen Vorrichtung mit einer Schutzschicht, das Ausbilden einer Opferschicht über der Schutzschicht, das Öffnen von Löchern in der Opferschicht und der Schutzschicht, um die Verbindung der MEM-Vorrichtung mit der elektronischen Vorrichtung zu ermöglichen, das Herstellen der MEM-Vorrichtung durch Aufbringen mindestens einer amorphen Siliciumschicht über der Opferschicht, nachdem die Löcher in den Schichten geöffnet worden sind, und das Strukturieren der mindestens einen amorphen Siliciumschicht in einem Bereich über der elektronischen Vorrichtung und das Entfernen mindestens eines Abschnitts der Opfermetallschicht unter dem strukturierten Teil der elektronischen Schicht aufweist, dadurch gekennzeichnet, daß die Schicht aus amorphem Silicium nicht dotiertes oder in situ mit Phosphor dotiertes amorphes Silicium ist, das mit einer Reaktionsgeschwindigkeit im beschränkten Bereich zwischen 530°C und 550°C abgeschieden wird und daß die Verbindungsschicht zwischen 530°C und 550°C stabil ist.
  • Die Verbindungsschicht kann nur eine Ebene von Verbindungen umfassen, oder sie kann als Alternative zwei, drei, vier oder mehr als vier Ebenen der Verbindung umfassen.
  • Es kann zum Beispiel eine, zwei, drei, vier, fünf oder mehr als fünf Schichten aus amorphem Silicium geben. Die MEM-Vorrichtung kann zum Beispiel eine photonische MEM-Vorrichtung, eine Bio-MEM-Vorrichtung, eine Hochfrequenz-MEM-Vorrichtung, eine MEM-Vorrichtung im Automotive-Bereich, eine industrielle MEM-Vorrichtung, eine räumliche MEM-Vorrichtung, eine militärische MEM-Vorrichtung, eine MEM-Vorrichtung, die wenigstens teilweise durch Oberflächenmikrobearbeitung erzeugt worden ist, oder eine MEM-Vorrichtung, die wenigstens teilweise durch Volumenmikrobearbeitung hergestellt ist.
  • Die wenigstens eine Schicht aus amorphem Silicium wird bei einer Temperatur von weniger als 580°C abgeschieden, bevorzugt mit weniger als 570°C, bevorzugt mit weniger als 560°C und weiter bevorzugt zwischen 520 und 550°C.
  • Die wenigstens eine Schicht aus amorphem Silicium wird bevorzugt abgeschieden, indem Silan mit einem Partialdruck von weniger als 5000 mTorr, bevorzugt weniger als 2000 mTorr, bevorzugt weniger als 2000 mTorr, bevorzugt weniger als 750 mTorr, bevorzugt weniger als 500 mTorr und am meisten bevorzugt zwischen 100 und 500 mTorr verwendet wird.
  • Die wenigstens eine Schicht aus amorphem Silicium kann mit Phosphor dotiert werden, indem ein Partialdruck von Phosphin von weniger als 5 mTorr, bevorzugt weniger als 2 mTorr, bevorzugt weniger als 1 mTorr, bevorzugt weniger als 0.75 mTorr, bevorzugt weniger als 0.50 mTorr und bevorzugt zwischen 0.10 und 0.50 mTorr verwendet wird.
  • Die wenigstens eine Schicht aus amorphem Silicium kann mit Phosphor dotiert werden, um einen Volumenwiderstand von weniger als 1000 mOhm·cm, weniger als 100 mOhm·cm, weniger als 10 mOhm·cm, weniger als 5 mOhm·cm, weniger als 2 mOhm·cm, weniger als 1 mOhm·cm oder zwischen 0.1 und 1 mOhm·cm zu liefern.
  • Die wenigstens eine Schicht aus amorphem Silicium muß nicht dotiert sein und eine mechanische Druckspannung von weniger als –400 MPa, weniger als –300 MPa, weniger als –200 MPa, weniger als –100 MPa, weniger als –50 MPa, weniger als –20 MPa, weniger als –10 MPa oder zwischen –0.01 MPa und –10 MPa haben.
  • Als Alternative ist die wenigstens eine Schicht aus amorphem Silicium mit Phosphor dotiert und hat eine mechanische Zugspannung von weniger als +400 MPa, weniger als +300 MPa, weniger als +200 MPa, weniger als +100 MPa, weniger als +50 MPa, weniger als +20 MPa, weniger als +10 MPa oder zwischen +0.01 MPa und 10 MPa.
  • Die wenigstens eine Schicht aus amorphem Silicium kann leicht mit Phosphor dotiert sein und kann eine niedrige mechanische Restspannung von weniger als –100 MPa, weniger als –50 MPa, weniger als –20 MPA, weniger als –10 MPa, zwischen –0.01 MPa und –10 MPa, zwischen +0.01 MPa und +10 MPa, weniger als +10 MPa, weniger als +20 MPa, weniger als +50 MPa oder weniger als +100 MPa haben.
  • Bei einer Ausführungsform bilden mehrere der Schichten aus amorphem Silicium eine laminierte Struktur, die nicht dotierte und mit Phosphor dotierte Schichten kombiniert. Die laminierte Struktur hat eine geringe mechanische Restspannung von weniger als –100 MPa, weniger als –50 MPa, weniger als –20 MPa, weniger als –10 MPa, zwischen –0.01 MPa und –10 MPa, zwischen +0.01 MPa und +10 MPa, weniger als +10 MPa, weniger als +20 MPa, weniger als +50 MPa oder weniger als +100 MPa.
  • Bei einer weiteren Ausführungsform ist die wenigstens eine Schicht aus amorphem Silicium nicht dotiert und hat einen absoluten Spannungsgradienten von weniger als 20 MPa/μm, weniger als 10 MPa/μm, weniger als 5 MPa/μm, weniger als 3 MPa/μm, weniger als 2 MPa/μm, weniger als 1 MPa/μm oder zwischen 0.1 MPa/μm und 1 MPa/μm.
  • Als Alternative kann die wenigstens eine Schicht aus amorphem Silicium mit Phosphor dotiert sein und einen absoluten Spannungsgradienten von weniger als 20 MPa/μm, weniger als 10 MPa/μm, weniger als 5 MPa/μm, weniger als 3 MPa/μm, weniger als 2 MPa/μm, weniger als 1 MPa/μm oder zwischen 0.1 MPa/μm und 1 MPa/μm haben.
  • Bei einer weiteren Ausführungsform ist die wenigstens eine Schicht aus amorphem Silicium leicht mit Phosphor dotiert und hat einen niedrigen absoluten Spannungsgradienten von weniger als 5 MPa/μm, weniger als 3 MPa/μm, weniger als 2 MPa/μm, weniger als 1 MPa/μm oder zwischen 0.1 MPa/μm und 1 MPa/μm.
  • Das amorphe Silicium kann mehrere Schichten aufweisen, die eine laminierte Struktur bilden, wobei nicht dotierte und mit Phosphor dotierte Schichten kombiniert werden, wobei die laminierte Struktur einen geringen absoluten Belastungsgradieten von weniger als 5 MPa/μm, weniger als 3 MPa/μm, weniger als 2 MPa/μm, weniger als 1 MPa/μm, zwischen 0.1 MPa/μm und 1 MPa/μm.
  • Anders als der Stand der Technik kann die vorliegende Erfindung bei einer Temperatur, die mit einer darunter liegenden integrierten Schaltung verträglich ist, eine elektrisch leitende und mit wenig mechanischer Belastung und einem kleinen Spannungsgradienten ausgestattete laminierte Struktur in einem einzigen integrierten Zyklus in einem Niedertemperaturreaktor erzeugen, ohne die Notwendigkeit des Zusammenbringens mit Luft, ohne die Notwendigkeit zwischengelegter Hilfsoxidschichten, ohne die Notwendigkeit des Einbringens von Dotiermittel, ohne die Notwendigkeit des Eindiffundierens und/oder des Aktivierens des Dotiermittels in einem Ofen oder einem schnellen thermischen Prozessor bei hoher Temperatur, um durch Oberflächenmikrobearbeiten über einer zuvor hergestellten integrierten Schaltung, welche CMOS-bipolare, BiCMOS- und/oder mit Hochspannung arbeitende Bauteile enthält, die elektrisch leitenden, gering belasteten mechanischen Strukturen zu erzeugen, welche es ermöglichen, daß die mechanischen Funktionen des integrierten MEMS-Bauteils über der Steuerelektronik liegen.
  • Diese Integration modularer MEMS-Vorrichtungen direkt über einer zuvor erzeugten integrierten Schaltung ist möglich, da die maximale Kontakttemperatur von 550°C nun mit den Wolfram gefüllten Kontakte und der darunter liegenden Titannitridbarriere/Leimschicht hoher Qualität verträglich ist. Darüber zieht die Integration dieser modularen MEMS-Vorrichtungen über einer integrierten Schaltung den Nutzen aus dem glatten Oberflächentopologieprofil und schaltet die komplexe Verarbeitung aus, die mit dem alternativen Ansatz verbunden ist, welche außerordentliche Planarisierung der dicken Strukturen erfordert, die die MEMS-Vorrichtung bilden, um zu ermöglichen, daß Präzisionsphotolithographie mit einer Modembelichtungsapparatur durchgeführt wird, die eine kleine Fokuschärfe hat.
  • Darüberhinaus erlaubt es der modulare Ansatz der vorliegenden Erfindung, daß eine sehr große Vielfalt an MEMS-Vorrichtungen im wesentlichen auf herkömmliche CMOS- und mit Hochspannung arbeitende CMOS-Bauteile „aufgetropft" wird, um so intelligente Mikrosysteme in verschiedenen Anwendungsmärkten zu erzeugen, so wie: HF-Telekommunikation (HF-MEMS und dergleichen) und optische Kommunikation (photonische MEMS), biomedizinische und medizinische (Biochips, Lab-on-a-Chip und dergleichen), im Automobilbereich (Drucksensoren, Trägheitssensoren und dergleichen), in der Informationstechnologie (Druckköpfe, magnetische Komponenten für Festplatten und dergleichen) für industrielle Anwendungen (Gassensoren und dergleichen). Hauptsächlich findet diese neue Möglichkeit, Mikromechanik mit vorhandenen mikroelektronischen Bauteilen zu kombinieren, so viele neue Einsatzfelder, daß diese einfach unmöglich aufzuzählen sind.
  • Kurzbeschreibung der Zeichnungen
  • Die Erfindung wird nun in weiteren Einzelheiten lediglich beispielhaft mit Bezug auf die beigefügten Zeichnungen beschrieben, wobei:
  • 1 den modularen MEMS-Prozeß des Standes der Technik von Analog Devices veranschaulicht;
  • 2 den MEMS-Prozeß des Standes der Technik von SAIT veranschaulicht;
  • 3 den IMEMS-Prozeß des Standes der Technik von Sandia veranschaulicht;
  • 4 den MUMPS-Prozeß des Standes der Technik von MEMSCAP veranschaulicht;
  • 5 den Oberflächenmikrobearbeitungsprozeß des Standes der Technik von Robert Bosch veranschaulicht;
  • 6 den MEMS-Prozeß mit CMOS zuerst, Mikromechanik zuletzt des Standes der Technik von Sandia veranschaulicht;
  • 7 das gering belastete, nicht dotierte poröse Polysilicium des Standes der Technik der UC Berkeley veranschaulicht;
  • 8 die gering belastete laminierte Siliciumstruktur des Standes der Technik der Siemens Aktiengesellschaft veranschaulicht;
  • 9 die gering belasteten laminierten Siliciumstrukturen des Standes der Technik der Case Western Reserve University veranschaulicht;
  • 10 das gering belastete, rekristallisierte, in situ dotierte Polysilicium des Standes der Technik der US Berkeley veranschaulicht;
  • 11 eine Strategie veranschaulicht, die das Erzeugen hochentwickelter MEMS nach der integrierten Schaltung gemäß einer Ausführungsform der Erfindung ermöglicht;
  • 12 die Phasendiagramme von Al-Si und Al-Cu zeigt;
  • 13 den 16 MB DRAM von Samsung veranschaulicht, bei dem eine Hochtemperatur-Aluminium-Reflowtechnik verwendet wird;
  • 14 eine Verbindungsstrategie veranschaulicht, die die Herstellung eines hochentwicketen MEMS nach der integrierten Schaltung gemäß einer Ausführungsform der Erfindung erlaubt;
  • 15 Rasterkraftmikroskopmessungen der Oberflächenrauhigkeit von amorphen Siliciumschichten und Polysiliciumschichten zeigt;
  • 16 das Abscheiden nicht dotierten amorphen Siliciums zeigt, bei dem die Pyrolyse von Silan eingesetzt wird;
  • 17 das Abscheiden nicht dotierten amorphen Siliciums zeigt, bei dem die Pyrolyse von Silan eingesetzt wird;
  • 18 die Abscheideraten von nicht dotierten und in situ dotierten amorphen Silicium- und Polysiliciumschichten zeigt;
  • 19 ein Beispiel nicht reproduzierbarer mechanischer Eigenschaften von amorphem Silicium ist, das bei Temperaturen zwischen 550°C und 575°C unter Bedingungen mit beschränkter Diffusionsgeschwindigkeiten abgeschieden ist;
  • 20 die mechanische Druckbelastung eines nicht dotierten amorphen Siliciums zeigt, wobei die Pyrolyse von Silan nahe den durch die Reaktionsgeschwindigkeit beschränkten Bedingungen eingesetzt werden;
  • 21 die Atomradien von Phosphor und Silicium zeigt;
  • 22 die relative Variation des Gitterabstandes von Einkristall-Siliciumwafern und den Phosphordotierpegel zeigt;
  • 23 das Abscheiden von mit Phosphor dotiertem amorphem Silicium zeigt, bei dem die Pyrolyse von Silan und Phosphin verwendet wird;
  • 24 das Abscheiden von mit Phosphor dotiertem amorphem Silicium zeigt, bei dem die Pyrolyse von Silan und Phosphin verwendet wird;
  • 25 das Abscheiden von mit Phosphor dotiertem amorphen Silicium zeigt, bei dem die Pyrolyse von Silan und Phosphin verwendet wird; und
  • 26 das Abscheiden von mit Phosphor dotiertem amorphen Silicum zeigt, wobei die Pyrolyse von Silan und Phosphin verwendet wird.
  • Genaue Beschreibung der bevorzugten Ausführungsformen
  • Der Prozeß, der das Erzeugen hochentwickelter MEM-Vorrichtungen nach der integrierten Schaltung erlaubt, ist in 11 gezeigt. Dieser Prozeß erfordert, daß die mechanischen Teile der MEMS-Vorrichtung über den Verbindungen mit der integrierten Schaltung erzeugt werden, was somit eine obere Grenze für die Prozeßtemperatur von ungefähr 550°C auferlegt, der maximalen Temperatur, der die Aluminiumverbindungen ausgesetzt werden können.
  • Die MEMS-Vorrichtung, die in 11 gezeigt ist, hat einen mechanischen MEMS-Teil 10 und einen Teil 11 einer integrierten Schaltung. Diese sind auf einem Siliciumsubstrat 12 gebildet, das ein Gebiet 13 mit Wolframanschlüssen 14 über Ti/TiN an den Kontakten hat. Eine Schicht 15 aus TiN/Al/TiN sorgt für die Verbindungen.
  • Eine erste Siliciumschicht 15 verbindet die integrierte Schaltung 11 und die MEMS-Vorrichtung 10, die aus strukturellen Silicumschichten 17 über einem Bereich 18, aus dem Opfermaterial entfernt worden ist, hergestellt worden ist.
  • Die maximale Temperatur, der eine typische binäre Legierung Al-Si, eine binäre Legierung Al-Cu, oder eine ternäre Legierung Al-Si-Cu für Verbindungen ausgesetzt werden kann, ist stark von der eutektischen Temperatur dieser Aluminiumlegierungen abhängig. Die aluminiumreiche Seite des Phasendiagramms von Al-Si und Al-Cu ist in 12 gezeigt. Die aluminiumreiche Seite des Phasendiagramms Al-Si-Cu ist aus der aluminiumreichen Seite der Phasendiagramme von Al-Si, Al-Cu aufgebaut. Es wird aus der 12 deutlich, daß Aluminium das Silicium einer binären Legierung aus Al mit 1 Gew.-% Si lösen kann und einer Temperatur so hoch wie 622°C irgendeiner eutektischen Formation ausgesetzt werden kann, während das Silicum einer binären Legierung aus Al mit 2 Gew.-% Si die Bildung eines Eutektikums hervorrufen würde, wenn sie einer Temperatur so niedrig wie 567°C ausgesetzt würde. In ähnlicher Weise kann Aluminum das Kupfer einer binären Legierung aus Al mit 1 Gew.-% Cu lösen und einer Temperatur so hoch wie 645°C vor irgendeiner eutektischen Formation ausgesetzt werden, während das Kupfer einer binären Legierung aus Al mit 6 Gew.-% Cu die Bildung eines Eutektikums hervorrufen würde, wenn sie einer Temperatur so niedrig wie 548°C ausgesetzt würde. Wenn man diese Ergebnisse kombiniert, wird abgeleitet, daß Aluminium das Silicium und das Kupfer einer ternären Legierung aus Al mit 1 Gew.-% Si und 1 Gew.-% Cu lösen könnte und einer Temperatur so hoch wie 622°C vor irgendeiner eutektischen Bildung von Al-Si ausgesetzt werden kann.
  • Die Erzeugung von integrierten Schaltung mit ähnlichen Aluminium-Legierungen, die Temperaturen ausgesetzt werden, welche 550°C überschreiten, ist von der Samsung Electronics Co. Ltd. beschrieben worden und ist bei der Erzeugung ihrer DRAM-Bauteile mit 4 MB und 16 MB eingesetzt worden: Sang-in Lee, Chang-soo Park und Jeong-ha Son, USA-Patent 5 266 521 , „Method for forming a planarized composite metal layer in a semiconductor device (Verfahren zum Bilden einer planarisierten Verbundmetallschicht in einem Halbleiterbauteil)", Samsung Electronics Co. Ltd., angemeldet am 31. Januar 1992 und erteilt am 30. November 1993; Young-jin Wee, In-seon Park und Sang-in Lee, USA-Patent 5 814 556 , „Method of filling a contact hole in a semiconductor substrate with a metal (Verfahren zum Füllen eines Kontaktloches in einem Halbleitersubstrat mit einem Metall)", Samsung Electronics Co. Ltd., angemeldet am 15. August 1996 und erteilt am 29. September 1998; Sang-in Lee, Jeong-in Hong, Jong-ho Park, USA-Patent 5 843 842 „Method for manufacturing a semiconductor devicd having a wiring layer without producing silicon precipitates (Verfahren zum Herstellen einer Halbleiterbauteils mit einer Verdrahtungsschicht ohne Siliciumniederschläge zu erzeugen)", Samsung Electronics Co. Ltd., angemeldet am 3. September 1996 und erteilt am 1. Dezember 1998; Integrated Circuit Engineering, Construction analysis report number SCA 9311-3001, „Samsung KM44C4000J-7 16 Megabit DRAM", März 1995, 52 Seiten.
  • 13 zeigt einige Bilder, die dem Construction analysis report des ICE für den 16 MB DRAM von Samsung entnommen worden sind, und zeigt deutlich, daß dieses 16 MB DRAM-Bauteil die oben offenbarte Hochtemperatur-Aluminium-Reflowtechnik verwendet. Die zwei Ebenen mit Verbindungen sind die folgenden:
    • a. Ein erstes Metall, aufgebaut aus einer 0.15 μm dicken Barriere aus TiN, einer 0.55 μm dicken Reflow-Aluminiumlegierung und einer 0.04 μm dicken antireflektierenden (Deck-)schicht aus TiN;
    • b. Ein zweites Metall, aufgebaut aus einer 0.9 μm dicken siliciumhaltigen Non-Reflow-Aluminiumlegierung.
  • Wie es in ihren USA-Patenten 5 266 521 , 5 814 556 beschrieben ist, werden Legierungen aus Al mit 1 Gew.-% Si, Al mit 0.5 Gew.-% Cu oder Al mit 1 Gew.-% Si und 0.5 Gew.-% Cu wenige Minuten bei einer Temperatur von 550°C erhitzt, um den Aluminium-Reflow in den Kontakten zu den Bereichen N+, P+, Poly 1 und Polycid hervorzurufen und um eine glatte und planarisierte Oberfläche sicherzustellen.
  • Während dieser wenigen Minuten des Alminium-Reflow bei 550°C verhindert die untergelegte Barrierenschicht aus TiN, daß das darunterliegende Silicummaterial in der Aluminiumlegierung gelöst wird, was zu einem elektrischen Kurzschluß der darunterliegenden N+- und P+-Übergänge führen würde. Der Widerstand der Barriere aus TiN bei einer solch hohen Temperatur kann durch einige Techniken verbessert werden, so wie die, die in dem folgenden Patent der USA beschrieben ist: Luc Ouellet, Yves Tremblay und Luc Gandron, USA-Patent 6 127 266 „Stabilization of the interface between TiN and Al alloys (Stabilisierung der Grenzfläche zwischen TiN und Al-Legierungen)", Mitel Corporation, angemeldet am 26. November 1997 und erteilt am 3. Oktober 2002; sie würde jedoch weiter nicht sehr langen Kontakten bei einer solchen Temperatur widerstehen, was somit das Aufbrechen der Barriere aus TiN und ein Leck am Übergang hervorrufen würde.
  • Aus diesem Grund wird der Wolfram-Plug, der in 11 gezeigt ist, verwendet, um den Kontakt zu N+, P+, Poly 1 und anderen der ersten Ebene der Verbindungen der integrierten Schaltung gelegten Materialien zu bilden. Die Grenzfläche Wolfram-TiN widersteht stark dem langzeitigen Kontakt mit sehr hoher Temperaturen.
  • 14 zeigt die Verbindungsstrategie, die das Erzeugen hochentwickelter MEMS nach der integrierten Schaltung erlaubt. Sie umfaßt die folgenden Schritte:
    • a. eine Kontaktschicht aus Ti/TiN wird auf den aktiven Komponenten abgeschieden, um einen niedrigen Kontaktwiderstand zu N+, P+, Poly 1 und anderen darunterliegenden Materialien sicherzustellen.
    • b. Eine Wolframschicht wird auf der Kontaktschicht abgeschieden, wobei chemische Gasphasenabscheidung (CVD) verwendet wird.
    • c. Die CVD-W (Wolfram)-Schicht wird zurückgeätzt, um die Wolfram-Plugs in den Kontaktbereichen zu belassen.
    • d. Diesem Schritt folgt das Abscheiden einer Verbindungsschicht aus TiN/AlSiCu/TiN bei hoher Temperatur über den Wolfram-Plugs, um eine Verbindung mit niedrigem Widerstand zu bilden.
  • Die Verbindungsschicht aus TiN/AlSiCu/TiN ist strukturiert, um Verbindungen mit hoher Temperaturstabilität und niedrigem Widerstand zu bilden, über denen eine MEMS-Vorrichtungen bei Temperaturen bis zu 550°C erzeugt werden kann.
  • Die Verbindungsschicht sollte ein Kontaktmaterial umfassen, das ein Feuerfestmaterial enthält, welches einen thermisch stabilen Kontakwiderstand zu N+-dotiertem Silicium, P+-dotiertem Silicium und Polysilicium sicherstellt. Als Alternative kann es Titan, Ti, Titan-Wolfram-Legierung, TiW, Titannitrid, TiN, Titansilicid, TiSi2 oder eine Kombination aus diesen sein.
  • Die Verbindungsschicht kann auch ein Material wie Aluminium, einen Plug aus einer binären Legierung Aluminium-Silicium, die weniger als 2.0 GEw.-% Silicium enthält, um eine silicumeutektische Temperatur von mehr als 567°C sicherzustellen, einen Plug aus einer binären Legierung Aluminium-Kupfer, die weniger als 6 Gew.-% Kupfer enthält, um eine siliciumeutektische Temperatur von mehr als 548°C sicherzustellen, einen weiteren Plug aus einer binären Aluminiumlegierung mit einer eutektischen Temperatur höher als 545°C; eine ternäre Legierung aus Aluminium-Silicium-Kupfer, die weniger als 2 Gew.-% Silicium und weniger als 6 Gew.-% Kupfer enthält, eine ternäre Aluminiumlegierung mit einer eutektischen Temperatur höher als 565°C, Kupfer, Wolfram, eine Kombination aus diesen aufweisen.
  • Die Verbindungsschicht kann durch physikalisches Gasphasenabscheiden, PVD – Physical Vapor Deposition, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmaunterstütztes chemisches Gasphasenabscheiden, PECVD – Plasma Enhanced Chemical Vapor Depostion, induktiv gekoppeltes Plasma, ICP – Inductively Coupled Plasma, metallorganisches chemisches Gasphasenabscheiden, MOCVD – Metal Organic Chemical Vapor Deposition, Elektroplattieren, stromloses Plattieren, eine Kombination aus diesen abgeschieden werden.
  • Die Verbindungsschicht weist bevorzugt eine geschichtete Struktur auf, welche thermisch stabile Verbindungen sicherstellt, wobei die geschichtete Verbindungsstruktur eine auf Titan basierende Unterschicht, eine auf Aluminium basierende Mittelschicht und eine auf Titan basierende Oberschicht aufweist. Bevorzugt besteht die auf Titan basierende Unterschicht aus Titan, Ti, Titannitrid, TiN, oder Kombinationen aus Ti und TiN, die auf Aluminium basierende Mittelschicht besteht aus Aluminium, Al, einer binären Legierung aus Aluminium-Silicum, die weniger als 2 Gew.-% Silicum enthält, um eine siliciumeutektische Temperatur von mehr als 567°C sicherzustellen, einer binären Legierung Aluminium-Kupfer, die weniger als 6 Gew.-% Kupfer enthält, um eine silicumeutektische Temperatur von mehr als 548°C sicherzustellen, einer binären Aluminiumlegierung mit einer eutektischen Temperatur höher als 545°C, einer ternären Legierung aus Aluminium-Silicium-Kupfer, die weniger als 2 Gew-% Silicum und weniger als 6.0 Gew.-% Kupfer enthält, einer ternären Aluminiumlegierung mit einer eutektischen Temperatur höher als 545°C, und die auf Titan basierende Oberschicht besteht aus Titan, Ti, Titannitrid, TiN, oder Kombinationen aus Ti und TiN. Das Kontaktmaterial kann durch physikalisches Gasphasenabscheiden, PVD, reaktives PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztem chemischen Gasphasenabscheiden, PECVD, induktiv gekoppeltem Plasma, ICP, metallorganischem chemischen Gasphasenabscheiden, MOCVD, schneller thermischer Verarbeitung, RTP – Rapid Thermal Processing, oder einer Kombination aus diesen abgeschieden werden.
  • Als nächstes wird eine Schutzschicht aus nicht dotiertem, amorphen Silicium (a-Si) über der Verbindungsschicht abgeschieden.
  • Als Alternative kann die Schutzschicht eine Schicht aus Titan, Ti, eine Schicht aus Titannitrid, TiN, eine Schicht aus Aluminiumlegierung, eine Schicht aus Siliciumnitrid, die durch plasmagestütztes chemisches Gasphasenabscheiden, PECVD, gebildet ist, ein Spin-on-Polymer oder eine Kombination aus diesen sein.
  • Die Schutzschicht kann abgeschieden werden durch: physikalisches Gasphasenabscheiden, PVD, reaktives PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, schnelle thermische Verarbeitung, RTP, oder Kombinationen aus diesen.
  • Als nächste wird eine Opferschicht über der Schutzschicht abgeschieden, die zusammengesetzt ist aus: einer Schicht aus Silikatglas, SG, einer Schicht aus mit Phosphor dotiertem Silikatglas, PSG, einer Schicht aus mit Bor dotiertem Silikatglas, BSG, einer Schicht aus mit Bor und Phosphor dotiertem Silikatglas, BPSG, einer Schicht aus Tetraethylorthosilikatglas, TEOS, einem fluorinierten Dielektrikum, einem stark porösen Dielektrikum, einer Schicht aus Silikat-Spin-On-Glas, SOG – Spin-on-Glass, einer Schicht aus mit Phosphor dotiertem Silikat-SOG oder Kombinationen aus diesen.
  • Nach dem Öffnen von Löchern in der Opferschicht und in der Schutzschicht, um die Verbindung der MEM-Vorrichtung mit der elektronischen Vorrichtung zu ermöglichen, wird eine amorphe Siliciumschicht auf der Opferschicht abgeschieden und strukturiert. Die Opferschicht wird dann wenigstens teilweise weggeätzt, um die MEM-Vorrichtung freizulegen.
  • Die Opferschicht kann weggeätzt werden, indem konzentrierte (49%) flüssige Flußsäure, HF, konzentrierte (49%) flüssige Flußsäure, HF, gefolgt von dem Zusammenbringen mit Chemikalien gegen Haftreibung, mit Ammoniumfluorid, HN4F, gepufferte konzentrierte (49%) flüssige Flußsäure, HF, mit Ammoniumfluorid, HN4F, gepufferte konzentrierte (49%) flüssige Flußsäure, HF, gefolgt von dem Zusammenbringen mit Chemikalien gegen Haftreibung, mit Wasser verdünnte flüssige Flußsäure, HF, mit Wasser verdünnte flüssige Flußsäure, HF, gefolgt von dem Zusammenbringen mit Chemikalien gegen Haftreibung, mit Wasser verdünnte und mit Ammoniumfluorid, HN4F, gepufferte verdünnte flüssige Flußsäure, HF, mit Wasser verdünnte und mit Ammoniumfluorid, HN4F, gepufferte verdünnte flüssige Flußsäure, HF, gefolgt vom Zusammenbringen mit Chemikalien gegen Haftreibung, flüssige Flußsäure, HF, in Dampfform, flüssige Flußsäure, HF, in Dampfform, gefolgt vom Zusammenbringen mit Chemikalien gegen Haftreibung, Entfernen durch isotropes Plasma, Entfernen durch isotropes Plasma, gefolgt von dem Zusammenbringen mit Chemikalien gegen Haftreibung, oder Kombinationen aus diesen verwendet werden.
  • Die Schicht aus amorphem Silicium kann strukturiert werden, indem Naßätzen, Plasmaätzen, reaktives Ionenätzen, RIE – Reaktiv Ion Etching, tiefenreaktives Ionenätzen, DRIE – Deep Reactive Ion Etching, Kombinationen aus diesen verwendet werden.
  • Das Öffnen der Löcher in der Opferschicht und in der Schutzschicht kann das Einrichten von Verbindungen zu Elementen der Schaltung ermöglichen, so wie einem N+-Übergang, einem P+-Übergang, einer Schicht aus Polysilicium, einer Verbindung oder Kombinationen aus diesen.
  • Das Öffnen der Löcher kann durchgeführt werden mittels: Naßätzen, Plasmaätzen, reaktivem Ionenätzen, RIE, tiefenreaktivem Ionenätzen, DRIE, Kombinationen aus diesen.
  • Die Verbindungsstrategie, die in 14 beschrieben ist, erlaubt das Erzeugen von hochentwickelten MEMS nach der integrierten Schaltung, wie in 11 gezeigt. Diese Verbindungsstrategie bildet ein wichtiges Element der vorliegenden Erfindung, da sie die Integration von mechanischen Teilen ermöglicht, die bei einer Temperatur im Bereich zwischen 530°C und 550°C erzeugt werden.
  • Die Strategie, wenig belastete dotierte Siliciumstrukturen für das Herstellen hochentwickelter MEMS nach der integrierten Schaltung zu erzeugen
  • Die Strategie, die das Erzeugen wenig belasteteter dotierter Siliciumstrukturen bei Temperaturen im Bereich von 530°C und 550°C erlaubt, wird nun beschrieben werden.
  • Einführung in in situ dotiertes amorphes Silicium
  • Die meisten Herstellungsbetriebe für MEMS verwenden das Dotieren von gering belastetem Silicium nach dem Abscheiden, wobei Hochtemperaturdiffusion bei Temperaturen in dem Bereich von 900°C und 1000°C über einige Stunden verwendet wird, um ein gleichförmiges Dotieren über die Dicke von wenigen Mikrometern dicken, gering belasteten, strukturellen Polysiliciumfilmen hinweg zu erreichen. Unglücklicherweise wird diese Hochtemperaturdiffusion die integrierte Schaltung zerstören, die in dem Wafer vor dem Oberflächenmikrobearbeiten des Polysiliciums erzeugt worden ist. Wie oben diskutiert worden ist, wird, wenn die Diffusion/Aktivierung über eine kürzere Zeitdauer ausgeführt wird, die Verteilung des Dotiermittels über die Filmdicke hinweg nicht gleichförmig sein, was zu Schwierigkeiten bei den Änderungen der mechanischen Eigenschaften über die Filmdicke hinweg führt. Auch, wenn die Diffusion/Aktivierung bei niedrigerer Temperatur durchgeführt wird, wird die Aktivie rung des Dotiermittels nicht angemessen sein, und ein Polysilicium mit übermäßigem Widerstand wird das Ergebnis sein.
  • Nach einem Aspekt beschreibt die Erfindung einen neuen Weg, in situ dotierte, amorphe Siliciumfilme zu verwenden, um leitende laminierte Niedertemperatur-Strukturen mit geringer Restspannung zu erhalten.
  • Der Widerstand des Polysiliciums kann durch ein Dotieren in situ während des Abscheidens stark herabgesetzt werden, indem dem Silan einige Reaktionsgase zugesetzt werden, so wie Phosphin (Dotieren des n-Typ mit Phosphor), Arsen (Dotieren des n-Typ mit Arsen) oder Diboran (Dotieren des p-Typ mit Bor), zum Beispiel:
    • a. SiH4 (Gas, das die Oberfläche erreicht) → Si (Silicium wächst) + 2H2 (Gas, das von der Oberfläche entfernt wird
    • b. PH3 (Gas, das die Oberfläche erreicht) → P (im Silicium) + 3/2 H2 (Gas, das von der Oberfläche entfernt wird)
    • c. AsH3 (Gas, das die Oberfläche erreicht) → As (im Silicium) + 3/2 H2 (Gas, das von der Oberfläche entfernt wird)
    • d. B2H6 (Gas, das die Oberfläche erreicht) → 2B (im Silicium) + 3H2 (Gas, das von der Oberfläche entfernt wird
  • Das Abscheiden von in situ dotiertem Silicium bei Temperaturen in dem Bereich zwischen 530°C und 570°C führt zu einem in situ dotiertem amorphem Silicummaterial mit einer sehr glatten Oberflächenbeschaffenheit. 15 zeigt die verbesserte Oberflächenbeschaffenheit (gemessen durch Rasterkraftmikroskopie), die mit dicken Schichten aus amorphem Silicium verknüpft ist. Da die meisten MEMS-Vorrichtungen sehr dicke Schichten aus strukturellem Material verwenden, ist die verbesserte Oberflächenrauhigkeit, die mit dem amorphen Silicium verbunden ist, ein Hauptvorteil, da sie erlaubt, daß das dicke strukturelle amorphe Silicium fein strukturiert werden kann, indem hochentwickelte Photolithographieapparatur eingesetzt wird.
  • Die Geschwindigkeit, mit der die in situ dotierte Siliciumoberfläche wächst, ist eine Funktion verschiedener Parameter. Das klare Verständnis und die absolute Kontrolle über diese Wachstumsparameter sind notwendig, um reproduzierbare mechanische Eigenschaften des fein definierten strukturellen amorphen Siliciums zu ermöglichen.
  • Die Wachstumsparameter bei mechanischer Druckbelastung von nicht dotiertem amorphem Silicium, das bei Temperaturen zwischen 530°C und 550°C abgeschieden worden ist
  • Die Wachstumgsgeschwindigkeit eines nicht dotiertem amorphen Siliciums ist durch die folgende Pyrolysereaktion des Silan gekennzeichnet:
    • e. SiH4 (Gas, das die Oberfläche erreicht) → Si (Silicium wachst) + 2H2 (Gas, das von der Oberfläche entfernt wird)
  • Wenn die Einströmgeschwindigkeit des Silans und die Beseitigungsgeschwindigkeit des Wasserstoffs diese Pyrolysereaktion nicht beschränken, sollte die Wachstumsgeschwindigkeit des amorphen Siliciums durch die Reaktionsgeschwindigkeit beschränkt sein und sollte der folgenden Arrhenius-Gleichung folgen. R = R0exp (– Ea/kT)wobei Ea die Aktivierungsenergie (eV) der Pyrolyse von Silan ist, k die Boltzmann-Konstante ist und T die Temperatur (K) ist. Ähnlich, wenn die Einströmgeschwindigkeit des Silans zu niedrig ist (um die Wachstumsfläche in Siliciumatomen richtig zu „füttern") und/oder wenn die Beseitigungsgeschwindigkeit des Wasserstoffs zu niedrig ist (um so eine Blockierwirkung hervorzurufen, welche die Pyrolysereaktion beschränkt), dann sollte die Wachstumsgeschwindigkeit des amorphen Siliciums durch die Massentransportgeschwindigkeit begrenzt sein und sollte nicht die maximale Wachstumsgeschwindigkeit erreichen, die von der Arrhenius-Gleichung vorhergesagt wird.
  • Um reproduzierbare mechanische Eigenschaften bei nicht dotierten, amorphen Siliciumschichten sicherzustellen, ist es sehr wichtig, diese maximale Wachstumsgeschwindigkeit für amorphes Silicium zu erreichen, die durch diese durch die Reaktionsgeschwindigkeit be schränkte Situation gekennzeichnet ist, da in dem Pyrolysereaktor eine sehr genaue Steuerung der Wachstumstemperatur möglich ist. Die Massenstromgeschwindigkeit, die erforderlich ist, um die wachsende Oberfläche „richtig zu füttern", hängt auch von der Gesamtfläche ab, welche das Silan verbraucht, und hängt somit von der Ausgestaltung des Systems ab, so wie der Gesamtanzahl der der chemischen Reaktion ausgesetzten Wafer.
  • Da das Abscheiden des amorphen Silicium bei relativ niedrigeren Temperaturen (zwischen 530°C und 550°C) durchgeführt wird, wird erwartet, daß das Abscheiden des amorphen Siliciums durch die Reaktionsgeschwindigkeit begrenzt sein sollte.
  • Diese Erwartung kann leicht bei einer gegebenen Wachstumstemperatur verifiziert werden, indem der Fluß des einströmenden Silans auf die Oberfläche geändert wird (durch Variieren der Geschwindigkeit des einströmenden Silans, das die Pyrolysereaktion „füttert", über Anpassungen des Massenstromes des Silans, der in die Pyrolyseapparatur einströmt, oder, als Alternative, durch Ändern des Partialdruckes des Silans im Gleichgewicht in der Pyrolyseapparatur über Anpassungen der Pumpgeschwindigkeit bei einer gegebenen Einströmgeschwindigkeit des Silans). Wenn die Pyrolysereaktion geschwindigkeitsbegrenzt ist, würde dann die Wachstumsgeschwindigkeit durch diese Anpassungen unbeeinflußt bleiben (d. h. es würde genug Silan und nicht zu viel Wasserstoff, um die Pyrolysereaktion zu blockieren, geben) und die Wachstumgsgeschwindigkeit würde die maximale Wachstumgsgeschwindigkeit sein, die von der Arrhenius-Gleichung für die Wachstumstemperatur vorhergesagt wird.
  • 16 zeigt, daß die Pyrolyse von Silan tatsächlich in den meisten Fällen nicht durch die Reaktionsgeschwindigkeit beschränkt ist und daß das Fehlen von Silan-Rohmaterial an der Oberfläche die Abscheidegeschwindigkeit bei jeder gegebenen Temperatur unter die maximale Abscheidegeschwindigkeit verlangsamt. Die Pyrolyse von Silan ist in den meisten Fällen zwischen 530°C und 570°C durch die Massentransportgeschwindigkeit beschränkt.
  • 17 zeigt auch, daß die Pyrolyse von Silan bei 550°C bei einer Massenstromgeschwindigkeit von 133 sccm (Standard-cm3) nicht durch die Reaktionsgeschwindigkeit beschränkt ist und nicht die maximale Wachstumsgeschwindigkeit für nicht dotiertes amorphes Silicium von 3.4 nm/min bei 550°C erlaubt. Tatsächlich ist seine Pyrolyse bei dieser Massenstromgeschwindigkeit von 130 sccm und bei dem maximalen Partialdruck von 850 mTorr klar durch die Transportgeschwindigkeit beschränkt. Eine Massenstromgeschwindigkeit des Silans von 253 sccm ist auch zu niedrig, um die maximale Abscheidegeschwindigkeit von 5.3 nm/min bei 570°C zu erreichen, und seine Pyrolyse ist weiter bei 750°C und bei einem Partialdruck zwischen 600 und 850 mTorr durch die Massentransportgeschwindigkeit beschränkt. Eine Massentransportgeschwindigkeit des Silan von 312 sccm ist etwas zu langsam, um die maximale Abscheidegeschwindigkeit von ungefähr 5.3 nm/min bei 570°C und bei einem Partialdruck von 600 mTorr zu erreichen.
  • Um sicherzustellen, daß die mechanischen Eigenschaften der gewachsenen, nicht dotierten, amorphen Silicumschichten reproduzierbar sind, ist es notwendig, diese abzuscheiden, indem eine Massenstromgeschwindigkeit verwendet wird, die hoch genug ist, um einen durch die Reaktionsgeschwindigkeit beschränkten Bereich zwischen 530°C und 550°C zu ermöglichen. Für unsere Wachstumsapparatur wird empfohlen:
    • f. Die Massenströmungsgeschwindigkeit des Silans zu erhöhen, um die Ziel-Wachstumsgeschwindigkeit von 1.7 nm/min bei 530°C zu erreichen. Eine Massenstromgeschwindigkeit des Silans von 253 sccm bei 530°C würde sicherlich für einen durch die Reaktionsgeschwindigkeit beschränkten Zustand sorgen;
    • g. Die Massenstromgeschwindigkeit des Silans zu erhöhen, um die Ziel-Wachstumsgeschwindigkeit von 3.4 nm/min bei 550°C zu erreichen. Eine Massenstromgeschwindigkeit des Silans von 253 sccm bei 550°C könnte ausreichend sein und könnte einen durch die Reaktionsgeschwindigkeit beschränkten Zustand erlauben. Eine Massenstromgeschwindigkeit des Silan von ungefähr 350 bis 400 sccm bei 550°C würde bevorzugt sein, um einen durch die Reaktionsgeschwindigkeit beschränkten Zustand sicherzustellen.
  • Das Abscheiden von amorphem Silicium unter nicht durch die Reaktiongeschwindigkeit beschränkten Bedingungen ist in der Literatur sehr üblich. 18 zeigt die Abscheidegeschwindigkeit von nicht dotiertem und in situ dotiertem amorphem Silicum und Polysiliciumschichten. Die graphische Darstellung ist der folgenden Doktorarbeit entnommen: Helmut Puchner, „Advanced Process Modeling for VLSI Technology (Hochentwickeltes Prozeßmodellieren für die VLSI-Technologie)", Doktorarbeit, Institute for Microelectronics, Technische Universität Wien, Juni 1996.
  • Es geht aus dieser 18 hervor, daß der größte Anteil der berichteten Abscheidegeschwindigkeit von ungefähr 2.4 nm/min bei 550°C (weit unterhalb der Ziel-Wachstumsgeschwindigkeit von 3.4 nm/min, charakteristisch für einen durch die Reaktionsgeschwindigkeit beschränkten Zustand) für nicht dotiertes amorphes Silicium und von ungefähr 1.2 nm/min für dotiertes amorphes Silicium sicherlich nicht über durch die Reaktionsgeschwindigkeit beschränkten Bedingungen erreicht wird. Eine weitere Referenz zeigt eine Abscheidegeschwindigkeit des amorphen Silicium von nur 2.0 nm/min bei 550°C (wieder weit unterhalb der Ziel-Wachstumsgeschwindigkeit von 3.4 nm/min, die für einen Zustand mit beschränkte Reaktionsgeschwindigkeit charakteristisch ist): T.P. Chen, T.F. Lei, H.C. Lin und C.Y. Chan, „Low temperature growth of silicon-boron layer by ultrahigh vaccum chemical vapor deposition (Wachstum einer Silicium-Bor-Schicht durch chemisches Gasphasenabscheiden bei ultrahohem Vakuum und niedriger Temperatur)", Appl. Phys. Lett. Band 64, Nr. 14, 4. April 1994, Seiten 1853-1855.
  • Das Abscheiden von amorphem Silicium unter nicht durch die Reaktionsgeschwindigkeit beschränkten Bedingungen wird zu nicht reproduzierbaren mechanischen Eigenschaften rühren. Nicht wiederholbare Ergebnisse können in den verschiedenen Schriften beobachtet werden, die die mechanischen Eigenschaften von nicht dotiertem, amorphen Silicum beschreiben, welches bei Temperaturen zwischen 550 und 575°C abgeschieden worden ist. Ein Beispiel derartiger nicht wiederholbarer Ergebnisse ist in der 19 gezeigt, die der folgenden Referenz entnommen ist: Jie Yang, Harold Kahn, An-Qiang He, Stephen M. Phillips und Arthur H. Heuer, „A new technique for producing large-area as-deposited zero-stress LPCVD polysilicon films: The multipoly process (Eine neue Technik zum Erzeugen großflächiger abgeschiedener belastungsfreier Polysiliciumfilme mittels LPCVD: Der Multipoly-Prozeß)", IEEE Journal of micro electromechanical systems, Band 9, Nr. 4, Dezember 2000, Seiten 485–494.
  • Das Abscheiden von amorphem Silicium unter durch die Reaktionsgeschwindigkeit beschränkten Bedingungen wird zu reproduzierbaren mechanischen Eigenschaften führen. 20 zeigt die mechanische Druckbelastung, die bei amorphen Siliciumschichten erreicht wird, welche nahe an dem durch die Reaktionsgeschwindigkeit begrenzten Zustand abgeschieden sind:
    • h. Nicht dotiertes amorphes Silicium, Reaktionsgeschwindigkeit 1.7 nm/min bei 530°C;
    • i. nicht dotiertes amorphes Silicium, Wachstumsrate 5.3 nm/min bei 570°C.
  • Die folgende Diskussion wird nun zeigen, daß es möglich ist, eine zugbelastete, abgeschiedene, in situ mit Phosphor dotierte, amorphe Siliciumschicht zu erhalten, die die mechanische Druckbelastung kompensieren wird, welche mit diesem nicht dotierten, amorphen Silicium erhalten wird, um leitende laminierte Strukturen mit geringer mechanischer Belastung ohne das Erfordernis irgendeiner Diffusion und/oder Aktivierung bei hoher Temperatur zu erhalten. Diese Kombination ist ein wichtiger Aspekt der Erfindung, da die Verarbeitungstemperatur auf die Abscheidetemperatur des nicht dotierten amorphen Siliciums und auf die Abscheidetemperatur des dotierten amorphen Siliciums beschränkt ist, sie erlaubt das Erzeugen von MEMS über integrierten Schaltungen.
  • Die Wachstumsparameter von mechanisch zugbelastetem, mit Phosphor dotiertem und elektrisch leitendem amorphen Silicium, das bei Temperaturen zwischen 530°C und 550°C abgeschieden wird
  • Eine sorgfältige Analyse der Periodentafel der 21 zeigt, daß der Atomradius des Phosphor mit 98 Pikometer viel kleiner ist als der Atomradius des Silciums mit 111 Pikometer. Diese einfache Beobachtung hat einen sehr starken Einfluß, da sie voraussagt, daß es möglich sein könnte, das in situ-Dotieren von Silicium mit Phosphor einzusetzen, um die mechanische Druckbelastung eines nicht dotierten amorphen Silicium, das unter durch die Reaktionsgeschwindigkeit beschränkten Zuständen abgeschieden worden ist, in eine Zugbelastung des mit Phosphor dotiertem, elektrisch leitendem amorphem Silicium umzuwandeln und möglicherweise in ein unbelastetes, mit Phosphor dotiertem elektrisch leitendes amorphes Silicum.
  • Diese Gitterkonzentrationswirkung ist für Einkristall-Siliciumwafer in der folgenden Referenz beobachtet und gekennzeichnet worden: Chang Seung Lee, Jong Hyun Lee, Chang Auck Choi, Kwangsoo No und Dang Moon Wee, „Effects of phosphorus an stress of multi-stacked polysilicon film and single crystalline silicon (Einfluß von Phosphor auf die Belastung von mehrfach gestapeltem Polysiliciumfilm und Einkristall-Silicium)", J. Micromech. Microeng. 9 (1999), Seiten 252-263.
  • Die Referenz oben zeigt, daß Phosphoratome, die in das Siliciumgitter eines Einkristall-Siliciumwafers implantiert werden, eine Gitterkontraktion und eine Zugbelastung hervorrufen, die linear proportional zu der Dotierung mit Phosphor ist. Diese Zugbelastung wird nach einem Tempern bei hoher Temperatur verringert. Die Beziehung zwischen der relativen Änderung des Gitterabstands des Einkristall-Siliciumwafers und dem Dotierpegel des Phosphors wird aus einer Röntgenstrahl-Schaukelkurve mit hoher Auflösung als –4.5·1024 cm3 für Phosphor berechnet. Die sich ergebende Kurve des Gitterdilationskoeffizienten für Phosphor ist in 22 wiedergegeben. Diese Referenz oben berichtet auch, daß diese Ergebnisse im Gegensatz zu den Ergebnissen stehen, die für Polysiliciumproben beobachtet worden sind, und erklärt den Unterschied durch das Vorliegen von Korngrenzen in den Polysiliciumproben.
  • Es wird nun gezeigt werden, daß das Dotieren mit Phosphor in situ bei einer niedrigen Temperatur im Bereich zwischen 530°C und 550°C die Umwandlung des mechanisch druckbelastete, nicht dotierten, amorphen Siliciums in ein mechanisch zugbelastetes, elektrisch leitendes, mit Phosphor dotiertes, amorphes Silicium hervorrufen kann. Die neue Technik wird die folgenden Vorteile für MEMS-Anwendungen haben:
    • j. Sie wird das Erzeugen leitender laminierter Schichten ermöglichen, bei denen nicht dotiertes, druckbelastetes, amorphes Silicium und mit Phosphor dotiertes, zugbelastetes, elektrisch leitendes amorphes Silicium ohne das Erfordernis anschließender Diffusionen und/oder Aktivierungen bei hoher Temperatur nach dem Abscheiden, um leitende Strukturen zu erhalten, verwendet werden;
    • k. Sie wird für ein gleichförmiges Dotieren mit Phosphor über die Dicke des amorphen Siliciumfilms sorgen, was somit die Wirkungen mikrostruktureller Variationen in der Dickenrichtung bei manchen mechanischen Eigenschaften ausschaltet;
    • l. Sie wird die Biegemomente bei mechanisch entlasteten Strukturen ausschalten (die Ablenkungen aus der Ebene heraus verursachen).
  • Die Einbaugeschwindigkeit des Phosphors in ein wachsendes amorphes Silicium ist durch die folgende Pyrolysereaktion von Phosphin gekennzeichnet:
    • m. PH3 (Gas, das die Oberfläche erreicht) → P (Einbau in Si) + 3/2 H2 (das von der Oberfläche entfernt wird);
    • n. Wenn die Einströmgeschwindigkeit des Phosphins und die Beseitigungsgeschwindigkeit des Wasserstoffs diese Pyrolysereaktion nicht beschränken, sollte der Einbau von Phosphor durch die Reaktionsgeschwindigkeit beschränkt sein und sollte der folgenden Arrhenius-Gleichung folgen: R = R0exp (– Ea'/kT)
    wobei Ea' die Aktivierungsenergie (eV) für die Pyrolyse von Phosphin ist, k die Boltzmann-Konstante ist und T die Temperatur (K) ist. Ähnlich wie bei Silan sollte, wenn die Einströmgeschwindigkeit des Phosphins gesteuert und während des Wachstums der amorphen Silicimschicht konstant gehalten wird (Abscheiden unter durch die Reaktionsgeschwindigkeit beschränkten Bedingungen) und wenn die Beseitigungsgeschwindigkeit des Wasserstoffs auch gesteuert und während des Wachstums der dotierten amorphen Siliciumschicht konstant gehalten wird, das wachsende amorphe Silicium dann die Phosphoratome gleichförmig einbauen.
  • Wenn die Menge an einströmendem Phosphin derart gesteuert wird, daß sie die Pyrolysereaktion bei der Wachstumstemperatur des amorphen Silicium (zwischen 530°C und 550°C) beschränkt, dann wird der Einbau von Phosphor auch den durch die Reaktionsgeschwindigkeit beschränkten Zustand erreichen, und der Einbau von Phosphor in das wachsende, mit Phosphor dotierte, amorphe Silicium wird durch die Abscheidetemperatur festgelegt und nur durch diese diktiert, da das Verhältnis der Aktivierungsenergien von Silan und Phosphin bei einer gegebenen Wachstumstemperatur konstant ist. Dies wird zu einem festgelegten Einbau von Phosphor und möglicherweise einer festen mechanischen Belastung bei einer gegebenen Wachstumstemperatur führen.
  • Wenn die gesteuerte Menge an einströmendem Phosphin derart ist, daß sie die Pyrolysereaktion des Phosphins beschränkt, dann wird der Einbau des Phosphors in einen durch die Massentransportgeschwindigkeit beschränkten Zustand eintreten, und der Einbau von Phosphor in die wachsende amorphe Siliciumschicht wird durch drei Prozeßparameter geändert und gesteuert:
    • o. Die Abscheidetemperatur;
    • p. Die Massenstromgeschwindigkeit des Phosphins, das in die Wachstumsapparatur eintritt;
    • q. Den Partialdruck des Phosphins während des Wachstums.
  • Das Steuern des Einbaus des Phosphors und der Eigenschaften, so wie der mechanischen Belastung und dem Volumenwiderstand eines in situ dotierten, amorphen Siliciums, das unter durch die Massentransportgeschwindigkeit beschränkten Bedingungen abgeschieden wird, ist recht fordernd und sollte vermieden werden. Das Dotieren des wachsenden amorphen Siliciums mit genau der richtigen Menge an Phosphin, um die wachsende Oberfläche mit der richtigen Menge an Phosphoratomen „gut zu füttern", die von der Oberfläche bei der Wachstumstemperatur gefordert wird, wird zu einem stabilen Prozeß führen.
  • Da das Abscheiden des amorphen Siliciums bei relativ niedrigeren Temperaturen zwischen 530°C und 550°C durchgeführt wird, wird erwartet, daß der Einbau des Phosphors und die Wachstumgsgeschwindigkeit des mit Phosphor dotierten amorphen Siliciums leicht bei den durch die Reaktionsgeschwindigkeit beschränkten Bedingungen eingestellt werden kann, indem der Fluß des auf die Oberfläche einströmenden Phosphins variiert wird (durch Variieren der Geschwindigkeit des einströmenden Phosphins, das die Pyrolysereaktion „füttert", über Anpassungen des Massenstroms von Phosphin, das in die Pyrolyseapparatur einströmt, oder, als Alternative, durch Variieren des Partialdruckes von Phosphin im Gleichgewicht in die Pyrolyseapparatur über Einstellungen der Pumpgeschwindigkeit bei einer gegebenen Einströmgeschwindigkeit des Phosphins). Wenn die Pyrolysereaktion des Phosphins durch die Geschwindigkeit beschränkt wird, würden dann die Einbaugeschwindigkeit des Phosphors (Volumenwiderstand) und die Wachstumsgeschwindigkeit des mit Phosphor dotierten amorphen Siliciums durch diese Einstellungen unbeeinflußt sein (d. h. es würde genug Phosphin und nicht zu viel Wasserstoff, um die Pyrolysereaktion von beiden, Phosphin UND Silan, zu blockieren, vorliegen), und die Einbaugeschwindigkeit des Phosphors und die Wachstumsgeschwindigkeit des mit Phosphor dotieren amorphen Siliciums würden dann die maximalen Geschwindigkeiten erreichen, die von den beiden Arrhenius-Gleichungen für die Wachstumstemperatur vorausgesagt werden:
    Wachstumsgeschwindigkeit für mit Phosphor dotiertes amorphes Silicium 1.7 mm/min bei 530°C;
    Wachstumsgeschwindigkeit für mit Phosphor dotiertes amorphes Silicum 3.4 nm/min bei 550°C;
    Wachstumsgeschwindigkeit für mit Phosphor dotiertes amorphes Silicium 5.3 nm/min bei 570°C.
  • 23 zeigt die Wachstumsgeschwindigkeiten verschiedener mit Phosphor dotierter Schichten, die unter unterschiedlichen Abscheidebedingungen abgeschieden worden sind. Phosphin hat eine vergiftende Wirkung auf die Oberfläche, und eine überschüssige Menge an Phosphin verlangsamt die Wachstumsrate des mit Phosphor dotierten amorphen Siliciums zwischen 530°C und 570°C. Die 253 sccm des Silans ist ein etwas zu geringer Massenstrom des Silans in der Apparatur, um einen durch die Reaktionsgeschwindigkeit beschränkten Zustand sicherzustellen, wenn Phosphin der Gasmischung hinzugesetzt wird, um Phosphor einzubauen. Wieder wird ein leichtes Erhöhen der Massenstromgeschwindigkeit des Silans und eine leichte Optimierung (Verringerung) der Strömungsgeschwindigkeit des Phosphins den erwünschten Zustand, der durch die Reaktionsgeschwindigkeit beschränkt ist, sicherstellen.
  • 24 zeigt, daß die Abscheidegeschwindigkeit von mit Phosphor dotiertem amorphen Silicium stark durch ein Anwachsen des Partialdrucks von Phosphin beeinflußt wird, wenn die Abscheidetemperatur über 550°C ansteigt. Dieses unterstützt wiederum das Argument, daß die Massenstromgeschwindigkeit von Silan für das Abscheiden bei Temperaturen um 550°C etwas zu niedrig ist, um einen durch die Reaktionsgeschwindigkeit beschränkten Zustand sicherzustellen, wenn ein Partialdruck des Phosphins nach und nach der Gasmischung zugefügt wird, um Phosphor einzubauen. Wiederum wird ein leichtes Anwachsen der Massenstromgeschwindigkeit des Silans und der Beschränkung der Stromgeschwindigkeit des Phosphins auf den optimalen Wert die erwünschten, durch die Reaktionsgeschwindigkeit beschränkten Bedingungen sicherzustellen, die reproduzierbare mechanische Eigenschaften ermöglichen:
    • r. Wachstumsgeschwindigkeit von mit Phosphor dotiertem amorphen Silicium 1.7 nm/min bei 530°C;
    • s. Wachstumsgeschwindigkeit von mit Phosphor dotiertem amorphen Silicium 3.4 nm/min bei 550°C;
    • t. Wachstumsgeschwindigkeit von mit Phosphor dotiertem amorphen Silicium 3.9 nm/min bei 555°C;
    • u. Wachstumsgeschwindigkeit von mit Phosphor dotiertem amorphen Silicium 4.4 nm/min bei 560°C;
    • v. Wachstumsgeschwindigkeit von mit Phosphor dotiertem amorphen Silicium 4.9 nm/min bei 565°C;
    • w. Wachstumsgeschwindigkeit von mit Phosphor dotiertem amorphen Silicium 5.3 nm/min bei 570°C.
  • 25 zeigt das eindrucksvolle Ergebnis der Erfindung: mechanisch zugbelastete, mit Phosphor dotierte, elektrisch leitende, amorphe Siliciumschichten können erhalten werden, wenn sie eng bei diesen durch die Reaktionsgeschwindigkeit beschränkten Bedingungen abgeschieden werden.
  • 26 zeigt den Volumenwiderstand des in situ mit Phosphor dotierten, mechanisch zugbelasteten, amorphen Siliciums, das bei einer Temperatur von nur 550°C abgeschieden worden ist.
  • Die Kombination aus nicht dotiertem, druckbelastetem, amorphem Silicium und mit Phosphor dotiertem, zugbelastetem, elektrisch leitendem, amorphem Silicium bei der Erzeugung von strukturellem Silicium mit geringer Restspannung, um MEMS über integrierten Schaltungen herzustellen
  • Die Kombination des mechanisch druckbelastete, nicht dotierten, amorphen Siliciums, das in 20 gezeigt ist, mit dem mechanisch zugbelastete, mit Phosphor dotierten, elektrisch leitenden, amorphen Siliciums, das in 26 gezeigt ist, erlaubt die Herstellung symmetrisch laminierter Strukturen ohne Restspannung ähnlich denen, die in den genannten Referenzen des Standes der Technik der Siemens Aktiengesellschaft (8) und der Case Westers Reserve University (9) beschrieben sind: Markus Biebl, USA-Patent 5 753 134 , „Method for producing a layer with reduced mechanical stresses (Verfahren zum Erzeugen einer Schicht mit verringerten mechanische Spannungen)", Siemens Aktiengesellschaft, angemeldet am 23. November 1994 und erteilt am 9. Mai 1998; Arthur H. Heuer, Harold Kahn und Jie Yang, USA-Patent 6 268 068 , „Low stress polysilicon film and method for producing the same (Gering belasteter Polysiliciumfilm und Verfahren zu dessen Erzeugung)", Case Western Reserve University, angemeldet am 1. März 1999 und erteilt am 31. Juli 2001; Arthur H. Heuer, Harold Kahn und Jie Yang, USA-Patent 6 465 045 , „Low stress polysilicon film and method for producing same (Gering belasteter Polysiliciumfilm und Verfahren zu dessen Herstellung)", Case Western Reserve University, angemeldet am 18. Oktober 2000 und erteilt am 15. Oktober 2002, Arthur H. Heuer, Harold Kahn, Jie Yang und Stephen M. Phillipps, USA-Patent 6 479 166 , „Large area polysilicon films with predetermined stress characteristics and method for producing same (Großflächige Polysiliciumfilme mit vorbestimmten Belastungseigenschaften und Verfahren zum Erzeugen derselben)", Case Western Reserve University, angemeldet am 1. Mai 2000 und erteilt am 12. November 2002; Jie Yang, Harold Kahn, An-Qiang He, Stephen M. Phillips und Arthur H. Heuer, „A new technique for producing largearea as-deposited zero-stress LPCVD polysilicon films: The multipoly process (Eine neue Technik zum Erzeugen großflächiger abgeschiedener spannungsfreier Polysiliciumfilme mittels LPCVD: Der Multipoly-Prozeß)", IEEE Journal of microelectromechanical systems, Band 9, Nr. 4, Dezember 2002, Seiten 485-494.
  • Die elektronischen Komponenten in der Vorrichtung können zum Beispiel ein N-Kanal-Metalloxidhalbleiter (NMOS)-Bauteil, ein P-Kanal-Metalloxidhalbleiter (PMOS)-Bauteil; ein Komplementärmetalloxidhalbleiter (CMOS)-Bauteil; ein Metalloxidhalberleiter-Bauteil mit erweiterter Senke (DMOS), ein bipolares Bauteil, ein bipolares CMOS- oder BiCMOS-Bauteil, ein bipolares DMOS- oder BCDMOS-Bauteil, ein mit Hochspannung betriebenes NMOS-Bauteil, ein mit Hochspannung betriebenes PMOS-Bauteil, ein mit Hochspannung betriebenes CMOS-Bauteil, ein mit Hochspannung betriebenes DMOS-Bauteil, ein mit Hochspannung betriebenes bipolares Bauteil, ein mit Hochspannung betriebenes BiCMOS-Bauteil, ein bipolares DMOS- oder BCDMOS-Bauteil oder Kombinationen aus diesen.
  • Die Hochspannung kann höher als 5 Volt sein, jedoch niedriger als 1200 Volt, höher als 5 Volt, jedoch niedriger als 600 Volt, höher als 5 Volt, jedoch niedriger als 300 Volt, höher als 5 Volt, jedoch niedriger als 200 Volt, höher als 5 Volt, jedoch niedriger als 100 Volt, höher als 5 Volt, jedoch niedriger als 40 Volt, höher als 5 Volt, jedoch niedriger als 20 Volt, höher als 4 Volt, jedoch niedriger als 10 Volt.
  • Die Verbindungsschicht kann eine geschichtete Struktur aufweisen, die thermisch stabile Verbindungen sicherstellt, welche eine auf Titan basierende Unterschicht, eine auf Aluminium basierende Mittelschicht und eine auf Titan basierende Oberschicht aufweist. Die auf Titan basierende Unterschicht kann aus Titan, Ti, Titannitrid, TiN, oder Kombinationen aus Ti und TiN bestehen; die auf Aluminium basierende Mittelschicht kann aus Aluminium, Al, einer binären Legierung Aluminium-Sicilium, die weniger als 2.0 Gew.-% Silicum enthält, um eine siliciumeutektische Temperatur von mehr als 567°C sicherzustellen, einer binären Legierung Aluminium-Kupfer, die weniger als 6.0 Gew.-% Kupfer enthält, um eine siliciumeutektische Temperatur von mehr als 548°C sicherzustellen, einer binären Aluminiumlegierung mit einer eutektischen Temperatur höher als 545°C, einer ternären Legierung Aluminium-Silicium-Kupfer, die weniger als 2 Gew.-% Silicum und weniger als 6 Gew.-% Kupfer enthält, einer ternären Aluminiumlegierung mit einer eutektischen Temperatur höher als 545°C bestehen; und die auf Titan basierende Oberschicht kann aus Titan, Ti, Titannitrid, TiN, oder Kombinationen aus Ti und TiN bestehen.
  • Die Unterschicht kann durch physikalisches Gasphasenabscheiden, PVD, reaktives PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, schnelle thermische Bearbeitung, RTP, oder eine Kombination aus diesen abgeschieden werden.
  • Die auf Aluminium basierende Mittelschicht kann durch physikalisches Gasphasenabscheiden, PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, metallorganisches chemisches Gasphasenabscheiden, MOCVD, oder einer Kombination aus diesen abgeschieden werden.
  • Die Oberschicht kann durch physikalisches Gasabscheiden, PVD, reaktives PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmaunterstütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppel tes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, schnelle thermische Bearbeitung, RTP, oder eine Kombination aus diesen abgeschieden werden.
  • Die auf Tantal basierende Unterschicht kann durch physikalisches Gasphasenabscheiden, PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmaunterstütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD oder einer Kombination aus diesen abgeschieden werden, die auf Kupfer basierende Mittelschicht kann durch physikalisches Gasphasenabscheiden, PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, metallorganisches chemisches Gasphasenabscheiden, MOCVD, Elektroplattieren, stromloses Plattieren oder Kombinationen aus diesen abgeschieden werden, und die Oberschicht kann durch physikalisches Gasphasenabscheiden, PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmaunterstütztes Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, oder Kombinationen aus diesen abgeschieden werden.
  • Die Verbindungsschicht kann auch eine geschichtete Struktur haben, die eine auf Titan basierende Unterschicht und eine auf Wolfram basierende Schicht aufweist. Die auf Titan basierende Unterschicht kann aus Titan, Ti, Titannitrid, TiN, oder aus Kombinationen aus Ti und TiN bestehen; und die auf Wolfram basierende Schicht ist CVD-W.
  • Die auf Titan basierende Unterschicht kann abgeschieden werden durch: physikalisches Gasphasenabscheiden, PVD, reaktives PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, schnelle thermische Bearbeitung, RTP, Kombinationen aus diesen.
  • Die auf Wolfram basierende Schicht kann abgeschieden werden durch: chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, Kombinationen aus diesen.
  • Die Verbindungsschicht kann auch eine geschichtete Verbindungsstruktur sein, die eine auf Titan basierende Unterschicht, eine auf Wolfram basierende Mittelschicht, so wie CVD-W, eine auf Titan basierende Oberschicht, so wie aus Titan, Ti, Titannitrid, TiN, oder Kombinationen aus Ti und TiN, aufweisen.
  • In diesem Fall kann die Unterschicht abgeschieden werden durch: physikalisches Dampfabscheiden, PVD, reaktives PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, schnelle thermische Bearbeitung, RTP, Kombinationen aus diesen.
  • Die auf Wolfram basierende Mittelschicht kann abgeschieden werden durch: chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, Kombinationen aus diesen.
  • Die Oberschicht kann abgeschieden werden durch: physikalisches Gasphasenabscheiden, PVD, reaktives PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, schnelle thermische Bearbeitung, RTP, oder Kombinationen aus diesen.
  • Die Schutzschicht kann eine nicht dotierte amorphe Siliciumschicht, a-Si, eine mit Phosphor dotierte amorphe Siliciumschicht, a-Si(P), eine Titanschicht, Ti, eine Titannitridschicht, TiN, eine Schicht aus Aluminiumlegierung, eine Siliciumnitridschicht, die durch plasmagestütztes chemisches Gasphasenabscheiden, PECVD, gebildet ist, eine Spin-on-Polymerschicht oder eine Kombination aus diesen sein.
  • Die Schutzschicht kann durch physikalisches Gasphasenabscheiden, PVD, reaktives PVD, chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, schnelle thermische Bearbeitung, RTP, oder Kombinationen aus diesen abgeschieden werden.
  • Die Opferschicht kann eine Schicht aus Silikatglas, SG, eine Schicht aus mit Phosphor dotiertem Silikatglas, PSG, eine Schicht aus mit Bor dotiertem Silikatglas, BSG, eine Schicht aus mit Bor und Phosphor dotiertem Silikatglas, BPSG, eine Schicht aus Tetraethylorthosilikatglas, TEOS, ein fluoriniertes Dielektrikum, ein stark poröses Dielektrikum, eine Schicht aus Silikat-on-Glas, SOG, eine Schicht aus mit Phosphor dotiertem Silikat-SOG oder Kombinationen aus diesen sein.
  • Die Operschicht kann abgeschieden werden durch: chemisches Gasphasenabscheiden, CVD, chemisches Gasphasenabscheiden bei niedrigem Druck, LPCVD, plasmagestütztes chemisches Gasphasenabscheiden, PECVD, induktiv gekoppeltes Plasma, ICP, metallorganisches chemisches Gasphasenabscheiden, MOCVD, Spin-on, Kombinationen aus diesen.

Claims (30)

  1. Verfahren zur Herstellung einer mikroelektromechanischen Vorrichtung, MEM-Vorrichtung (10) und einer elektronischen Vorrichtung (11) auf einem gemeinsamen Substrat (12), umfassend die folgenden Schritte: Herstellen der elektronischen Vorrichtung (11), die eine Vielzahl von elektronischen Komponenten auf dem gemeinsamen Substrat (12) umfaßt; Aufbringen einer Verbindungsschicht (15) auf der elektronischen Vorrichtung; Kapseln der integrierten elektronischen Vorrichtung mit einer Schutzschicht (27); Ausbilden einer Opferschicht über der Schutzschicht; Öffnen von Löchern in der Opferschicht und der Schutzschicht (27), um die Verbindung der MEM-Vorrichtung mit der elektronischen Vorrichtung (11) zu ermöglichen; Herstellen der MEM-Vorrichtung (10) durch Aufbringen mindestens einer amorphen Siliciumschicht über der Opferschicht, nachdem die Löcher in den Schichten geöffnet worden sind, und Strukturieren der mindestens einen amorphen Silicumschicht in einem Bereich über der elektronischen Vorrichtung (11); und Entfernen mindestens eines Abschnitts der Opfermetallschicht unter dem strukturierten Teil der amorphen Schicht, dadurch gekennzeichnet, daß die amorphe Siliciumschicht nichtdotiertes oder in situ phosphordotiertes amorphes Silicum ist, das in einem reaktionsratenbegrenzten Regime zwischen 530°C und 550°C aufgebracht wird, und die Verbindungsschicht (15) zwischen 530°C und 550°C thermisch stabil ist.
  2. Verfahren nach Anspruch 1, wobei die Verbindungsschicht (15) ein Kontaktmaterial aufweist, das ein Feuerfestmaterial enthält, das eine thermisch stabile Kontaktbeständigkeit gegen N+-dotiertes Silicium, P+-dotiertes Silicium und Polysilicium sicherstellt.
  3. Verfahren nach Anspruch 2, wobei das Kontaktmaterial aus der Gruppe gewählt ist, die aus folgendem besteht: Titan, Ti; Titan-Wolfram-Legierung, TiW; Titannitrid, TiN; Titansilicid, TiSi2; und Kombinationen daraus.
  4. Verfahren nach Anspruch 1, 2 oder 3, wobei die Verbindungsschicht (15) ein Material umfaßt, das aus der Gruppe gewählt ist, die aus folgendem besteht: Aluminium; wobei ein Plug aus einer Aluminium-Silicium-Zweistofflegierung weniger al 2,0 Gew.-% Silicum ent hält, um eine siliciumeutektische Temperatur von mehr als 567°C sicherzustellen; ein Plug aus einer Aluminium-Kupfer-Zweistofflegierung weniger als 6,0 Gew.-% Kupfer enthält, um eine silicumeutektische Temperatur von mehr als 548°C sicherzustellen; ein weiterer Plug aus einer Zweistoff-Aluminium-Legierung eine eine eutektische Temperatur von mehr als 545°C hat; eine Aluminium-Silicum-Kupfer-Dreistofflegierung weniger als 2,0 Gew.-% Silicium und weniger als 6,0 Gew.-% Kupfer enthält; eine Dreistoff-Aluminium-Legierung eine eutektische Temperatur von mehr als 545°C hat; Kupfer; Wolfram; und Kombinationen daraus.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Verbindungsschicht (15) eine Mehrschichtstruktur umfaßt, die thermisch stabile Zwischenverbindungen sicherstellt, wobei die Mehrschicht-Verbindungsstruktur eine titanbasierte Unterschicht, eine aluminiumbasierte Mittelschicht und eine titanbasierte Oberschicht umfaßt.
  6. Verfahren nach Anspruch 5, wobei die titanbasierte Unterschicht aus der Gruppe gewählt ist, die aus folgendem besteht: Titan, Ti; Titannitrid, TiN; und Kombinationen aus Ti und TiN; die aluminiumbasierte Mittelschicht aus der Gruppe gewählt ist, die aus folgendem besteht: Aluminium, Al; wobei eine Aluminium-Silicium-Zweistofflegierung weniger als 2,0 Gew.-% Silicium enthält, um eine siliciumeutektische Temperatur von mehr als 567°C sicherzustellen, eine Aluminium-Kupfer-Zweistofflegierung weniger als 6,0 Gew.-% Kupfer enthält, um eine siliciumeutektische Temperatur von mehr als 548°C sicherzustellen; eine Zweistoff-Aluminium-Legierung eine eutektische Temperatur von mehr als 545°C hat; eine Aluminium-Silicium-Kupfer-Dreistofflegierung weniger als 2,0 Gew.-% Silicium und weniger als 6,0 Gew.-% Kupfer enthält; und eine Dreistoff-Aluminium-Legierung eine eutektische Temperatur von mehr als 545°C enthält; und eine titanbasierte Überschicht aus der Gruppe gewählt ist, die aus folgendem besteht: Titan, Ti; Titannitrid, TiN; und Kombinationen aus Ti und TiN.
  7. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Zwischenschicht (15) eine Mehrschichtstruktur hat, die eine tantalbasierte Unterschicht; eine kupferbasierte Mittelschicht; und ein tantalbasierte Oberschicht umfaßt.
  8. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Zwischenschicht (15) eine Mehrschicht-Verbindungsstruktur umfaßt, die eine titanbasierte Unterschicht und eine wolframbasierte Schicht umfaßt.
  9. Verfahren nach Anspruch 8, wobei die titanbasierte Unterschicht aus der Gruppe ausgewählt ist, die aus folgendem besteht: Titan, Ti; Titannitrid, TiN; und Kombinationen aus Ti und TiN; und die wolframbasierte Schicht CVD-W ist.
  10. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Verbindungsschicht (15) eine Mehrschicht-Verbindungsstruktur ist, die folgende umfaßt: eine titanbasierte Unterschicht, eine wolframbasierte Mittelschicht, wie etwa CVD-W, eine titanbasierte Überschicht, wie etwa Titan, Ti, Titannitrid, TiN oder Kombinationen aus Ti und TiN.
  11. Verfahren nach Anspruch 10, wobei die titanbasierte Unterschicht aus der Gruppe gewählt ist, die aus folgendem besteht: Titan, Ti, Titannitrid, TiN und Kombinationen daraus; die wolframbasierte Mittelschicht CVD-W ist; und die titanbasierte Überschicht aus der Gruppe gewählt ist, die aus folgendem besteht: Titan, Ti; Titannitrid, TiN; und Kombinationen aus Ti und TiN.
  12. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Schutzschicht umfaßt: eine Schicht, die aus der Gruppe gewählt ist, die aus folgendem besteht: eine nichtdotierte amorphe Siliciumschicht a-Si; eine phosphordotierte amorphe Siliciumschicht a-Si(P; eine Titan, Ti-Schicht; eine Titannitrid-, TiN-Schicht; eine Aluminiumlegierungsschicht, eine mit Plasmaunterstützung chemisch aufgedampfte, PECVD-Siliciumnitridschicht; eine aufgeschleuderte Polymerschicht; oder eine Kombination daraus.
  13. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Opferschicht aus der Gruppe gewählt ist, die aus folgendem besteht: eine Silicatglas-, SG-Schicht; eine phosphordotierte Silicatglas-, PSG-Schicht; eine bordotierte Silicatglas-, BSG-Schicht; eine bor- und phosphordotierte Silicatglas-, BPSG-Schicht; eine Tetraethylorthosilicatglas-„ TEOS-Schicht; ein fluoriertes Dielektrikum; ein hochporöses Dielektriku; eine aufgeschleuderte Silicatglas-, SOG-Schicht; eine phosphordotierte Silicatglas-, SOG-Schicht; oder eine Kombination daraus.
  14. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Öffnung der Löcher in der Opferschicht und in der Schutzschicht die Herstellung von Verbindungen mit einem Schaltkreiselement ermöglichen, das aus der Gruppe gewählt ist, die aus folgendem besteht: eine N+-Sperrschicht; eine P+-Sperrschicht; eine Polysiliciumschicht; eine Zwischenverbindung; oder Kombinationen daraus.
  15. Verfahren nach einem der vorhergehenden Ansprüche, wobei die mindestens eine amorphe Siliciumschicht unter Verwendung eines Silan-Partialdrucks von weniger als 5000 mTorr aufgebracht wird.
  16. Verfahren nach einem der vorhergehenden Ansprüche, wobei die mindestens eine amorphe Siliciumschicht unter Verwendung eines Silan-Partialdruckes zwischen 100 und 500 mTorr aufgebracht wird.
  17. Verfahren nach einem der vorhergehenden Ansprüche, wobei die mindestens eine amorphe Siliciumschicht unter Verwendung eines Phosphin-Partialdruckes von weniger als 5 mTorr phosphordotiert wird.
  18. Verfahren nach einem der vorhergehenden Ansprüche, wobei die mindestens eine amorphe Siliciumschicht unter Verwendung eines Phosphin-Partialdrucks zwischen 0,10 und 0,50 mTorr phosphordotiert wird.
  19. Verfahren nach einem der vorhergehenden Ansprüche, wobei die mindestens eine amorphe Siliciumschicht phosphordotiert wird, um einen spezifischen Volumenwiderstand von weniger als 1000 mΩ·cm zu bewirken.
  20. Verfahren nach einem der vorhergehenden Ansprüche, wobei die mindestens eine amorphe Siliciumschicht phosphordotiert wird, um einen Volumenwiderstand zwischen 0,1 und 1 mΩ·cm zu bewirken.
  21. Verfahren nach einem der Ansprüche 1 bis 16, wobei die mindestens eine amorphe Siliciumschicht nicht dotiert wird und eine mechanische Druckspannung von weniger als 400 MPa hat.
  22. Verfahren nach einem der Ansprüche 1 bis 16, wobei die mindestens eine amorphe Siliciumschicht nicht dotiert wird und eine mechanische Druckspannung zwischen –0,01 MPa und –10 MPa hat.
  23. Verfahren nach einem der Ansprüche 1 bis 20, wobei die mindestens eine amorphe Siliciumschicht phosphordotiert wird und eine mechanische Dehnungsspannung von weniger als +400 MPa hat.
  24. Verfahren nach einem der Ansprüche 1 bis 20, wobei die mindestens eine amorphe Siliciumschicht phosphordotiert wird und eine mechanische Dehnungsspannung zwischen +0,01 MPa und +10 MPa hat.
  25. Verfahren nach einem der Ansprüche 1 bis 20, wobei die mindestens eine amorphe Siliciumschicht geringfügig phosphordotiert wird und eine untere mechanische Restspannung von weniger als –100 MPa hat.
  26. Verfahren nach einem der vorhergehenden Ansprüche, umfassend die mehreren amorphen Siliciumschichten, die eine Laminatstruktur bilden, die nichtdotierte und phosphordotierte Schichten kombiniert, wobei die Laminatstruktur eine untere mechanische Restspannung von weniger als –100 MPa hat.
  27. Verfahren nach einem der Ansprüche 1 bis 16, wobei die mindestens eine amorphe Siliciumschicht nicht dotiert wird und einen absoluten Spannungsgradienten von weniger als 20 MPa/μm hat.
  28. Verfahren nach einem der Ansprüche 1 bis 20, wobei die mindestens eine amorphe Siliciumschicht phosphordotiert wird und einen absoluten Spannungsgradienten von weniger als 20 MPa/μm hat.
  29. Verfahren nach einem der Ansprüche 1 bis 20, wobei die mindestens eine amorphe Siliciumschicht geringfügig phosphordotiert wird und einen unteren absoluten Spannungsgradienten von weniger als 5 MPa/μm hat.
  30. Verfahren nach einem der vorhergehenden Ansprüche, umfassend mehrere amorphe Siliciumschichten, die eine Laminatstruktur bilden, die nicht dotierte und phosphordotierte Schichten kombiniert, wobei die Laminatstruktur einen unteren absoluten Spannungsgradienten von weniger als 5 MPa/μm hat.
DE602004010729T 2003-02-07 2004-02-05 Herstellung von verbesserten Silizium-basierten MEMS-Vorrichtungen Expired - Lifetime DE602004010729T2 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US44542603P 2003-02-07 2003-02-07
US445426P 2003-02-07
US44701903P 2003-02-13 2003-02-13
US447019P 2003-02-13
US410158 2003-04-10
US10/410,158 US20040157426A1 (en) 2003-02-07 2003-04-10 Fabrication of advanced silicon-based MEMS devices

Publications (2)

Publication Number Publication Date
DE602004010729D1 DE602004010729D1 (de) 2008-01-31
DE602004010729T2 true DE602004010729T2 (de) 2008-12-04

Family

ID=32776968

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602004010729T Expired - Lifetime DE602004010729T2 (de) 2003-02-07 2004-02-05 Herstellung von verbesserten Silizium-basierten MEMS-Vorrichtungen

Country Status (3)

Country Link
US (2) US20040157426A1 (de)
EP (1) EP1452481B1 (de)
DE (1) DE602004010729T2 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011004782A1 (de) * 2011-02-25 2012-08-30 Harting Kgaa Ablösbare Mikro- und Nanobauteile für platzsparenden Einsatz
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
DE102017218883A1 (de) * 2017-10-23 2019-04-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mikroelektromechanisches Bauteil sowie ein Verfahren zu seiner Herstellung

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7550794B2 (en) 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
US6861341B2 (en) * 2002-02-22 2005-03-01 Xerox Corporation Systems and methods for integration of heterogeneous circuit devices
US7781850B2 (en) 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
TW570896B (en) 2003-05-26 2004-01-11 Prime View Int Co Ltd A method for fabricating an interference display cell
JP2005183407A (ja) * 2003-11-26 2005-07-07 Seiko Instruments Inc 半導体装置とその製造方法
GB0330010D0 (en) 2003-12-24 2004-01-28 Cavendish Kinetics Ltd Method for containing a device and a corresponding device
US7316844B2 (en) 2004-01-16 2008-01-08 Brewer Science Inc. Spin-on protective coatings for wet-etch processing of microelectronic substrates
KR101313117B1 (ko) 2004-07-29 2013-09-30 퀄컴 엠이엠에스 테크놀로지스, 인크. 간섭 변조기의 미소기전 동작을 위한 시스템 및 방법
TWI237348B (en) * 2004-08-26 2005-08-01 Mosel Vitelic Inc Method of manufacturing trench metal oxide semiconductor field effect transistor
US7373026B2 (en) 2004-09-27 2008-05-13 Idc, Llc MEMS device fabricated on a pre-patterned substrate
US7684104B2 (en) 2004-09-27 2010-03-23 Idc, Llc MEMS using filler material and method
US7369296B2 (en) 2004-09-27 2008-05-06 Idc, Llc Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US7309902B2 (en) * 2004-11-26 2007-12-18 Hewlett-Packard Development Company, L.P. Microelectronic device with anti-stiction coating
KR100641068B1 (ko) * 2005-01-21 2006-11-06 삼성전자주식회사 듀얼 다마신 채널 구조물과 그 제조 방법
JP4544140B2 (ja) * 2005-02-16 2010-09-15 セイコーエプソン株式会社 Mems素子
JP2006247815A (ja) * 2005-03-14 2006-09-21 Olympus Corp Memsシステム及びその製造方法
ES2299298B1 (es) * 2005-07-21 2009-04-01 Universitat Autonoma De Barcelona Procedimiento de integracion monolitica de materiales de alta calidad mecanica con circuitos integrados para aplicaciones mems/nems.
KR20080040715A (ko) 2005-07-22 2008-05-08 콸콤 인코포레이티드 Mems 장치를 위한 지지 구조물 및 그 방법들
EP2495212A3 (de) 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. MEMS-Vorrichtungen mit Stützstrukturen und Herstellungsverfahren dafür
US7829147B2 (en) 2005-08-18 2010-11-09 Corning Incorporated Hermetically sealing a device without a heat treating step and the resulting hermetically sealed device
US20080206589A1 (en) * 2007-02-28 2008-08-28 Bruce Gardiner Aitken Low tempertature sintering using Sn2+ containing inorganic materials to hermetically seal a device
US20070040501A1 (en) 2005-08-18 2007-02-22 Aitken Bruce G Method for inhibiting oxygen and moisture degradation of a device and the resulting device
US7722929B2 (en) 2005-08-18 2010-05-25 Corning Incorporated Sealing technique for decreasing the time it takes to hermetically seal a device and the resulting hermetically sealed device
US7695890B2 (en) * 2005-09-09 2010-04-13 Brewer Science Inc. Negative photoresist for silicon KOH etch without silicon nitride
KR100650876B1 (ko) * 2005-12-26 2006-11-28 동부일렉트로닉스 주식회사 디지털 마이크로미러 장치 및 그 제조방법
US7795061B2 (en) 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7382515B2 (en) 2006-01-18 2008-06-03 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US7678601B2 (en) * 2006-01-20 2010-03-16 Texas Instruments Incorporated Method of forming an acceleration sensor
US7652814B2 (en) 2006-01-27 2010-01-26 Qualcomm Mems Technologies, Inc. MEMS device with integrated optical element
US7450295B2 (en) 2006-03-02 2008-11-11 Qualcomm Mems Technologies, Inc. Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US7643203B2 (en) 2006-04-10 2010-01-05 Qualcomm Mems Technologies, Inc. Interferometric optical display system with broadband characteristics
US7711239B2 (en) 2006-04-19 2010-05-04 Qualcomm Mems Technologies, Inc. Microelectromechanical device and method utilizing nanoparticles
KR100758641B1 (ko) 2006-04-28 2007-09-13 재단법인서울대학교산학협력재단 Cmos 회로가 집적된 실리콘 기판 상에 미세구조물을 형성하는 방법 및 상기 방법에 의하여 형성된 미세 구조물을 포함하는 mems 소자
US7369292B2 (en) 2006-05-03 2008-05-06 Qualcomm Mems Technologies, Inc. Electrode and interconnect materials for MEMS devices
US7863714B2 (en) * 2006-06-05 2011-01-04 Akustica, Inc. Monolithic MEMS and integrated circuit device having a barrier and method of fabricating the same
US7763546B2 (en) 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US20080048178A1 (en) * 2006-08-24 2008-02-28 Bruce Gardiner Aitken Tin phosphate barrier film, method, and apparatus
US20100090302A1 (en) * 2006-10-09 2010-04-15 Nxp, B.V. Resonator
JP2008132583A (ja) * 2006-10-24 2008-06-12 Seiko Epson Corp Memsデバイス
US20100062224A1 (en) * 2006-10-31 2010-03-11 Interuniversitair Microelektronica Centrum Method for manufacturing a micromachined device
US7875484B2 (en) * 2006-11-20 2011-01-25 Alces Technology, Inc. Monolithic IC and MEMS microfabrication process
DE102006061386B3 (de) * 2006-12-23 2008-06-19 Atmel Germany Gmbh Integrierte Anordnung, ihre Verwendung und Verfahren zu ihrer Herstellung
US8644528B2 (en) * 2007-02-20 2014-02-04 Case Western Reserve University Microfabricated microphone
US7733552B2 (en) 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US7754617B2 (en) 2007-04-05 2010-07-13 Analog Devices, Inc. Polysilicon deposition and anneal process enabling thick polysilicon films for MEMS applications
US7709178B2 (en) * 2007-04-17 2010-05-04 Brewer Science Inc. Alkaline-resistant negative photoresist for silicon wet-etch without silicon nitride
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
US7799376B2 (en) * 2007-07-27 2010-09-21 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
US8192642B2 (en) * 2007-09-13 2012-06-05 Brewer Science Inc. Spin-on protective coatings for wet-etch processing of microelectronic substrates
WO2009079780A1 (en) * 2007-12-21 2009-07-02 The Royal Institution For The Advancement Of Learning/Mcgill University Low temperature ceramic microelectromechanical structures
US7989262B2 (en) 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US7993950B2 (en) * 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
TW200947508A (en) * 2008-05-13 2009-11-16 Univ Nat Chiao Tung Integration structure of semiconductor circuit and microprobe sensing elements and method for fabricating the same
US7851239B2 (en) 2008-06-05 2010-12-14 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
US8426233B1 (en) 2009-01-09 2013-04-23 Integrated Device Technology, Inc. Methods of packaging microelectromechanical resonators
CN201383872Y (zh) * 2009-01-19 2010-01-13 歌尔声学股份有限公司 电容式麦克风的隔离片
WO2010088761A1 (en) * 2009-02-06 2010-08-12 Maziyar Khorasani Method and apparatus for manipulating and detecting analytes
US7864403B2 (en) 2009-03-27 2011-01-04 Qualcomm Mems Technologies, Inc. Post-release adjustment of interferometric modulator reflectivity
US7989248B2 (en) * 2009-07-02 2011-08-02 Advanced Microfab, LLC Method of forming monolithic CMOS-MEMS hybrid integrated, packaged structures
JP5278492B2 (ja) * 2010-06-16 2013-09-04 株式会社デンソー 半導体装置の製造方法
US8685828B2 (en) 2011-01-14 2014-04-01 Infineon Technologies Ag Method of forming a capacitor
US8318575B2 (en) 2011-02-07 2012-11-27 Infineon Technologies Ag Compressive polycrystalline silicon film and method of manufacture thereof
US8659816B2 (en) 2011-04-25 2014-02-25 Qualcomm Mems Technologies, Inc. Mechanical layer and methods of making the same
CN102595292B (zh) * 2012-03-19 2014-05-28 美特科技(苏州)有限公司 驻极体电容麦克风
WO2015013828A1 (en) 2013-08-02 2015-02-05 Motion Engine Inc. Mems motion sensor and method of manufacturing
US20170030788A1 (en) 2014-04-10 2017-02-02 Motion Engine Inc. Mems pressure sensor
US11674803B2 (en) 2014-06-02 2023-06-13 Motion Engine, Inc. Multi-mass MEMS motion sensor
DE102014213390A1 (de) * 2014-07-09 2016-01-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Herstellung einer Vorrichtung mit Mikro- oder Nanostrukturen
CA3004760A1 (en) 2014-12-09 2016-06-16 Motion Engine Inc. 3d mems magnetometer and associated methods
DE102015223013A1 (de) 2015-11-23 2017-05-24 Sms Group Gmbh Volumenstrom-Regelventil
US9996725B2 (en) * 2016-11-03 2018-06-12 Optiz, Inc. Under screen sensor assembly
US11040870B2 (en) * 2019-07-25 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectromechanical systems device having a mechanically robust anti-stiction/outgassing structure

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5090254A (en) * 1990-04-11 1992-02-25 Wisconsin Alumni Research Foundation Polysilicon resonating beam transducers
US5326726A (en) * 1990-08-17 1994-07-05 Analog Devices, Inc. Method for fabricating monolithic chip containing integrated circuitry and suspended microstructure
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
KR100320364B1 (ko) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 금속배선및그의형성방법
US5902650A (en) * 1995-07-11 1999-05-11 Applied Komatsu Technology, Inc. Method of depositing amorphous silicon based films having controlled conductivity
CA2191260A1 (en) * 1996-11-26 1998-05-26 Luc Ouellet Stabilization of the interface between tin and a1 alloys
US6081021A (en) * 1998-01-15 2000-06-27 International Business Machines Corporation Conductor-insulator-conductor structure
DE19829609B4 (de) * 1998-07-02 2008-04-30 Robert Bosch Gmbh Verfahren zur Herstellung eines Mikrosystems
US6479166B1 (en) * 1998-10-06 2002-11-12 Case Western Reserve University Large area polysilicon films with predetermined stress characteristics and method for producing same
WO2000042231A2 (en) * 1999-01-15 2000-07-20 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6635528B2 (en) * 1999-12-22 2003-10-21 Texas Instruments Incorporated Method of planarizing a conductive plug situated under a ferroelectric capacitor
US6440766B1 (en) * 2000-02-16 2002-08-27 Analog Devices Imi, Inc. Microfabrication using germanium-based release masks

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011004782A1 (de) * 2011-02-25 2012-08-30 Harting Kgaa Ablösbare Mikro- und Nanobauteile für platzsparenden Einsatz
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
DE102015104913B4 (de) * 2014-04-04 2020-12-31 Analog Devices, Inc. Herstellung von Wolfram-Mems-Strukturen
DE102017218883A1 (de) * 2017-10-23 2019-04-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mikroelektromechanisches Bauteil sowie ein Verfahren zu seiner Herstellung
US11148940B2 (en) 2017-10-23 2021-10-19 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Microelectromechanical component and method for producing same

Also Published As

Publication number Publication date
US7160752B2 (en) 2007-01-09
US20060166403A1 (en) 2006-07-27
US20040157426A1 (en) 2004-08-12
DE602004010729D1 (de) 2008-01-31
EP1452481B1 (de) 2007-12-19
EP1452481A3 (de) 2005-10-12
EP1452481A2 (de) 2004-09-01

Similar Documents

Publication Publication Date Title
DE602004010729T2 (de) Herstellung von verbesserten Silizium-basierten MEMS-Vorrichtungen
EP1493712B1 (de) Herstellungsverfahren für MEMS-Bauelemente auf Siliziumbasis
US8367451B2 (en) Method and structures for fabricating MEMS devices on compliant layers
Witvrouw et al. The use of functionally graded poly-SiGe layers for MEMS applications
DE10063991B4 (de) Verfahren zur Herstellung von mikromechanischen Bauelementen
EP0721587B1 (de) Mikromechanische vorrichtung und verfahren zu deren herstellung
EP2029474B1 (de) Mikromechanisches memranbauelement und verfahren zu dessen herstellung
EP1396470A2 (de) Herstellung von Mikrostrukturen mit vakuumversiegeltem Hohlraum
DE60128437T2 (de) Verfahren zur Herstellung von mikromechanischen und mikrooptomechanischen Strukturen mit Rückseitenmetallisierung
WO2001046066A2 (de) Sensor mit zumindest einer mikromechanischen struktur und verfahren zur herstellung
WO2006105924A1 (de) Mikromechanisches bauteil sowie verfahren zur herstellung eines mikromechanischen bauteils
WO2002036484A1 (de) Mikromechanisches bauelement und entsprechendes herstellungsverfahren
WO2005118463A1 (de) Mikromechanisches bauelement mit mehreren kammern und herstellungsverfahren
DE102015208689B4 (de) Mechanische Stress-Entkopplung in Halbleitervorrichtungen
DE102006051597A1 (de) Halbleiteranordnung und Verfahren zur Herstellung einer Halbleiteranordnung
DE102013209266A1 (de) Bauelement mit einem Hohlraum
JP2005519778A (ja) 電子回路を有する炭化ケイ素超小型電気機械デバイス
DE19603829A1 (de) Verfahren zur Herstellung von mikromechanischen Strukturen aus Silizium
DE102016123861B4 (de) Metallisierung von MEMS-Vorrichtungen
CN1875447B (zh) 射频微机电系统及其制造方法
DE102005055478A1 (de) Mikromechanische Struktur zum Empfang und/oder zur Erzeugung von akustischen Signalen, Verfahren zur Herstellung einer mikromechanischen Struktur und Verwendung einer mikromechanischen Struktur
DE10348908B4 (de) Verfahren zur Herstellung eines Mikrosystems mit integrierter Schaltung und mikromechanischem Bauteil
EP0793736B1 (de) Verfahren zur herstellung mikromechanischer bauelemente mit freistehenden mikrostrukturen oder membranen
DE102006022805A1 (de) Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements
DE19964638B3 (de) Verfahren zur Herstellung eines Sensors mit zumindest einer mikromechanischen Struktur

Legal Events

Date Code Title Description
8364 No opposition during term of opposition