DE2605830B2 - Verfahren zur Herstellung eines Halbleiterbauelements - Google Patents

Verfahren zur Herstellung eines Halbleiterbauelements

Info

Publication number
DE2605830B2
DE2605830B2 DE2605830A DE2605830A DE2605830B2 DE 2605830 B2 DE2605830 B2 DE 2605830B2 DE 2605830 A DE2605830 A DE 2605830A DE 2605830 A DE2605830 A DE 2605830A DE 2605830 B2 DE2605830 B2 DE 2605830B2
Authority
DE
Germany
Prior art keywords
layer
polycrystalline silicon
silicon layer
diffusion
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE2605830A
Other languages
English (en)
Other versions
DE2605830A1 (de
DE2605830C3 (de
Inventor
Teruaki Tokio Aoki
Hisao Hayashi
Takeshi Sagamihara Matsushita
Hidenobu Atsugi Kanagawa Mochizuki
Masanori Okayama
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of DE2605830A1 publication Critical patent/DE2605830A1/de
Publication of DE2605830B2 publication Critical patent/DE2605830B2/de
Application granted granted Critical
Publication of DE2605830C3 publication Critical patent/DE2605830C3/de
Expired legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/945Special, e.g. metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Bipolar Transistors (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

Die Erfindung betrifft ein Verfahren zur Herstellung eines Halbleiterbauelements nach dem Oberbegriff des Patentanspruchs.
Bei der industriellen Herstellung von Halbleiterbauelementen der erwähnten Art wird üblicherweise eine SiCVSchicht als Maske zur gezielten selektiven Diffusion von Verunreinigungsmaterial vorgesehen. Die SiO2-Schicht verbleibt auf dem Halbleitersubstrat als Passivierungsschicht. Hinsichtlich bestimmter charakteristischer Kennwerte der Halbleiterbauelemente jedoch ist die SiOrSchicht sehr unstabil, insbesondere gegen Feuchtigkeit, so daß der dadurch auftretende Leckstrom sich namentlich bei hoher Umgebungsfeuchtigkeit verändert, wodurch die Zuverlässigkeit des Halbleiterbauelements verschlechtert wird. Die SiO2-Schicht hat den weiteren Nachteil, daß sich ihre Eigenschaften als Passivierungsschicht in Abhängigkeit vom Pegel der elektrischer Vorspannungen am Bauelement und in Abhängigkeit von der Temperatur verändern bzw. verschlechtern.
Um diese Schwierigkeiten zu beseitigen, wurden bereits Halbleiterbauelemente vorgeschlagen, die mit einer ersten Passivierungsschicht aus Sauerstoff enthaltendem polykristallinem Silicium auf dem Halbleitersubstrat sowie mit einer zweiten Passivierungsschicht versehen sind, die beispielsweise aus Stickstoffatome enthaltendem polykristallinem Silicium besteht (vgl. DE-OS 25 13 459, DE-OS 25 47 304).
Eine solche zweilagige Passivierung, die aus nicht-polykristallinen Si-Verbindungen auch schon aus der DE-AS 17 64 056 und der US-PS 35 37 921 bekannt ist, ist besonders dann gegen Feuchtigkeitseinflüüse widerstandsfähiger als eine reine SiCVSchicht, wenn über der zweiten Passivierungsschicht noch eine weitere SiO2-Schicht vorgesehen wird. Bei der Herstellung eines solchen Halbleiterbauelements, beispielsweise eines Transistors, werden zur Ausbildung eines Basis- und eines Emitte>-bereichs Verunreinigungen in das Halbleitersubstrat unter Verwendung der SiO2-Maükierungsschicht eindiffundiert; sodann wird die SKVMaske vom Halbleitersubstrat entfernt, woraufhin durch ein Wachstumsverfahren aus der Dampfphase die erste und sodann die zweite Passivierungsschicht aufgebracht werden. Um schließlich die Elektroden für den Emitter bzw. die Basis niederschlagen zu können, werden anschließend die erste und zweite Passivierungsschicht partiell abgeätzt, um entsprechende Fenster freizulegen. Obgleich dieses Verfahren zu Halbleiterbauelementen mit guten Eigenschaften führt, ist es doch relativ aufwendig und erfordert einen komplizierten Herstellungsablauf.
ίο Der Erfindung liegt damit die Aufgabe zugrunde, ein Verfahren zur Herstellung von Halbleiterbauelementen anzugeben, bei dem sich hinsichtlich des Verfahrensprodukts die oben aufgeführten Schwierigkeiten mit Feuchtigkeitseinflüssen, Temperatur- und Spannungsabhängigkeiten usw. vermeiden lassen, das sich aber durch wesentlich einfache! e und leichter beherrschbare Verfahrensschritte bei der industriellen Anwendung auszeichnet, gleichwohl aber zu Verfahrensprodukten führt, die den zuletzt beschriebenen Halbleiterbauelementen mit zwei bzw. drei Passivierungsschichten gleichwertig sind. Besonderer Wert soll dabei auf die hohe Stabilität und Zuverlässigkeit der einzelnen Kennwerte der Halbleiterbauelemente unter den verschiedensten Betriebs- und Umweltbedingungen gelegt werden.
Eine erfindungsgemäße Lösung dieser technischen Aufgabe besteht darin, das Verfahren zur Herstellung eines Halbleiterbauelements nach dem Oberbegriff des Patentanspruchs 1 so auszubilden, daß die doppellagige
jo Passivierungsschicht bei der selektiven Diffusion eines Verunreinigungsmaterials in die Halbleiterschicht als Diffusionsmaske zur Umgrenzung eines Diffusionsfensters verwendet wird.
Das erfindungsgemäße Verfahren eignet sich beson-
>5 ders gut zur Herstellung von Dioden, Transistoren, Feldeffekttransistoren, aber auch integrierten Schaltkreisen, bei denen das selektive Eindiffundieren von Verunreinigungen in ein Halbleitersubstrat über eine Maske erfolgt, die dann gleichzeitig als zweilagige Passivierungsschicht dient mit den Vorteilen, die in den oben genannten Druckschriften beschrieben sind. Während bisher zur Erzeugung von Diffusionsfenstern stets eine SiO2-Maske aufgebracht wurde, die zunächst selektiv und nach dem Diffusionsvorgang nochmals partiell oder vollständig abgeätzt werden mußte, wird gemäß der Erfindung die zweilagige Passivierungsschicht unmittelbar auch als Diffusionsmaske verwendet, so daß Verfahrensschritte eingespart werden.
Durchführungsbeispiele für das erfindungsgemäße Verfahren wird nachfolgend unter Bezug auf die Zeichnung in Einzelheiten verdeutlicht. Es zeigen
Fig. IA bis IE Prinzip-Schnittdarstellungen zur Verdeutlichung einzelner Herstellungsstufen eines Transistors nach einer Durchführungsform des erfindungsgemäßen Verfahrens,
F i g. 2 die schematische Darstellung einer Vorrichtung zur Erzeugung von polykristallinen Siliciumschichten aus einer Dampfphase, wobei die niedergeschlagenen Schichten entweder Sauerstoffatome oder Stickstoffatome enthalten können,
F i g. 3 zeigt die Prinzip-Schnittdarstellung einer zu Prüfzwecken erzeugten Diode, die eine polykristalline Siliciumschicht aufweist, die nach einer anderen Ausführungsform der Erfindung erzeugt wurde und
Fig.4A bis 4E wiederum Schnittdarstellungen zur Verdeutlichung der einzelnen Herstellungsstufen eines MOS-FET nach einer weiteren Ausführungsform der Erfindung.
Zunächst wird anhand der Fig. IA bis IE eine Durchführungsform des erfindungsgemäßen Verfahrens am Beispiel der Herstellung eines Transistors mit hoher Sperr- oder Durchbruchspannung erläutert:
Auf der Hauptoherfläche eines N--Typ-Halbleitersubstrats 1, das gleichzeitig als Kollektorbereich dient, wird als erste Passivierungsschicht eine polykristalline Siliciumschicht 2 erzeugt, die eine bestimmte Menge an Sauerstoffatomen enthält (vgL Fig. IA). Ober der polykristallinen Siliciumschicht 2 wird als zweite Passivierungsschicht eine weitere polykristalline Siliciumschicht 3 aufgebracht, die eine vorbestimmte Menge von Stickstoffatomen enthält Schließlich wird über der polykristallinen Siliciumschicht 3 eine weitere polykristalline Siliciumschicht 4 mit einem bestimmten Anteil an Sauerstoffatomen aufgebracht Die polykristallinen Silic'umschichten 2, 3 und 4 werden nach einem bekannten Dampfwachstumsverfahren erzeup*.
Wie nachfolgend erläutert wird, werden bestimmte Bereiche für den Anteil an Sauerstoffatomen und Stickstoffatomen sowie für die Dicken der polykristallinen Siliciumschichten 2, 3 und 4 bevorzugt So soll beispielsweise die Dicke der polykristallinen Siliciumschicht 2 etwa 500 nm betragen, während der Gehalt an Sauerstoffatomen bei etwa 15 Atomprozent liegen sollte. Die Dicke der polykristallinen Siliciumschicht 3 beträgt etwa 150 nm, während der Gehalt an Stickstoffatomen bei etwa 50 Atomprozent liegen sollte. Schließlich wird für die polykristalline Siliciumschicht 4 eine Dicke von etwa 500 nm und ein Anteil au Sauerstoffatomen von etwa 15 Atomprozent bevorzugt Die polykristallinen Siliciumschichten 2,3 und 4 können aufeinanderfolgend mittels der in Fig.2 veranschaulichten Vorrichtung aufgebracht werden, deren Funktion und Arbeitsweise weiter unten erläutert wird.
Sodann wird auf die oberste polykristalline Siliciumschicht 4 eine Ätzabdeckung 5 in vorbestimmtem Muster als Maskierungsschicht aufgebracht und die polykristallinen Siiiciumschichten 4, 3 und 2 werden durch ein Plasma-Ätzverfahren teilweise entfernt, um eine öffnung 6 für die Basis-Diffusion zu bilden (vgl. F i g. 1 B). Anstelle der Plasma-Ätzung können auch chemische Ätzlösungen Anwendung finden. Dabei kommt für die polykristalline Siliciumschicht 3 beispielsweise Pyrophosphorsäure und für die polykristallinen Siliciumschichten 4 und 2 eine Mischlösung aus Fluorwasserstoffsäure und Salpetersäure in Frage.
Anschließend werden über die öffnung 6 bei einer Temperatur von 9400C Boratome niedergeschlagen oder in das Halbleitersubstrat 1 hinein vordiffundiert und anschließend durch die öffnung 6 bei einer Temperatur von 12400C in einer Sauerstoff atmosphäre während 10 Stunden in das Halbleitersubstrat 1 eindiffundiert, um einen P+-Basisboreich 7 zu erzeugen (vgl. Fig. IC). Bei diesem Diffusionsvorgang entsteht auf der Unterfläche des Halbleitersubstrats 1 eine P^-Typ-Halbleiterschicht 8, die vor der nachfolgenden Emitter-Diffusion abgeätzt wird. Bei der Basis-Diffusion wirkt die polykristalline Siliciumschicht 4 als Stopper und wird in der O2-Atmosphäre in eine SiOrSchicht 9 als dritte Passivierungsschicht umgewandelt.
Sodann wird ein bestimmter Teil der SiO2-Schicht 9 entfernt, um ein (nicht gezeigtes) Fenster für die Emitter-Diffusion mittels eines herkömmlichen Photoätzverfahrens herzustellen. Über die so erzeugte öffnung werden sodann Phosphoratome in den Basisbereich 7 eindiffundiert, um einen N+-Typ-Emitierbereic-h 10 zu erzeugen (vgl F i g. 1 D). Beim Vorgang der Emitter-Diffusion werden im Basisbereich 7 bei einer Temperatur von 1150° C Phosphoratome niedergeschlagen und vordiffundiert und anschließend bei einer Temperatur von 124O°C während 1 Stunde in einer Sauerstoffatmosphäre eindiffundiert Als Ergebnis erhält man auf dem Emitterbereich 10 eine SiOrSchicht die an die SiOrSchicht 9 angrenzt Auf der Unterfläche des Halbleitersubstrats 1 entsteht dabei gleichzeitig eine N+Typ-Halbleiterschicht 11. Bei der Emitter-Diffusion
ίο wirkt die SitVSchicht hinsichtlich der Diffusion von Phosphoratomen als vollständiger Stopper. Soll ein Hochfrequenz-Transistor mit niedriger Durchbruch- oder Sperrspannung entstehen, so wird die SiOrSchicht 9 nicht als Stopper benötigt, vielmehr reicht dazu die poly kristalline Siliciumschicht 3 aus. Dementsprechend wird für diesen Fall die anfänglich hergestellte polykristalline Siliciumschicht 4 dann nicht benötigt wenn ein Transistor mit niedriger Durchbruchspannung entstehen soll. Für Transistoren mit hoher Durchbruchspannung jedoch ist die polykristalline Siliciumschicht 4, d. h. die SiOrSchicht 9 erforderlich.
Sodann wird die SiOrSchicht 9 teilweise durch ein Photoätzverfahren entfernt, um bestimmte Fenster zu erzeugen. Diese Fenster werden mit einer Emitterelektrode 12 bzw. einer Basiselektrode 13 ausgefüllt (vgl.
F i g. 1 E). Obgleich in der F i g. 1E nicht dargestellt wird
eine Kollektorelektrode am als Kollektorbereich wirkenden Halbleitersubstrat 1 befestigt
Unter Bezug auf die F i g. 2 wird nachfolgend das
Verfahren zur Herstellung der erwähnten Passivie· rungsschichten 2, 3 und 4 auf dem Halbleitersubstrat 1 beschrieben:
Die Vorrichtung nach F i g. 2 wird normalerweise für chemische Dampfniederschlagsverfahren verwendet Ein Ofen 14 der Vorrichtung ist mit einer Mehrzahl von Behältern 15, 16, 17 und IS verbunden, von denen aus über geeignete Ventile und Strömungsmeßge/äte bestimmte Gase zugeführt werden können. Der Ofen 14 enthält das Halbleitersubstrat 1. Dieses Halbieitersubstrat 1 wird mittels einer den Ofen 14 umgebenden Heizvorrichtung auf eine Temperatur von etwa 6500C gebracht. Diese Temperatur von etwa 650° C findet Anwendung, wenn Monosilan SiH4 die Silicium-Speisequelle bildet. Wird irgendein anderes Silangas als Speisequelle für den Siliciumniederschlag vorgesehen, so bestimmt sich die Heiztemperatur nach der Reaktionstemperatur für dieses Gas. Dem Ofen 14 können Monosilan SiH4 vom ersten Behälter 15, Stickstoffoxid, beispielsweise Distickstoffoxid N2O vom zweiten Behälter 16, Ammoniak NH3 vom dritten Behälter 17 und Stickstoffgas N2 vom vierten Behälter 18 als Trägergas zugeführt werden. In diesem Fall wird dem Ofen 14 vom Behälter 15 aus ein Inertgas zugeführt, das 5% Monosilan SiH4 enthält
Zur Erzeugung der ersten Passivierungsschicht 2 (vgl. Fig. IA) werden Monosilan SiH4 und Distickstoffmonoxid N2O auf das Halbleitersubstrat 1 zusammen mit dem Trägergas geleitet. Die Sauerstoffkonzentration in der erzeugten polykristallinen Siliciumschicht 2 ist bestimmt durch das Anteilsverhältnis von N2O zu SiH4. Bei dieser Ausführungsform wird dieses Strömungsverhältnir von N2O : SiH4 zu etwa ein Sechstel gewählt, womit sich ein Sauerstoffanteil von 15 Atomprozent in der polykristallinen Siliciumschicht 2 ergibt. Anstelle von N2O kann auch NO2 oder NO als Sauerstofflieferant für die polykristalline Siliciumschicht vorgesehen sein. Die Strömungs- oder Anteilsmenge von NO2 oder NO i-o* _:Au u:~i.t #-~ η:·*—*ι~..!:Ί.-η.* Anti c-ΐηί <*·***&
laut 311.11 iCIVIIl OV/ VIlIt VgUIIVI^II, UUW αι\.ιι '*■■■%.
bevorzugte Sauerstoffkonzentration ergibt.
Zur kontinuierlichen Ausbildung der zweiten polykristallinen Siliciumschicht 3 auf der ersten polykristallinen Siliciumschicht 2 wird vorzugsweise Ammoniak NH3 anstelle von Distickstoffmonoxid N2O zugeführt.
Das Wachstum der zweiten polykristallinen Siliciumschicht 3 wird vorzugsweise ebenfalls bei einer Temperatur von 650° C durchgeführt. Die Konzentration an Stickstoffatomen in der gewachsenen polykristallinen Siliciumschicht 3 ist gegeben durch das Strömungsanteilsverhältnis von NH3 zu SiH4. Bei dieser Ausführungsform wird das Verhältnis von NH3 zu SiH4 bei der Herstellung der zweiten polykristallinen Siliciumschicht 3 zu etwa 100/30 gewählt.
Vorzugsweise sollen die Passivierüngsschichten 2 und 3 alle freiliegenden Teile der PN-Übergänge im Halbleitersubstrat 1 des Transistors bedecken (vgl. Fig. IE). Alle freiliegenden Teile des Kollektor-Übergangs Jc zwischen dem Kollektorbereich 1 und dem Basisbereich 7 und des Emitter-Übergangs Je zwischen dem Emitterbereich 10 und dem Basisbereich 7 sind unmittelbar mit der polykristallinen Siliciumschicht 2 als erster Passivierungsschicht überdeckt. Insbesondere ist Wert darauf zu legen, daß der freiliegende Teil des Kollektorübergangs Jeder im Betrieb des Transistors in Sperrichtung vorgespannt ist, mit der polykristallinen Siliciumschicht 2 bedeckt ist. Wird als Schutzring für den Transistor mit hoher Durchbruchspannung ein (nicht gezeigter) P-leitender Halbleiterbereich vorgesehen, so wird der Überdeckungsgrad der polykristallinen Siliciumschicht 2 so breit gewählt, daß nicht nur der freiliegende Teil des Kollektor-Übergangs Jc, sondern außerdem der freiliegende Bereich der Verarmungsschicht überdeckt ist, die sich ergibt, wenn der Kollektor-Übergang Jc in Sperrichtung vorgespannt ist Dieser Bereich der Verarmungsschicht wird auch »Schutzring-Bereich« oder »Feldbegrenzungs-Bereich« genannt; er liegt an der oberen Oberfläche des P-Typ-Halbleiterbereichs, so daß in diesem Fall der gesamte Bereich zwischen dem Kollektorbereich 1 und dem P-Typ-Halbleiterbereich überdeckt wird. Der freiliegende Teil des Emitter-Übergangs Je kann unmittelbar durch eine übliche SiCh-Schicht bedeckt werden. In diesem Fall jedoch erhöht sich die Anzahl der Herstellungsstufen mehr oder weniger. Die polykristalline Siliciumschicht 3 als zweite Passivierungsschicht ist mindestens so breit, daß die polykristalline Siliciumschicht 2 als erste Passivierungsschicht überdeckt ist.
In diesem Fall wirkt die Sauerstoff enthaltende polykristalline Siliciumschicht 3 als Stopper für die Diffusion von Boratomen und die aus der polykristallinen Siliciumschicht umgewandelte SiC>2-Schicht wirkt als Stopper für die Diffusion von Phosphoratomen, Bei der Herstellung der Halbleitervorrichtung werden die Elektroden auf dem Emitter- und Basisbereich auf einfache Weise dadurch hergestellt, daß die polykristalline Siliciumschicht und die SiOrSchicht als Diffusionsmaske auf dem Halbleitersubstrat verbleiben. Der Herstellungsprozeß wird also vergleichsweise sehr einfach und besonders genau.
Der Grund, weshalb die polykristallinen Siliciumschichten als Diffusionsmasken verwendet werden, besteht in erster Linie darin, daß diese Schichten bei relativ niedrigen Temperaturen von etwa 6500C hergestellt werden können und ihre Diffusionskonstanten sehr klein sind. Die kleinen Sauerstoff enthaltende polykristalline Siliciumschicht besitzt annähernd die gleiche Diffusionskonstante wie eine einkristalline Siliciumschicht. Die Diffusionskonstante der polykristallinen Siliciumschicht vermindert sich mit dem Anteil an Sauerstoffatomen beträchtlich. Eine Sauerstoff enthal-
r> tende polykristalline Siliciumschicht ist also hinsichtlich der Verwendung als Diffusionsmaske besonders vorteilhaft
Die Sauerstoff enthaltende polykristalline Siliciumschicht 2 wird auf der Oberfläche des Transistors IS erzeugt. Dieser Transistor 15 zeichnet sich also durch eine hervorragende Oberflächenpassivierung aus. Bei herkömmlichen Transistoren der hier vergleichbaren Art andererseits dient eine SKVSchicht als Passivierungsschicht für die Oberfläche. Durch die in dieser
:5 SiOi-Schicht zwangsläufig induzierten elektrischen Ladungen entsteht eine unerwünschte Speicherfunktion. Es bildet sich sehr häufig ein Kanal im Halbleitersubstrat des Transistors aus. Darüber hinaus wird die elektrische Ladung noch aufgrund von Polarisationseffekten im umgebenden abschirmenden Kunstharz fixiert Als Folge davon wird die Durchbruchspannung für den PN-Übergang beträchtlich erniedrigt und die Zuverlässigkeit verschlechtert sich erheblich aufgrund externer elektrischer Feldeinflüsse.
Diese Nachteile herkömmlicher Transistoren lassen sich durch die polykristalline Siliciumschicht 2 vermeiden, da der spezifische Widerstand in dieser Schicht niedriger liegt als der einer SiO2-Schicht. Die Durchbruchspannung des PN-Übergangs andererseits wird durch die
j» polykristalline Siliciumschicht 2 beträchtlich höher. Da diese polykristalline Siliciumschicht 2 einen geeigneten Mengenanteil von Sauerstoffatomen enthält, liegt wiederum der spezifische Widerstand dieser Schicht höher als der einer reinen polykristallinen Silicium-
j) schicht, so daß sich elektrische Ladungen nur schwer durch die polykristalline Siliciumschicht 2 hindurchbewegen können und sich mithin ein nur sehr niedriger Leckstrom in Sperrichtung ergibt
Da der thermische Ausdehnungskoeffizient der polykristallinen Siliciumschicht 2 nahezu gleich ist dem des Halbleitersubstrats 1 wird auch der Kontakt zwischen der Schicht 2 und dem Halbleitersubstrat 1 nicht mit der Zeit schlechter. Dies bedeutet andererseits, daß der Passivierungseffekt wesentlich besser ist.
Da bei dieser Ausführungsform die Stickstoffatome enthaltende polykristalline Siliciumschicht 3 über der polykristallinen Siliciumschicht 2 aufgebracht ist, ergibt sich eine erheblich verbesserte Widerstandsfähigkeit gegen Feuchtigkeitseinflüsse. Um diese Widerstandsfähigkeit gegen Feuchtigkeit zu überprüfen, wurde eine Diode hergestellt, deren prinzipiellen Aufbau die F i g. 3 veranschaulicht An dieser Diode wurde die Spannungs/ Strom-Kennlinie vor und nach einer intensiven Aussetzung des Bauelements in Wasserdampf gemessen. Bei dieser Diode wies die erste polykristalline Siliciumschicht 2 eine Dicke von 500 nm auf und ihr Wachstum erfolgte bei einem Strömungsanteil-Verhältnis von N2O/SiO2=l/3, während die zweite polykristalline Siliciumschicht 3 eine Dicke von 200 nm aufwies und bei
ω einem Strömungsanteil-Verhältnis von NH3/SiH4=2/3 auf der ersten polykristallinen Siliciumschicht 2 hergestellt wurde. Auf dem P-leitenden Halbleiterbereich 7 wird eine Elektrode 16 und auf der unteren Oberfläche des Halbleitersubstrats 1 eine weitere Elektrode 17 niedergeschlagen. Bei der Überprüfung zeigte sich, daß die Durchbruchspannung in Sperrichtung Vb vor und nach der Wasserdampfbehandlung unverändert blieb und daß gleichzeitig nur ein sehr
niedriger Leckstrom festzustellen war. Daraus kann geschlossen werden, daß die polykristallinen Siliciumschichten 3 und 2 sehr widerstandsfähig gegen Feuchtigkeitseinflüsse sind.
Der nach einer Ausführungsform des Verfahrens gemäß der Erfindung hergestellte Transistor weist einen guten Passivierungseffekt auf und es zeigten sich nur sehr geringe Veränderungen der Kennwerte bei Änderungen der elektrischen Vorspannung und der Temperatur. Ein Transistor der genannten Herstel- ι ο lungsart, bei dem externe Zuleitungen auf der SiO2-Schicht 9 mit den dort freiliegenden Elektroden verbunden sind, weist eine wesentlich verbesserte Stabilität und Zuverlässigkeit seiner Kennwerte auf und dank der SiO2-Schicht 9 ergibt sich auch ein höherer Wert für die Durchbruchspannung. Weiterhin zeigte sich, daß eine elektrische Ladungskopplung zwischen der SiO2-Schicht 9 und dem Halbleitersubstrat 1 durch die polykristallinen Siliciumschichten 2 und 3 verhindert wurde. Der Einfluß der in der SiO2-ScMdU 9 vorhandenen elektrischen Ladung kann also vernachlässigt werden.
Die polykristalline Siliciumschicht 2 soll 2 bis 45 Atomprozent an Sauerstoffatomen enthalten. Um eine gute Wirkung zu erzielen, sollte der Sauerstoff anteil 10 bis 30 Atomprozent und vorzugsweise 13 bis 20 Atomprozent betragen. Ist der Anteil an Sauerstoffatomen in der polykristallinen Siliciumschicht 2 zu klein, so steigt der Leck-Sperrstrom an. Ist die Menge an Sauerstoffatomen in der polykristallinen Siliciumschicht m 2 dagegen zu groß, so verhält sich diese Schicht annähernd ähnlich wie eine SiO2-Schicht
Die Korngröße des polykristallinen Siliciums sollte vorzugsweise unter 100 nm, beispielsweise im Bereich von 10 bis 20 nm liegen. Ist die Korngröße zu groß, J5 werden Elektronen eingefangen und in der polykristallinen Siliciumschicht gespeichert, so daß das erwähnte Speicherphänomen auftritt und eine ausreichende Betriebsstabilität nicht erzielt werden kann. Die polykristalline Siliciumschicht 3 sollte einen Anteil an -to Stickstoff von mehr als 10 Atomprozent enthalten. Bei weniger als 10 Atomprozent an Stickstoff tritt an der Oberfläche dieser polykristallinen Siliciumschicht häufig ein dielektrischer Durchbruch auf. Es besteht dann die Gefahr eines Kurzschlusses der Elektroden und damit einer Entladung der polykristallinen Siliciumschicht über die Oberfläche. Die Eigenschaften der einen zu geringen Anteil an Sauerstoff enthaltenden polykristallinen Siliciumschicht andererseits sind annähernd ähnlich jenen von reinem polykristallinen Silicium, das so — wie dargelegt — nicht ausreichend widerstandsfähig gegen Wassereinflüsse ist Das polykristalline Silicium kann einen zu hohen Stickstoffanteil enthalten. Selbst polykristallines Silicium, das Stickstoff in einer Konzentration enthält, die nahezu derjenigen von Si3N4 entspricht, besitzt noch eine ausreichende Widerstandsfähigkeit gegen Wasser.
Die Dicke T\ der polykristallinen Siliciumschicht 2 sollte vorzugsweise im Bereich von 0,15 μΐη^ 7} S 2,0 μπι liegen. Es ließ sich nachweisen, ω daß die Sperrstromkennwerte nach einer Wärmebehandlung schlechter werden, wenn die Dicke 7Ί kleiner ist als 0,15 μΐη. Da sich Elektroden nicht in zufriedenstellendem Maße auf einer zu dicken polykristallinen Siliciumschicht herstellen lassen, sollte die Dicke dieser polykristallinen Siliciumschicht andererseits unter 2,0 um liegen. Die Stärke T2 der polykristallinen Siliciumschicht 3 wird vorzugsweise über 0,1 μπι gewählt, um eine befriedigende Widerstandsfähigkeit gegen Feuchtigkeitseinflüsse zu gewährleisten und um die Entstehung von Feinlunkern und feinsten Löchern zu vermeiden. Damit ergibt sich, daß die zusammengefaßte Stärke (Ti+ T2) der polykristallinen Siliciumschichten 2 und 3 unter 2 μπι liegen sollte (Ti + T2 ύ 2 μΐη), da sich die Elektroden nur für eine solche Stärke der polykristallinen Siliciumschichten 2 und 3 befriedigend herstellen lassen.
Unter Bezug auf die Fig.3 wird nachfolgend die Herstellung einer Diode nach einer anderen Ausführungsform der Erfindung beschrieben:
Zunächst werden die erste und zweite polykristalline Siliciumschicht 2 bzw. 3 aufeinanderfolgend durch ein Dampfwachstumsverfahren in der oben beschriebenen Weise auf dem Halbleitersubstrat 1 erzeugt. An einem vorbestimmten Bereich werden sodann die erste und zweite polykristalline Siliciumschicht 2 und 3 durch das erwähnte Plasma-Ätzverfahren entfernt, um ein Fenster zum Eindiffundieren von P-Typ-Verunreinigungen, etwa Boraitomen, in das Halbleitersubstrat 1 freizulegen. Nach diesem Diffusionsvorgang wird eine Elektrode auf dem P-Typ-Halbleiterbereich 7 niedergeschlagen.
Während des Diffusionsvorgangs wirkt die Stickstoffatome enthaltende polykristalline Siliciumschicht 3 als Diffusionsmaske oder als Diffusionsstopper. Nach dem Diffusionsvorgang entsteht auf dem Halbleitersubstrat 1 eine dünne SiO2-Schicht, die leicht mittels einer Ätzlösung, etwa verdünnter Fluorwasserstoffsäure, entfernt werden kann, um die Elektrode niederschlagen zu können. Der Herstellungsvorgang ist sehr einfach. Auch in diesem Fall sollte die Gesamtdicke der polykristallinen Siliciumschichten 2 und 3 unter 2 μπι liegen. Die statischen Kennwerte, d. h. insbesondere die Spannungs/Strom-Sperrkennlinie der Diode entspricht annähernd derjenigen einer herkömmlichen Diode (Vb= 70 V). Der Leckstrom liegt jedoch sehr niedrig.
Unter Bezug auf die F i g. 4A bis 4E wird nachfolgend die Herstellung eines MOS-FET gemäß einer anderen Ausführungsform der Erfindung beschrieben:
Auf der Hauptoberfläche eines N-leitenden Siliciumsubstrats 21 wird (vgl. Fig.4A) eine polykristalline Siliciumschicht 22 erzeugt, die Sauerstoffatome enthält. Über der polykristallinen Siliciumschicht 22 wird sodann eine SUN^Schicht 23 ausgebildet, die ihrerseits mit einer SiO2-Schicht 29 bedeckt wird. Die Schichten 22,23 und 29 lassen sich mittels der Dampfwachstumsvorrichtung nach F i g. 2 herstellen.
Zur Ausbildung von Fenstern 20 und 26 (vgl. F i g. 4B) werden anschließend bestimmte Bereiche der Schichten 22, 23 und 29 abgeätzt. Durch die Fenster 20 und 26 werden sodann P-Typ-Verunreinigungen, etwa Boratome, in das Halbleitersubstrat 21 eindiffundiert, um einen P-leitenden Source-Bereich 24 (Quelle) sowie einen P-leitenden Drain-Bereich 25 (Senke) zu erzeugen (vgL Fig.4C). Bei diesem Diffusionsvorgang wirken die SiO2-Schicht 29 und die Si3N4-Schicht 23 als Diffusionsstopper. Im Bereich der Offnungen 20 und 26 wird sodann eine in die Schicht 29 einheitlich übergehende SiO2-Schicht erzeugt
Als öffnung (nicht gezeigt) für den Niederschlag einer Gate-Elektrode wird sodann ein bestimmter über einem Abschnitt (Gate-Bereich) des Halbleitersubstrats zwischen dem Source-Bereich 24 und dem Drain-Bereich 25 liegender Teil der Schichten 22,23 und 29 abgeätzt Im Bereich dieser Öffnung wird sodann als Gate-Oxidationsschicht durch thermische Oxidation eine SiO2-Schicht erzeugt, die in die SiOrSchicht 29 übergeht (vgL
F ig. 4D).
Die polykristaliine Siliciumschicht 22 und die Si3N4-Schicht 23 sollten vorzugsweise die freiliegenden Bereiche des PN-Übergangs im Bereich der Hauptfläche des Halbleitersubstrats 21 (außer den freiliegenden Bereichen des PN-Übergangs im Gate-Bereich) überdecken.
Anschließend werden Teile der über dem Source-Bereich 24 und dem Drain-Bereich 25 liegenden S1O2-Schicht 29 abgeätzt, um Fenster freizulegen, die mit einer Source-Elektrode 27 und einer Drain-Elektrode 28 ausgefüllt werden (vgl Fig.4E). Eine Gate-Elektrode 30 wird auf der Gate-Oxidationsschicht niedergeschlagen.
Bei dieser Ausführungsform wirken die SiCVSchichten 29 und die Si3N4-Schicht 23 als Diffusionsstopper. Wie bei der ersten Ausführungsform werden die drei Schichten einschließlich der als Passivierungsschicht wirkenden polykristallinen Siliciumschicht nicht vom Halbleitersubstrat 21 entfernt; sie verbleiben vielmehr auf diesem Grundkörper. Ersichtlicherweise wird damit der Herstellungsprozeß für ein solches Halbleiterbauelement wesentlich vereinfacht Darüber hinaus wird eine gute Passivierung erreicht und die Widerstandsfähigkeit gegen Feuchtigkeitseinflüsse wird verbessert Da die SiO2-Schicht 29 die oberste Schicht des MOS-FET bildet, bleiben die elektrischen Kennwerte zwischen den Anschlüssen der Gate-Elektrode 30 und den anderen Elektroden sehr zuverlässig beibehalten. Da darüber hinaus der Feldbereich des Halbleitersubstrats 21 außerhalb des Source-Bereichs 24 und des Drain-Bereichs 25 mit deren polykristallinen Siliciumschicht 22 bedeckt ist, ist es möglich, einen aufgrund einer Inversionsschicht auf der Oberfläche des Halbleitersubstrats 21 sonst oftmals zu beobachtenden unerwünschten Kanal zu verhindern. Dies gilt namentlich für Komplementärtypen von MOS-FETen, bei denen ein N-Kanal-MOS-FET (nicht gezeigt) angrenzend an den in Fig.4E gezeigten MOS-FET vorgesehen wird. In diesem Fall ist es mit der Erfindung möglich, die Ausbildung eines unerwünschten Leitungskanals zwischen den FETen zu verhindern. Es wird also auch bei komplementären MOS-FETen — wenn diese nach dem erfindungsgemäBen Verfahren hergestellt werden — kein sogenannter Kanal-Stopper, d.h. ein sonst üblicher spezieller Diffusionsbereich benötigt Damit läßt sich die Integrations- oder Packungsdichte der Elemente erhöhen. Wird auf dem Feldbereich des Halbleitersubstrats — wie bei der zuletzt beschriebenen Ausführungsform — eine polykristalline Siliciumschicht mit guter Passivierungswirkung vorgesehen, so lassen sich hohe Werte der parasitären Schwellen- oder Durchbruchspannung Par-Vth von mehr als 100 V erreichen. Bei der Ausbildung des N-Kanal-MOS-FETs kann ein unnötiges Eindiffundieren von N-Typ-Verunreinigungen, etwa von Phosphoratomen durch die SiO2-Schicht 29 verhindert werden.
Abweichend von dem beschriebenen bevorzugten Durchführungsbeispiel kann sich die Konzentration der Sauerstoff- oder der Stickstoffatome in der betreffenden polykristallinen Siliciumschicht in Dickenrichtung dieser Schicht allmählich ändern. Die Grenzbereiche zwischen den polykristallinen Siliciumschichten können sowohl Sauerstoff- als auch Stickstoffatome enthalten. Natürlich ist es auch möglich, einen umgekehrten Leitfähigkeitstyp für die Halbleiterbereiche vorzusehen. Die SiO2-Schicht sollte jedoch auf der Stickstoffatome enthaltenden polykristallinen Siliciumschicht vorhanden sein, wenn N-Typ-Verunreinigungen eindiffundiert werden. Die Erfindung IaBt sich auch auf die Herstellung eines PN-Übergangs bei einer IC-Trennung gut anwenden. In diesem Fall wirkt — wenn P-Typ-Verunreinigungen in eine N-Ieitende Halbleiterschicht in Dickenrichtung eindiffundiert werden — eine Stickstoffatome enthaltende polykristalline Siliciumschicht auch als oberste Schicht in befriedigender Weise als Diffusionsstopper.
Da nach der Erfindung die Diffusionsmaske im Herstellungsprozeß der betreffenden Halbleiterbauelemente nicht entfernt zu werden braucht läßt sicli die industrielle Herstellung ganz beträchtlich vereinfachen. Durch Verbindung der zweiten polykristallinen Siliciumschicht als Diffusionsmaske mit der ersten polykristallinen Siliciumschicht mit guter Passivierungswirkung läßt sich darüber hinaus der Einfluß elektrischer Ladungen in einem umgebenden Gießharz vermeiden. Außerdem werden die Kennwerte des Halbleiterbauelements bei Änderungen der elektrischen Vorspannung, bei Temperatur- und Feuchtigkeitsschwankungen nicht oder nur sehr wenig beeinflußt Die nach dem Verfahren hergestellten Bauelemente zeigten eine hervorragende Zuverlässigkeit und Betriebsstabilität
Hierzu 3 Blatt Zeichnungen

Claims (1)

  1. Patentanspruch:
    Verfahren zur Herstellung eines Halbleiterbauelements, bei dem zur Bildung einer Passivierungsschicht oberhalb einer Halbieiterschicht bei relativ niedriger Reaktionstemperatur durch thermische Zersetzung von silizium- und sauerstoffabgebenden Gasen eine erste polykristalline Siliziumschicht erzeugt wird, die Sauerstoffatome in einem Anteilsbereich von 2 bis 45 Atomprozent enthält, und über der so erzeugten ersten Schicht bei relativ niedriger Reaktionstemperatur durch thermische Zersetzung von silizium- und stickstoffabgebenden Gasen eine zweite polykristalline Siliziumschicht aufgebracht wird, die einen Stickstoffanteil von über 10 Atomprozent enthält, dadurch gekennzeichnet, daß die doppellagige Passivierungsschicht bei der selektiven Diffusion eines Verunreinigungsmaterials in die Halbieiterschicht als Diffusionsmaske zur Umgrenzung eines Diffusionsfensters verwendet wird.
DE2605830A 1975-02-15 1976-02-13 Verfahren zur Herstellung eines Halbleiterbauelements Expired DE2605830C3 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP50019353A JPS5193874A (en) 1975-02-15 1975-02-15 Handotaisochino seizohoho

Publications (3)

Publication Number Publication Date
DE2605830A1 DE2605830A1 (de) 1976-09-02
DE2605830B2 true DE2605830B2 (de) 1980-11-06
DE2605830C3 DE2605830C3 (de) 1983-01-05

Family

ID=11997006

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2605830A Expired DE2605830C3 (de) 1975-02-15 1976-02-13 Verfahren zur Herstellung eines Halbleiterbauelements

Country Status (8)

Country Link
US (1) US4062707A (de)
JP (1) JPS5193874A (de)
AU (1) AU499549B2 (de)
CA (1) CA1059243A (de)
DE (1) DE2605830C3 (de)
FR (1) FR2301092A1 (de)
GB (1) GB1513332A (de)
NL (1) NL186048C (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3608418A1 (de) * 1985-03-15 1986-09-18 Sharp Kk Verfahren zur herstellung eines mosfet-gateisolatorfilms

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4161744A (en) * 1977-05-23 1979-07-17 Varo Semiconductor, Inc. Passivated semiconductor device and method of making same
US4134125A (en) * 1977-07-20 1979-01-09 Bell Telephone Laboratories, Incorporated Passivation of metallized semiconductor substrates
US4149307A (en) * 1977-12-28 1979-04-17 Hughes Aircraft Company Process for fabricating insulated-gate field-effect transistors with self-aligned contacts
US4148133A (en) * 1978-05-08 1979-04-10 Sperry Rand Corporation Polysilicon mask for etching thick insulator
US4174252A (en) * 1978-07-26 1979-11-13 Rca Corporation Method of defining contact openings in insulating layers on semiconductor devices without the formation of undesirable pinholes
US4219379A (en) * 1978-09-25 1980-08-26 Mostek Corporation Method for making a semiconductor device
US4242697A (en) * 1979-03-14 1980-12-30 Bell Telephone Laboratories, Incorporated Dielectrically isolated high voltage semiconductor devices
JPS5640269A (en) * 1979-09-11 1981-04-16 Toshiba Corp Preparation of semiconductor device
US4317690A (en) * 1980-06-18 1982-03-02 Signetics Corporation Self-aligned double polysilicon MOS fabrication
JPS58100441A (ja) * 1981-12-10 1983-06-15 Toshiba Corp 半導体装置の製造方法
US4990989A (en) * 1982-03-19 1991-02-05 At&T Bell Laboratories Restricted contact planar photodiode
US4894703A (en) * 1982-03-19 1990-01-16 American Telephone And Telegraph Company, At&T Bell Laboratories Restricted contact, planar photodiode
US4634474A (en) * 1984-10-09 1987-01-06 At&T Bell Laboratories Coating of III-V and II-VI compound semiconductors
US4714518A (en) * 1987-01-14 1987-12-22 Polaroid Corporation Dual layer encapsulation coating for III-V semiconductor compounds
US5460983A (en) * 1993-07-30 1995-10-24 Sgs-Thomson Microelectronics, Inc. Method for forming isolated intra-polycrystalline silicon structures
DE4424420A1 (de) * 1994-07-12 1996-01-18 Telefunken Microelectron Kontaktierungsprozeß
US6068928A (en) * 1998-02-25 2000-05-30 Siemens Aktiengesellschaft Method for producing a polycrystalline silicon structure and polycrystalline silicon layer to be produced by the method
EP1060287B1 (de) * 1998-03-06 2005-01-26 ASM America, Inc. Verfahren zum beschichten von silizium mit hoher kantenabdeckung
DE60005541T2 (de) * 2000-12-20 2004-07-01 Stmicroelectronics S.R.L., Agrate Brianza Verfahren zur Kontrollierung von Zwischenoxyd bei einer monokristallinischen/polykristallinischen Silizium-Zwischenschicht
US9443730B2 (en) 2014-07-18 2016-09-13 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102591247B1 (ko) * 2023-04-13 2023-10-19 삼성엔지니어링 주식회사 한쌍의 마스트를 이용한 대용량 건설용 리프트와 로드 셀을 이용한 건설용 리프트 장치

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2789258A (en) * 1955-06-29 1957-04-16 Raytheon Mfg Co Intrinsic coatings for semiconductor junctions
NL121810C (de) * 1955-11-04
GB1053046A (de) * 1963-02-25 1900-01-01
NL6504750A (de) * 1964-04-15 1965-10-18
GB1104935A (en) * 1964-05-08 1968-03-06 Standard Telephones Cables Ltd Improvements in or relating to a method of forming a layer of an inorganic compound
SE300472B (de) * 1965-03-31 1968-04-29 Asea Ab
US3479237A (en) * 1966-04-08 1969-11-18 Bell Telephone Labor Inc Etch masks on semiconductor surfaces
US3422321A (en) * 1966-06-20 1969-01-14 Sperry Rand Corp Oxygenated silicon nitride semiconductor devices and silane method for making same
US3463715A (en) * 1966-07-07 1969-08-26 Trw Inc Method of cathodically sputtering a layer of silicon having a reduced resistivity
US3455020A (en) * 1966-10-13 1969-07-15 Rca Corp Method of fabricating insulated-gate field-effect devices
US3472689A (en) * 1967-01-19 1969-10-14 Rca Corp Vapor deposition of silicon-nitrogen insulating coatings
US3537921A (en) * 1967-02-28 1970-11-03 Motorola Inc Selective hydrofluoric acid etching and subsequent processing
DE1614455C3 (de) * 1967-03-16 1979-07-19 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen einer teils aus Siliciumoxid, teils aus Siliciumnitrid bestehenden Schutzschicht an der Oberfläche eines Halbleiterkörpers
US3475234A (en) * 1967-03-27 1969-10-28 Bell Telephone Labor Inc Method for making mis structures
US3549411A (en) * 1967-06-27 1970-12-22 Texas Instruments Inc Method of preparing silicon nitride films
GB1244013A (en) * 1967-10-13 1971-08-25 Gen Electric Fabrication of semiconductor devices
GB1239852A (en) * 1969-01-09 1971-07-21 Ferranti Ltd Improvements relating to semiconductor devices
JPS497870B1 (de) * 1969-06-06 1974-02-22
JPS5314420B2 (de) * 1973-05-14 1978-05-17
JPS523277B2 (de) * 1973-05-19 1977-01-27
US3862852A (en) * 1973-06-01 1975-01-28 Fairchild Camera Instr Co Method of obtaining high-quality thick films of polycrystalline silicone from dielectric isolation
JPS532552B2 (de) 1974-03-30 1978-01-28

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3608418A1 (de) * 1985-03-15 1986-09-18 Sharp Kk Verfahren zur herstellung eines mosfet-gateisolatorfilms

Also Published As

Publication number Publication date
AU1084076A (en) 1977-08-11
DE2605830A1 (de) 1976-09-02
GB1513332A (en) 1978-06-07
US4062707A (en) 1977-12-13
DE2605830C3 (de) 1983-01-05
JPS5193874A (en) 1976-08-17
NL7601576A (nl) 1976-08-17
NL186048C (nl) 1990-09-03
FR2301092A1 (fr) 1976-09-10
AU499549B2 (en) 1979-04-26
NL186048B (nl) 1990-04-02
FR2301092B1 (de) 1982-06-18
CA1059243A (en) 1979-07-24

Similar Documents

Publication Publication Date Title
DE2605830C3 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE2455730C3 (de) Feldeffekt-Transistor mit einem Substrat aus einkristallinem Saphir oder Spinell
DE69015666T2 (de) MOSFET-Transistor mit nicht-gleichmässiger Schwellspannung im Kanalbereich.
DE2618965A1 (de) Bipolares halbleiterbauelement
DE2513459B2 (de) Halbleiterbauelement mit einer polykristallinen Siliciumabdeckung und Verfahren zu seiner Herstellung
DE2655341C2 (de) Halbleiteranordnung mit einer Passivierungsschicht aus Halbleitermaterial und Verfahren zu ihrer Herstellung
DE2618733A1 (de) Halbleiterbauelement mit heterouebergang
DE2754229A1 (de) Leistungsbauelement vom mosfet-typ und zugehoeriges herstellungsverfahren
DE4013643A1 (de) Bipolartransistor mit isolierter steuerelektrode und verfahren zu seiner herstellung
DE3030385C2 (de) Verfahren zur Herstellung einer MOS-Halbleitervorrichtung
DE2933849A1 (de) Verfahren zur herstellung von halbleiteranordnungen
DE2547304C2 (de)
DE10039327A1 (de) Elektronisches Bauelement und Herstellungsverfahren für elektronisches Bauelement
DE1926884A1 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE2641752B2 (de) Verfahren zur Herstellung eines Feldeffekttransistors
DE2160427A1 (de) Halbleiteranordnung mit einem Halb leiterwiderstand und Verfahren zur Her stellung einer derartigen Anordnung
DE4101130C2 (de) MOS-Feldeffekttransistor und Verfahren zu dessen Herstellung
DE2160462C2 (de) Halbleiteranordnung und Verfahren zu ihrer Herstellung
DE2617855A1 (de) Halbleiterbauelement mit schottky- sperrschicht
DE69105621T2 (de) Herstellungsverfahren eines Kanals in MOS-Halbleiteranordnung.
DE1564151C3 (de) Verfahren zum Herstellen einer Vielzahl von Feldeffekt-Transistoren
DE10111200B4 (de) Integrierte Halbleiterschaltung
DE3124283A1 (de) Halbleiteranordnung und verfahren zu dessen herstellung
DE102017201550B4 (de) Siliciumcarbid-halbleitervorrichtung und verfahren zum herstellen einer siliciumcarbid-halbleitervorrichtung
DE2752335C3 (de) Verfahren zur Herstellung eines Sperrschicht-Feldeffekttransistors mit einem vertikalen Kanal

Legal Events

Date Code Title Description
8263 Opposition against grant of a patent
8381 Inventor (new situation)

Free format text: MOCHIZUKI, HIDENOBU, ATSUGI, KANAGAWA, JP AOKI, TERUAKI, TOKYO, KANAGAWA, JP MATSUSHITA, TAKESHI, SAGAMIHARA, KANAGAWA, JP HAYASHI, HISAO OKAYAMA, MASANORI, ATSUGI, KANAGAWA, JP

C3 Grant after two publication steps (3rd publication)