DE112021003253T5 - Finfet mit seitlichem ladungsgleichgewicht an der drain-driftzone - Google Patents

Finfet mit seitlichem ladungsgleichgewicht an der drain-driftzone Download PDF

Info

Publication number
DE112021003253T5
DE112021003253T5 DE112021003253.4T DE112021003253T DE112021003253T5 DE 112021003253 T5 DE112021003253 T5 DE 112021003253T5 DE 112021003253 T DE112021003253 T DE 112021003253T DE 112021003253 T5 DE112021003253 T5 DE 112021003253T5
Authority
DE
Germany
Prior art keywords
drain
region
fin
drift region
conductivity type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112021003253.4T
Other languages
English (en)
Inventor
Ming-Yeh Chuang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of DE112021003253T5 publication Critical patent/DE112021003253T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/063Reduced surface field [RESURF] pn-junction structures
    • H01L29/0634Multiple reduced surface field (multi-RESURF) structures, e.g. double RESURF, charge compensation, cool, superjunction (SJ), 3D-RESURF, composite buffer (CB) structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Eine Halbleitervorrichtung (100) beinhaltet einen FinFET (102) mit erweitertem Drain. Die Drain-Driftzone (128) des FinFETs (102) erstreckt sich zwischen einer Drain-Kontaktzone (132) und einem Körper (124) des FinFETs (102). Die Drain-Driftzone (128) enthält einen verbesserten Abschnitt (136) der Drain-Driftzone (128) zwischen der Drain-Kontaktzone (132) und dem Körper (124). Die Drain-Driftzone (128) enthält auch eine erste Ladungsgleichgewichtszone und eine zweite Ladungsgleichgewichtszone seitlich angrenzend und auf entgegengesetzten Seiten des verbesserten Abschnitts (136) der Drain-Driftzone (128). Der verbesserte Abschnitt (136) der Drain-Driftzone (128) und die Drain-Kontaktzone (132) weisen einen ersten Leitfähigkeitstyp auf; der Körper (124), die erste Ladungsgleichgewichtszone und die zweite Ladungsgleichgewichtszone weisen einen zweiten entgegengesetzten Leitfähigkeitstyp auf. Die Drain-Driftzone (128) ist breiter als der Körper (124).

Description

  • Diese Offenbarung betrifft das Gebiet der Halbleitervorrichtungen. Insbesondere, aber nicht ausschließlich, betrifft diese Offenbarung Finnen-Feldeffekttransistoren (FinFETs) in Halbleitervorrichtungen.
  • HINTERGRUND
  • Finnen-Feldeffekttransistoren (FinFETs) sind eine Art von Feldeffekttransistor, bei dem sich der Körper in einer Finne aus Halbleitermaterial befindet. Das Gate umschließt den Körper auf mindestens drei Seiten der Finne. FinFETs werden allgemein in „Niederspannungs“-Schaltungen wie etwa Logikschaltungen eingesetzt, bei denen das an den Drains anliegende Betriebspotenzial geringer ist als das Durchbruchspotenzial der Gate-Dielektrikumsschicht zwischen dem Gate und dem Körper. Das Bilden von FinFETs, die mit Drain-Potenzialen über dem Durchbruchspotenzial des Gate-Dielektrikums betrieben werden können, stellte eine Herausforderung dar.
  • KURZDARSTELLUNG
  • Die vorliegende Offenbarung stellt eine Halbleitervorrichtung vor, die einen FinFET mit erweitertem Drain beinhaltet, im Folgenden der FinFET. Der FinFET enthält eine Drain-Driftzone zwischen einer Drain-Kontaktzone des FinFETs und einem Körper des FinFETs. Die Drain-Driftzone enthält einen verbesserten Abschnitt der Drain-Driftzone zwischen der Drain-Kontaktzone und dem Körper. Der FinFET enthält ferner eine erste Ladungsgleichgewichtszone und eine zweite Ladungsgleichgewichtszone seitlich benachbart und auf entgegengesetzten Seiten des verbesserten Abschnitts der Drain-Driftzone. Der verbesserte Abschnitt der Drain-Driftzone und die Drain-Kontaktzone weisen einen ersten Leitfähigkeitstyp auf. Der Körper, die erste Ladungsgleichgewichtszone und die zweite Ladungsgleichgewichtszone weisen einen zweiten entgegengesetzten Leitfähigkeitstyp auf.
  • Figurenliste
    • 1A bis 1G sind perspektivische und Querschnittsansichten einer Halbleitervorrichtung, die einen FinFET mit erweitertem Drain beinhaltet, dargestellt in Stadien eines beispielhaften Verfahrens zur Bildung.
    • 2A bis 2G sind perspektivische und Querschnittsansichten einer Halbleitervorrichtung, die einen FinFET mit erweitertem Drain beinhaltet, dargestellt in Stadien eines weiteren beispielhaften Verfahrens zur Bildung.
  • DETAILLIERTE BESCHREIBUNG BEISPIELHAFTER AUSFÜHRUNGSFORMEN
  • Die vorliegende Offenbarung wird unter Bezugnahme auf die beigefügten Zeichnungen beschrieben. Die Figuren sind nicht maßstabsgerecht gezeichnet und sind nur zur Veranschaulichung der Offenbarung bereitgestellt. Mehrere Aspekte der Offenbarung werden im Folgenden unter Bezugnahme auf beispielhafte Anwendungen zur Veranschaulichung beschrieben. Es versteht sich, dass zahlreiche spezifische Details, Beziehungen und Verfahren dargestellt sind, um für ein Verständnis der Offenbarung zu sorgen. Die vorliegende Offenbarung ist nicht auf die veranschaulichte Reihenfolge von Aktionen oder Ereignissen beschränkt, da manche Aktionen in verschiedenen Reihenfolgen und/oder gleichzeitig mit anderen Aktionen oder Ereignissen stattfinden können. Ferner sind nicht alle veranschaulichten Aktionen oder Ereignisse erforderlich, um eine Methodik gemäß der vorliegenden Offenbarung zu implementieren.
  • Eine Halbleitervorrichtung beinhaltet einen FinFET, der eine Finne aus einem Halbleitermaterial auf einem Substrat der Halbleitervorrichtung aufweist. Der FinFET enthält eine Drain-Kontaktzone mit einem ersten Leitfähigkeitstyp in der Finne und einen Körper mit einem zweiten Leitfähigkeitstyp, dem ersten Leitfähigkeitstyp entgegengesetzt, in der Finne. Der FinFET enthält ferner eine Drain-Driftzone mit dem ersten Leitfähigkeitstyp in der Finne zwischen der Drain-Kontaktzone und dem Körper. Die Drain-Driftzone enthält einen verbesserten Abschnitt der Drain-Driftzone mit dem ersten Leitfähigkeitstyp zwischen der Drain-Kontaktzone und dem Körper. Der verbesserte Abschnitt der Drain-Driftzone hat eine höhere durchschnittliche Netto-Dotierstoffkonzentration des ersten Leitfähigkeitstyps als der Rest der Drain-Driftzone, wobei im verbesserten Abschnitt der Drain-Driftzone die durchschnittliche Netto-Dotierstoffkonzentration des ersten Leitfähigkeitstyps eine durchschnittliche Dotierstoffkonzentration des ersten Leitfähigkeitstyps minus einer durchschnittlichen Dotierstoffkonzentration des zweiten Leitfähigkeitstyps darstellt. Die Ausdrücke „Dotierstoffe des ersten Leitfähigkeitstyps“ und „Dotierstoffe des zweiten Leitfähigkeitstyps“ sind in der Bedeutung von Dotierstoffen, die einen ersten Leitfähigkeitstyp bereitstellen, bzw. Dotierstoffen, die einen zweiten Leitfähigkeitstyp in einem Halbleitermaterial bereitstellen, zu verstehen. Beispielsweise in einem Fall, in dem der erste Leitfähigkeitstyp n-leitend und der zweite Leitfähigkeitstyp p-leitend ist, sind Phosphor, Arsen und Antimon Dotierstoffe des ersten Leitfähigkeitstyps, da sie eine n-Leitfähigkeit im Halbleitermaterial bereitstellen, und Bor, Gallium und Indium sind Dotierstoffe des zweiten Leitfähigkeitstyps, da sie eine p-Leitfähigkeit im Halbleitermaterial bereitstellen. Der FinFET enthält auch eine erste Ladungsgleichgewichtszone und eine zweite Ladungsgleichgewichtszone. Die erste Ladungsgleichgewichtszone liegt seitlich benachbart und angrenzend an den verbesserten Abschnitt der Drain-Driftzone zwischen dem Körper und der Drain-Kontaktzone. Die zweite Ladungsgleichgewichtszone liegt seitlich benachbart und angrenzend an den verbesserten Abschnitt der Drain-Driftzone zwischen dem Körper und der Drain-Kontaktzone entgegengesetzt zur ersten Ladungsgleichgewichtszone. Die Ausdrücke „seitlich“ und „seitlich (Adverb)“ beziehen sich in den hierin offenbarten Beispielen auf Richtungen parallel zu einer oberen Fläche der Finne. Die erste Ladungsgleichgewichtszone und die zweite Ladungsgleichgewichtszone weisen jeweils den zweiten Leitfähigkeitstyp auf. Der Körper hat eine Körperbreite, die eine maximale seitliche Abmessung der Finne durch den Körper in einer Richtung rechtwinklig zum Stromfluss beim Betrieb des FinFETs ist. Die Driftzone hat eine Drain-Breite, die eine maximale seitliche Abmessung der Finne durch die Drain-Driftzone in einer Richtung rechtwinklig zum Stromfluss beim Betrieb des FinFETs ist. Die Drain-Breite ist größer als die Körperbreite. Der FinFET kann eine oder mehrere Finnen enthalten, die parallel konfiguriert sind, wobei jede eine Drain-Kontaktzone, einen Körper und eine Drain-Driftzone mit einem verbesserten Abschnitt der Drain-Driftzone und eine erste und zweite Ladungsgleichgewichtszone aufweist. Der verbesserte Abschnitt der Drain-Driftzone kann beim Betrieb des FinFETs den Stromfluss vorteilhaft zwischen der ersten Ladungsgleichgewichtszone und der zweiten Ladungsgleichgewichtszone konzentrieren, was einen geringeren Widerstand für den FinFET bereitstellt. Die erste Ladungsgleichgewichtszone und die zweite Ladungsgleichgewichtszone können vorteilhaft ein höheres an der Drain-Kontaktzone anliegendes Betriebspotenzial im Vergleich zu einem ähnlichen FinFET ermöglichen, der die Ladungsgleichgewichtszonen nicht aufweist.
  • Es sei angemerkt, dass in dieser Offenbarung Ausdrücke wie oben, über, oberhalb, unter und unterhalb verwendet werden können. Diese Ausdrücke sind nicht so auszulegen, als schränkten sie die Position oder Ausrichtung einer Struktur oder eines Elements ein, sondern sollen dazu dienen, räumliche Beziehungen zwischen Strukturen oder Elementen anzugeben.
  • 1A bis 1G sind perspektivische und Querschnittsansichten einer Halbleitervorrichtung 100 mit einem FinFET 102 mit erweitertem Drain, im Folgenden FinFET 102, dargestellt in Stadien eines beispielhaften Verfahrens zur Bildung. Bezug nehmend auf 1A, kann die Halbleitervorrichtung 100 beispielhaft als diskrete Halbleitervorrichtung, integrierte Schaltung, Mikroelektroniksensor, MEMS-Vorrichtung (MEMS: mikroelektrisches mechanisches System), elektro-optische Vorrichtung oder MOMS-Vorrichtung (MOMS: mikro-optisches mechanisches System) implementiert sein. Die Halbleitervorrichtung 100 wird auf einem Substrat 104 gebildet. Das Substrat 104 kann beispielsweise als Halbleiter-Wafer wie etwa als Silicium-Wafer oder Silicium-auf-Isolator(SOI)-Wafer implementiert sein. Alternativ kann das Substrat 104 als dielektrisches Substrat wie etwa als Saphir-Wafer implementiert sein. Das Substrat 104 kann Gebiete für nicht dargestellte zusätzliche Halbleitervorrichtungen aufweisen, die der Halbleitervorrichtung 100 ähnlich sind. In diesem Beispiel wird ein Halbleitermaterial 106 auf dem Substrat 104 angeordnet. Das Halbleitermaterial 106 kann beispielsweise primär einkristallines Silicium mit Dotierstoffen oder kann ein anderes Halbleitermaterial wie etwa Silicium mit Germanium oder Silicium mit Kohlenstoff sein. In Versionen dieses Beispiels, in dem das Substrat 104 als Halbleiter-Wafer implementiert ist, kann das Halbleitermaterial 106 durchgehend das Substrat 104 fortsetzen.
  • Über dem Halbleitermaterial 106 wird eine Finnenmaske 108 gebildet, um einen Bereich für eine erste Finne 110 des FinFETs 102 und eine zweite Finne 112 des FinFETs 102 zu bedecken. Die Finnenmaske 108 kann einen Fotolack und ein Antireflexionsmaterial enthalten und wird durch einen Fotolithografieprozess gebildet. Die Finnenmaske 108 kann auch ein Hartmaskenmaterial wie etwa Siliciumdioxid enthalten, das durch einen reaktiven Ionenätzprozess (RIE: reactive ion etch) strukturiert wird.
  • Das Halbleitermaterial 106 wird entfernt, wo es durch die Finnenmaske 108 freigelegt wurde, wobei das Halbleitermaterial 106 unter der Finnenmaske 108 belassen wird, um die erste Finne 110 und die zweite Finne 112 zu bilden. Das Halbleitermaterial 106 kann durch einen RIE-Prozess mithilfe von Halogenradikalen wie etwa Fluorradikalen vom Substrat 104 entfernt werden. Die erste Finne 110 und die zweite Finne 112 haben eine obere Fläche 114; das Halbleitermaterial 106 erstreckt sich bis zur oberen Fläche 114.
  • Die Finnenmaske 108 wird nach dem Bilden der ersten Finne 110 und der zweiten Finne 112 entfernt. Der Fotolack in der Finnenmaske 108 kann durch einen Plasmaprozess mithilfe von Sauerstoffradikalen wie etwa einen Veraschungsprozess, gefolgt von einem Nassreinigungsprozess mithilfe einer wässrigen Lösung aus Ammoniumhydroxid und Wasserstoffperoxid entfernt werden. Alternativ kann der Fotolack in der Finnenmaske 108 durch einen Nassätzprozess mithilfe einer wässrigen Mischung aus Schwefelsäure und Wasserstoffperoxid, gefolgt von dem Nassreinigungsprozess der wässrigen Lösung aus Ammoniumhydroxid und Wasserstoffperoxid entfernt werden. Das Hartmaskenmaterial in der Finnenmaske 108 kann durch einen RIE-Prozess oder durch eine gepufferte wässrige Lösung aus verdünnter Fluorwasserstoffsäure entfernt werden.
  • Bezug nehmend auf 1B kann ein Eintiefungsoxid 116 auf dem Substrat 104 um die erste Finne 110 und die zweite Finne 112 herum gebildet werden. Das Eintiefungsoxid 116 enthält ein dielektrisches Material wie etwa Siliciumdioxid. Das Eintiefungsoxid 116 kann gebildet werden, indem das dielektrische Material über dem Substrat gebildet wird und das dielektrische Material über der ersten Finne 110 und der zweiten Finne 112 entfernt wird und dann das dielektrische Material unter der oberen Fläche 114 eingetieft wird, wodurch die erste Finne 110 und die zweite Finne 112 freigelegt werden. Die erste Finne 110 erstreckt sich über das Substrat 104 bis zu einer Finnenhöhe 118, die beispielsweise 300 Nanometer bis 800 Nanometer betragen kann.
  • Dotierstoffe des ersten Leitfähigkeitstyps, in diesem Beispiel n-Dotierstoffe wie etwa Phosphor, werden in die erste Finne 110 eingebracht, um eine erste Source 120 zu bilden, und in die zweite Finne 112, um eine zweite Source 122 zu bilden. Dotierstoffe des zweiten Leitfähigkeitstyps, in diesem Beispiel p-Dotierstoffe wie etwa Bor, werden in die erste Finne 110 eingebracht, um einen ersten Körper 124 zu bilden, und in die zweite Finne 112, um einen zweiten Körper 126 in der zweiten Finne 112 zu bilden. Dotierstoffe des ersten Leitfähigkeitstyps wie etwa Phosphor in diesem Beispiel werden in die erste Finne 110 eingebracht, um eine erste Drain-Driftzone 128 zu bilden, und in die zweite Finne 112, um eine zweite Drain-Driftzone 130 zu bilden. Die erste Drain-Driftzone 128 und die zweite Drain-Driftzone 130 können beispielhaft durchschnittliche Konzentrationen der Dotierstoffe des ersten Leitfähigkeitstyps von 1×1016 cm-3 bis 4×1016 cm-3 aufweisen, um den Betrieb des FinFETs 102 mit einem gewünschten Drain-Potenzial zu ermöglichen. Dotierstoffe des ersten Leitfähigkeitstyps wie etwa Phosphor und Arsen in diesem Beispiel werden in die erste Finne 110 eingebracht, um eine erste Drain-Kontaktzone 132 zu bilden, und in die zweite Finne 112, um eine zweite Drain-Kontaktzone 134 zu bilden. Die erste Drain-Kontaktzone 132 und die zweite Drain-Kontaktzone 134 können durchschnittliche Konzentrationen der Dotierstoffe des ersten Leitfähigkeitstyps über 1×1019 cm-3 aufweisen, um niederohmige Verbindungen zur ersten Drain-Driftzone 128 und zur zweiten Drain-Driftzone 130 und zu anschließend gebildeten verbesserten Abschnitten 136 und 138 der Drain-Driftzonen 128 und 130 bereitzustellen, die in 1E gezeigt sind. In diesem Beispiel können die erste Drain-Kontaktzone 132 und die zweite Drain-Kontaktzone 134 sich durchgehend fortsetzen, wie in 1B dargestellt, was vorteilhafterweise Verbindungen mit geringerem Widerstand zur ersten Drain-Driftzone 128 und zur zweiten Drain-Driftzone 130 und zu den anschließend gebildeten verbesserten Abschnitten 136 und 138 der Drain-Driftzonen 128 und 130 bereitstellen kann, verglichen mit einem ähnlichen FinFET mit segmentierten Drain-Kontaktzonen.
  • Eine Drain-Länge 140, die eine seitliche Abmessung zwischen dem ersten Körper 124 und der ersten Drain-Kontaktzone 132 entlang der oberen Fläche 114 der ersten Finne 110 in einer Richtung parallel zum Stromfluss beim Betrieb des FinFETs 102 darstellt, kann gewählt werden, um den Betrieb des FinFETs 102 mit dem gewünschten Drain-Potenzial zu ermöglichen. Die Drain-Länge 140 kann beispielhaft 200 Nanometer bis 1 Mikrometer betragen. Bei einem bestimmten Beispiel kann ein Wert von 800 Nanometern für die Drain-Länge 140 den Betrieb des FinFETs 102 mit einem Drain-Potenzial von 20 Volt ermöglichen.
  • Der erste Körper 124 hat eine Körperbreite 142, die eine maximale seitliche Abmessung des ersten Körpers 124 in einer Richtung rechtwinklig zum Stromfluss beim Betrieb des FinFETs 102 darstellt. Die Richtung der Körperbreite 142 verläuft rechtwinklig zur Richtung der Drain-Länge 140. Ferner hat die erste Drain-Driftzone 128 eine Drain-Breite 144, die eine maximale seitliche Abmessung der ersten Drain-Driftzone 128 in der Richtung rechtwinklig zum Stromfluss beim Betrieb des FinFETs 102 darstellt. Die Richtung der Drain-Breite 144 verläuft rechtwinklig zur Richtung der Drain-Länge 140. Die Drain-Breite 144 ist größer als die Körperbreite 142. Die Körperbreite 142 kann beispielhaft 100 Nanometer bis 300 Nanometer betragen. Die Drain-Breite 144 kann beispielhaft 300 Nanometer bis 600 Nanometer betragen. Beispielhaft kann die Drain-Breite 144 um 200 Nanometer bis 300 Nanometer größer als die Körperbreite 142 sein.
  • Bezug nehmend auf 1C, wird eine Ladungsgleichgewichtsmaske 146 über der Halbleitervorrichtung 100 gebildet. Die Ladungsgleichgewichtsmaske 146 legt die erste Finne 110 in einem Bereich für eine erste Ladungsgleichgewichtszone 148 und in einem Bereich für eine zweite Ladungsgleichgewichtszone 150 frei und legt die zweite Finne 112 in einem Bereich für eine dritte Ladungsgleichgewichtszone 152 und in einem Bereich für eine vierte Ladungsgleichgewichtszone 154 frei. Der Bereich für die erste Ladungsgleichgewichtszone 148 und der Bereich für die zweite Ladungsgleichgewichtszone 150 befinden sich auf entgegengesetzten Seiten der ersten Drain-Driftzone 128. Genauso befinden sich der Bereich für die dritte Ladungsgleichgewichtszone 152 und der Bereich für die vierte Ladungsgleichgewichtszone 154 auf entgegengesetzten Seiten der zweiten Drain-Driftzone 130. Die Ladungsgleichgewichtsmaske 146 kann Fotolack und Antireflexionsmaterial aufweisen, wie etwa eine untere Antireflexionsbeschichtung (BARC: bottom anti-reflection coat) und kann durch einen fotolithografischen Prozess gebildet werden.
  • Dotierstoffe des zweiten Leitfähigkeitstyps 156, beispielsweise Bor in diesem Beispiel, werden in die erste Finne 110 und die zweite Finne 112 implantiert, wo diese durch die Ladungsgleichgewichtsmaske 146 freigelegt wurde, nämlich in den Bereichen für die Ladungsgleichgewichtszonen 148, 150, 152 und 154. Dotierstoffe des zweiten Leitfähigkeitstyps 156 können in einer Gesamtdosis von 5×1011 cm-2 bis 1×1013 cm-2 implantiert werden. Die Dotierstoffe des zweiten Leitfähigkeitstyps 156 können mit mehr als einer Implantationsenergie implantiert werden, um die Dotierstoffe des zweiten Leitfähigkeitstyps 156 in der ersten Finne 110 und der zweiten Finne 112 vertikal zu verteilen.
  • Die Ladungsgleichgewichtsmaske 146 wird entfernt, nachdem die Dotierstoffe des zweiten Leitfähigkeitstyps 156 implantiert sind. Der Fotolack und das Antireflexionsmaterial in der Ladungsgleichgewichtsmaske 146 können durch einen Plasmaprozess mithilfe von Sauerstoffradikalen wie etwa einem Veraschungsprozess entfernt werden.
  • Bezug nehmend auf 1D wird eine Driftzonenmaske 158 über der Halbleitervorrichtung 100 gebildet. Die Driftzonenmaske 158 legt die erste Finne 110 in einem Bereich für einen ersten verbesserten Abschnitt 136 in der ersten Drain-Driftzone 128 von 1B frei und legt die zweite Finne 112 in einem Bereich für einen zweiten verbesserten Abschnitt 138 in der zweiten Drain-Driftzone 130 von 1B frei. Der Bereich für den ersten verbesserten Abschnitt 136 der ersten Drain-Driftzone 128 liegt zwischen dem Bereich für die erste Ladungsgleichgewichtszone 148 und dem Bereich für die zweite Ladungsgleichgewichtszone 150. Genauso liegt der Bereich für den zweiten verbesserten Abschnitt 138 der zweiten Drain-Driftzone 130 zwischen dem Bereich für die dritte Ladungsgleichgewichtszone 152 und dem Bereich für die vierte Ladungsgleichgewichtszone 154. Die Driftzonenmaske 158 kann eine Zusammensetzung ähnlich derjenigen der Ladungsgleichgewichtsmaske 146 von 1C aufweisen und durch einen ähnlichen Prozess gebildet werden.
  • Dotierstoffe des ersten Leitfähigkeitstyps 160, beispielsweise Phosphor in diesem Beispiel, werden in die erste Finne 110 und die zweite Finne 112 implantiert, wo diese durch die Driftzonenmaske 158 freigelegt wurden, nämlich in den Bereichen für die verbesserten Abschnitte 136 und 138 der Drain-Driftzonen 128 und 130. Die Dotierstoffe des ersten Leitfähigkeitstyps 160 können mit einer Gesamtdosis implantiert werden, die 65 Prozent bis 150 Prozent der Gesamtdosis der Dotierstoffe des zweiten Leitfähigkeitstyps 156 beträgt, offenbart unter Bezugnahme auf 1C, um ein gewünschtes Ladungsgleichgewicht beim Betrieb des FinFETs 102 zu erreichen. Die Dotierstoffe des ersten Leitfähigkeitstyps 160 können mit mehr als einer Implantationsenergie implantiert werden, um die Dotierstoffe des ersten Leitfähigkeitstyps 160 in der ersten Finne 110 und der zweiten Finne 112 vertikal zu verteilen.
  • Die Driftzonenmaske 158 wird entfernt, nachdem die Dotierstoffe des ersten Leitfähigkeitstyps 160 implantiert sind. Die Driftzonenmaske 158 kann durch einen ähnlichen Prozess wie denjenigen, der zum Entfernen der Ladungsgleichgewichtsmaske 146 verwendet wird, entfernt werden.
  • In einer alternativen Version dieses Beispiels können sich die implantierten Bereiche des ersten verbesserten Abschnitts 136 und des zweiten verbesserten Abschnitts 138 der ersten Drain-Driftzone 128 und der zweiten Drain-Driftzone 130 bis zu dem ersten Körper 124 bzw. dem zweiten Körper 126 von 1B erstrecken. In einer anderen alternativen Version können sich die implantierten Bereiche des ersten verbesserten Abschnitts 136 und des zweiten verbesserten Abschnitts 138 der ersten Drain-Driftzone 128 und der zweiten Drain-Driftzone 130 bis zur ersten Drain-Kontaktzone 132 bzw. zur zweiten Drain-Kontaktzone 134 von 1B erstrecken. In einer weiteren alternativen Version können die Dotierstoffe des ersten Leitfähigkeitstyps 160 nach den Dotierstoffen des zweiten Leitfähigkeitstyps 156 von 1C implantiert werden.
  • Bezug nehmend auf 1E, wird das Substrat 104 durch einen Temperprozess 162 erwärmt, der die Dotierstoffe des ersten Leitfähigkeitstyps 160 von 1D aktiviert, um den ersten verbesserten Abschnitt 136 in der ersten Drain-Driftzone 128 zu bilden und den zweiten verbesserten Abschnitt 138 der zweiten Drain-Driftzone 130 in der zweiten Drain-Driftzone 130 zu bilden. Der Temperprozess 162 aktiviert auch die Dotierstoffe des zweiten Leitfähigkeitstyps 156 von 1C, um die erste Ladungsgleichgewichtszone 148 und die zweite Ladungsgleichgewichtszone 150 in der ersten Finne 110 zu bilden und die dritte Ladungsgleichgewichtszone 152 und die vierte Ladungsgleichgewichtszone 154 in der zweiten Finne 112 zu bilden. Die verbesserten Abschnitte 136 und 138 der Drain-Driftzonen 128 und 130 können durchschnittliche Netto-Dotierstoffkonzentrationen des ersten Leitfähigkeitstyps von 1×1016 cm-3 bis 4×1016 cm-3 aufweisen.
  • Die Ladungsgleichgewichtszonen 148, 150, 152 und 154 können in den verbesserten Abschnitten 136 und 138 der Drain-Driftzonen 128 und 130 durchschnittliche Netto-Dotierstoffkonzentrationen des zweiten Leitfähigkeitstyps von 65 Prozent bis 150 Prozent der durchschnittlichen Netto-Dotierstoffkonzentrationen des ersten Leitfähigkeitstyps aufweisen, wobei in den Ladungsgleichgewichtszonen 148, 150, 152 und 154 die durchschnittlichen Netto-Dotierstoffkonzentrationen des ersten Leitfähigkeitstyps durchschnittliche Dotierstoffkonzentrationen des zweiten Leitfähigkeitstyps minus durchschnittliche Dotierstoffkonzentrationen des ersten Leitfähigkeitstyps sind. Der Temperprozess 162 kann beispielsweise als schnelles thermisches Tempern, Spitzentempern oder Blitzlampentempern implementiert werden. Eine schnelle thermische Temperung kann das Substrat 104 5 Sekunden bis 60 Sekunden lang auf 1000 °C bis 1150 °C aufheizen und kann in einem schnell arbeitenden Thermoprozessor mithilfe einer Glühlampe implementiert werden. Eine Spitzentemperung kann das Substrat 104 100 Millisekunden bis 5 Sekunden lang auf 1100 °C bis 1250 °C aufheizen und kann mit einer Bogenblitzlampe implementiert werden. Eine Blitzlampentemperung kann das Substrat 104 50 Mikrosekunden bis 1 Millisekunde lang auf 1200 °C bis 1350 °C aufheizen und kann mit einer Blitzlampe oder einem Abtastlaser implementiert werden.
  • Bilden der verbesserten Abschnitte 136 und 138 der Drain-Driftzonen 128 und 130 durch Implantieren von Dotierstoffen des ersten Leitfähigkeitstyps 160 ohne überlappende Implantate von Dotierstoffen des zweiten Leitfähigkeitstyps 156, wie in diesem Beispiel offenbart, kann einheitliche durchschnittliche Dotierstoffkonzentrationen in den verbesserten Abschnitten 136 und 138 der Drain-Driftzonen 128 und 130 bereitstellen, was vorteilhafterweise eine einheitliche Leistungsfähigkeit des FinFETs 102 bereitstellt. Genauso kann Bilden der Ladungsgleichgewichtszonen 148, 150, 152 und 154 durch Implantieren von Dotierstoffen des zweiten Leitfähigkeitstyps 156 ohne überlappende Implantate von Dotierstoffen des ersten Leitfähigkeitstyps 160, wie in diesem Beispiel offenbart, einheitliche durchschnittliche Dotierstoffkonzentrationen in den Ladungsgleichgewichtszonen 148, 150, 152 und 154 bereitstellen, was vorteilhafterweise eine noch größere einheitliche Leistungsfähigkeit des FinFETs 102 bereitstellt.
  • Die erste Ladungsgleichgewichtszone 148 liegt benachbart zum ersten verbesserten Abschnitt 136 der ersten Drain-Driftzone 128 zwischen dem ersten Körper 124 und der ersten Drain-Kontaktzone 132, und die zweite Ladungsgleichgewichtszone 150 liegt benachbart zum ersten verbesserten Abschnitt 136 der ersten Drain-Driftzone 128 zwischen dem ersten Körper 124 und der ersten Drain-Kontaktzone 132 auf einer der ersten Ladungsgleichgewichtszone 148 entgegengesetzten Seite des ersten verbesserten Abschnitts 136 der ersten Drain-Driftzone 128. Genauso liegt die dritte Ladungsgleichgewichtszone 152 benachbart zum zweiten verbesserten Abschnitt 138 der zweiten Drain-Driftzone 130 zwischen dem zweiten Körper 126 und der zweiten Drain-Kontaktzone 134, und die vierte Ladungsgleichgewichtszone 154 liegt benachbart zum zweiten verbesserten Abschnitt 138 der zweiten Drain-Driftzone 130 zwischen dem zweiten Körper 126 und der zweiten Drain-Kontaktzone 134 auf einer der dritten Ladungsgleichgewichtszone 152 entgegengesetzten Seite des zweiten verbesserten Abschnitts 138 der zweiten Drain-Driftzone 130. In diesem Beispiel kann die zweite Ladungsgleichgewichtszone 150 sich durchgehend in der dritten Ladungsgleichgewichtszone 152 fortsetzen.
  • Eine Anordnung der ersten Ladungsgleichgewichtszone 148 und der zweiten Ladungsgleichgewichtszone 150 benachbart zum ersten verbesserten Abschnitt 136 der ersten Drain-Driftzone 128 und auf entgegengesetzten Seiten und genauso der dritten Ladungsgleichgewichtszone 152 und der vierten Ladungsgleichgewichtszone 154 bezüglich des zweiten verbesserten Abschnitts 138 der zweiten Drain-Driftzone 130 kann es vorteilhafterweise ermöglichen, dass im Vergleich zu einem ähnlichen FinFET, der die Ladungsgleichgewichtszonen nicht aufweist, ein höheres Betriebspotenzial an der ersten Drain-Kontaktzone 132 anliegt.
  • 1F und 1G sind Ausschnittsansichten der Halbleitervorrichtung 100 entlang der in 1E gezeigten Schnittlinie, die weitere Stadien der Bildung zeigen. Bezug nehmend auf 1F, wird eine Feldplatten-Dielektrikumsschicht 164 über dem Substrat 104 gebildet, die sich von nahe dem ersten Körper 124 und von nahe dem zweiten Körper 126 von 1E, in 1F verdeckt, zur ersten Drain-Kontaktzone 132 und zur zweiten Drain-Kontaktzone 134 erstreckt und zumindest teilweise den ersten verbesserten Abschnitt 136 der ersten Drain-Driftzone 128 überlappt und zumindest teilweise den zweiten verbesserten Abschnitt 138 der zweite Drain-Driftzone 130 von 1E, in 1F verdeckt, überlappt. Die Feldplatten-Dielektrikumsschicht 164 kann auch zumindest teilweise die erste Ladungsgleichgewichtszone 148 von 1E, in 1F weggeschnitten, und die zweite Ladungsgleichgewichtszone 150, die dritte Ladungsgleichgewichtszone 152 und die vierte Ladungsgleichgewichtszone 154 von 1E, in 1F verdeckt, überlappen. Die Feldplatten-Dielektrikumsschicht 164 kann Siliciumdioxid oder ein dielektrisches Material basierend auf Siliciumdioxid aufweisen und kann beispielsweise durch einen chemischen Gasphasenabscheidungsprozess (CVD: chemical vapor deposition) oder einen plasmaverstärkten chemischen Gasphasenabscheidungsprozess (PECVD: plasma enhanced chemical vapor deposition) gebildet werden. Eine Dicke der Feldplatten-Dielektrikumsschicht 164 kann vom gewünschten Drain-Potenzial des FinFETs 102 abhängen. Beispielhaft kann die Dicke der Feldplatten-Dielektrikumsschicht 164 für ein gewünschtes Drain-Potenzial von 5 Volt 30 Nanometer betragen und für ein gewünschtes Drain-Potenzial von 20 Volt 120 Nanometer betragen. Die Feldplatten-Dielektrikumsschicht 164 kann durch einen Nassätzprozess strukturiert werden, um nahe am ersten Körper 124 und zweiten Körper 126 ein verjüngtes Profil bereitzustellen.
  • Auf dem ersten Körper 124 und auf dem zweiten Körper 126 von 1E, in 1F verdeckt, wird auf der oberen Fläche 114 der ersten Finne 110 und der zweiten Finne 112 eine Gate-Dielektrikumsschicht 166 gebildet und erstreckt sich weiter zu seitlichen Flächen des ersten Körpers 124 und des zweiten Körpers 126, wobei sich die seitlichen Flächen von der oberen Fläche 114 zum Eintiefungsoxid 116 erstrecken. Die Gate-Dielektrikumsschicht 166 kann Siliciumdioxid, nitriertes Siliciumdioxid, Hafniumoxid, Zirkoniumoxid, Tantaloxid oder ein anderes dielektrisches Material aufweisen, das für ein Gate-Dielektrikum eines FinFETs geeignet ist. Die Gate-Dielektrikumsschicht 166 kann durch einen thermischen Oxidationsprozess, einen CVD-Prozess, einen Nitrierungsprozess oder eine Kombination daraus gebildet werden. Die Gate-Dielektrikumsschicht 166 kann beispielhaft eine Dicke von 2 Nanometern bis 12 Nanometern aufweisen.
  • Über der Feldplatten-Dielektrikumsschicht 164 wird eine Feldplatte 168 gebildet und über der Gate-Dielektrikumsschicht 166 wird ein Gate 170 gebildet. In diesem Beispiel kann die Feldplatte 168 sich durchgehend im Gate 170 fortsetzen. Das Gate 170 erstreckt sich über die obere Fläche 114 der ersten Finne 110 und der zweiten Finne 112, wobei es den ersten Körper 124 und den zweiten Körper 126 überlappt, und über die seitlichen Flächen des ersten Körpers 124 und des zweiten Körpers 126. Die Feldplatte 168 und das Gate 170 können polykristallines Silicium, allgemein als Polysilicium bezeichnet, aufweisen und können eine Schicht eines Metallsilicids auf dem Polysilicium aufweisen. Alternativ können die Feldplatte 168 und das Gate 170 metallische Gate-Materialien wie etwa Titan, Titannitrid, Tantal oder Tantalnitrid aufweisen. Die Feldplatte 168 und das Gate 170 können gebildet werden, indem eine leitfähige Schicht aus Polysilicium oder Gate-Metallen über der Feldplatten-Dielektrikumsschicht 164 und der Gate-Dielektrikumsschicht 166 gebildet wird, eine kombinierte Feldplatten-/Gate-Maske über der leitfähigen Schicht gebildet wird und die leitfähige Schicht entfernt wird, wo sie durch die kombinierte Feldplatten-/Gate-Maske freigelegt wurde.
  • Die Feldplatte 168 kann beim Betrieb des FinFETs 102 vorteilhaft ein elektrisches Feld im ersten verbesserten Abschnitt 136 der ersten Drain-Driftzone 128 und im zweiten verbesserten Abschnitt 138 der zweiten Drain-Driftzone 130 verringern. Gleichzeitiges Bilden der Feldplatte 168 und des Gates 170, wie in diesem Beispiel offenbart, kann vorteilhaft die Fertigungskomplexität und Kosten der Halbleitervorrichtung 100 verringern.
  • Bezug nehmend auf 1G, wird ein Drain-Anschluss 172 auf der ersten Drain-Kontaktzone 132 und auf der zweiten Drain-Kontaktzone 134 von 1F gebildet, in 1G verdeckt. In diesem Beispiel ist der Drain-Anschluss 172 durchgehend. Die Source-Anschlüsse 174 werden auf der ersten Source 120 und der zweiten Source 122 gebildet. Der Drain-Anschluss 172 und die Source-Anschlüsse 174 sind elektrisch leitfähig und können gleichzeitig gebildet werden. Eine nicht gezeigte Prämetall-Dielektrikumsschicht (PMD-Schicht) kann vor dem Bilden des Drain-Anschlusses 172 und der Source-Anschlüsse 174 über dem FinFET 102 gebildet werden. Der Drain-Anschluss 172 und die Source-Anschlüsse 174 können gebildet werden, indem Kontaktlöcher durch die PMD-Schicht gebildet werden und eine oder mehrere Schichten aus leitfähigem Material wie etwa Titan, Titannitrid und Wolfram auf der PMD-Schicht gebildet werden, die sich in die Kontaktlöcher erstrecken und elektrische Verbindungen mit der ersten Drain-Kontaktzone 132, der zweiten Drain-Kontaktzone 134, der ersten Source 120 und der zweiten Source 122 herstellen. Die Schichten aus leitfähigem Material werden anschließend von der PMD-Schicht entfernt und hinterlassen die Schichten aus leitfähigem Material in den Kontaktlöchern, um den Drain-Anschluss 172 und die Source-Anschlüsse 174 bereitzustellen. Der Drain-Anschluss 172 und die Source-Anschlüsse 174 stellen Knoten zum elektrischen Verbinden des FinFETs 102 mit anderen Komponenten wie etwa aktiven Komponenten oder Eingangs-/Ausgangsanschlüssen in der Halbleitervorrichtung 100 dar.
  • 2A bis 2G sind perspektivische und Querschnittsansichten einer Halbleitervorrichtung 200, die einen FinFET 202 mit erweitertem Drain aufweist, im Folgenden FinFET 202, dargestellt in Stadien eines anderen beispielhaften Verfahrens zur Bildung. Bezug nehmend auf 2A, kann die Halbleitervorrichtung 200 wie ein beliebiges der Beispiele implementiert werden, die mit Bezug auf die Halbleitervorrichtung 100 von 1A offenbart werden. In diesem Beispiel beginnt die Bildung der Halbleitervorrichtung 200 mit dem Erwerben eines Substrats 204. Das Substrat 204 kann beispielsweise als Silicium-Wafer oder SOI-Wafer implementiert sein. Das Substrat 204 kann Gebiete für nicht dargestellte zusätzliche Halbleitervorrichtungen aufweisen, die der Halbleitervorrichtung 200 ähnlich sind.
  • Über dem Substrat 204 wird ein Eintiefungsoxid 216 gebildet. Das Eintiefungsoxid 216 kann eine oder mehrere Schichten Siliciumdioxid oder Siliciumdioxid mit wenigen Gewichtsprozent Wasserstoffgehalt aufweisen und kann durch thermische Oxidation von Silicium oder durch einen chemischen Gasphasenabscheidungsprozess mit niedrigem Druck (LPCVD: low pressure chemical vapor deposition) gebildet werden. Das Eintiefungsoxid 216 kann gebildet werden, indem die eine oder mehreren Schichten aus Siliciumdioxid gebildet werden und die eine oder mehreren Schichten gleichzeitig mit einer Finnenmaske 208 strukturiert werden.
  • Die Finnenmaske 208 wird über dem Eintiefungsoxid 216 gebildet. Die Finnenmaske 208 kann eine oder mehrere Schichten aus epitaktischem Maskenmaterial wie etwa Siliciumdioxid, Siliciumnitrid oder siliciumdotiertes Bornitrid (SixBN) mit 1 Atomprozent bis 30 Atomprozent Silicium oder eine Kombination daraus aufweisen. Das siliciumdotierte Bornitrid kann mithilfe eines PECVD-Prozesses mit Diboran (B2H6), Silan (SiH4) und Ammoniak (NH3), eines Atomlagenabscheidungsprozesses (ALD: atomic layer deposition) mit Bortrichlorid (BCl3), Dichlorsilan (SiH2Cl2) und Ammoniak oder eines metallorganischen chemischen Gasphasenabscheidungsprozesses (MOCVD: metal organic chemical vapor deposition) gebildet werden. Die Finnenmaske 208 kann gebildet werden, indem die eine oder mehreren Schichten aus epitaktischem Maskenmaterial über der einen oder den mehreren Schichten aus Siliciumdioxid für das Eintiefungsoxid 216 gebildet werden, eine Hartmaske über der einen oder den mehreren Schichten aus Siliciumnitrid oder siliciumdotiertem Bornitrid gebildet wird, die die Bereiche für die erste Finne 210 und die zweite Finne 212 freilegt, gefolgt vom Ätzen der einen oder mehreren Schichten aus Siliciumnitrid oder siliciumdotiertem Bornitrid für die Finnenmaske 208 und der einen oder mehreren Schichten aus Siliciumdioxid für das Eintiefungsoxid 216 mithilfe eines RIE-Prozesses mit Fluorradikalen, um gleichzeitig die Finnenmaske 208 und das Eintiefungsoxid 216 zu bilden. Ein Einbeziehen des siliciumdotierten Bornitrids in die Finnenmaske 208 kann eine Ätzselektivität für darunterliegende Materialien wie etwa Silicium und Siliciumdioxid bereitstellen. Die Finnenmaske 208 legt Bereiche für eine erste Finne 210 und eine zweite Finne 212 des FinFETs 202 frei.
  • Die erste Finne 210 und die zweite Finne 212 werden auf dem Substrat 204, wo es durch die Finnenmaske 208 und das Eintiefungsoxid 216 freigelegt wurde, durch einen Epitaxieprozess gleichzeitig aus einem Halbleitermaterial 206 gebildet. In diesem Beispiel können das Halbleitermaterial 206 der ersten Finne 210 und der zweiten Finne 212 eine andere Zusammensetzung als das Substrat 204 haben. Beispielsweise kann das Substrat 204 primär einkristallines Silicium im Wesentlichen ohne Germanium oder Kohlenstoff aufweisen. In Versionen dieses Beispiels, in dem der FinFET 202 ein p-Kanal-FinFET 202 ist, kann das Halbleitermaterial 206 der ersten Finne 210 und der zweiten Finne 212 Silicium-Germanium aufweisen, um im Vergleich zu Silicium eine höhere Löcherbeweglichkeit bereitzustellen. In Versionen dieses Beispiels, in dem der FinFET 202 ein n-Kanal-FinFET 202 ist, kann das Halbleitermaterial 206 der ersten Finne 210 und der zweiten Finne 212 Silicium-Kohlenstoff aufweisen, um im Vergleich zu Silicium eine höhere Elektronenbeweglichkeit bereitzustellen. Dieses Beispiel beschreibt den FinFET 202 als p-Kanal-FinFET 202. Ein entsprechender n-Kanal-FinFET 202 kann durch entsprechende Änderungen der Leitfähigkeitstypen von Dotierstoffen, die zum Bilden des FinFETs 202 verwendet werden, gebildet werden.
  • Das Halbleitermaterial 206 erstreckt sich bis zu einer oberen Fläche 214 der ersten Finne 210 und der zweiten Finne 212. Die erste Finne 210 und die zweite Finne 212 können Abmessungen aufweisen, wie sie in Bezug auf die erste Finne 110 und die zweite Finne 112 von 1B und 1B offenbart sind. In einer alternativen Version dieses Beispiels kann der Epitaxieprozess fortgesetzt werden, bis sich das Halbleitermaterial 206 über eine obere Fläche der Finnenmaske 208 erstreckt, und das Halbleitermaterial 206 über der oberen Fläche der Finnenmaske 208 kann durch einen Hinterätzprozess oder einen chemisch-mechanischen Polierprozess (CMP-Prozess) entfernt werden.
  • Nachdem die erste Finne 210 und die zweite Finne 212 gebildet sind, wird die Finnenmaske 208 entfernt, wodurch das Eintiefungsoxid 216 an seinem Ort belassen wird. Siliciumnitrid und siliciumdotiertes Bornitrid in der Finnenmaske 208 können durch einen Plasmaätzprozess mithilfe von Fluorradikalen entfernt werden.
  • Bezug nehmend auf 2B, werden Dotierstoffe des ersten Leitfähigkeitstyps, p-Dotierstoffe wie etwa Bor und wahlweise Gallium oder Indium in diesem Beispiel, in das Substrat 204 eingebracht, um eine erste Source 220 in der ersten Finne 210 zu bilden und eine zweite Source 222 in der zweiten Finne 212 zu bilden. Dotierstoffe des zweiten Leitfähigkeitstyps, p-Dotierstoffe wie etwa Phosphor und wahlweise Arsen oder Antimon in diesem Beispiel, werden in das Substrat 204 eingebracht, um einen ersten Körper 224 in der ersten Finne 210 zu bilden und einen zweiten Körper 226 in der zweiten Finne 212 zu bilden. Dotierstoffe des ersten Leitfähigkeitstyps wie etwa Bor in diesem Beispiel werden in das Substrat 204 eingebracht, um eine erste Drain-Driftzone 228 in der ersten Finne 210 zu bilden und eine zweite Drain-Driftzone 230 in der zweiten Finne 212 zu bilden. Die erste Drain-Driftzone 228 und die zweite Drain-Driftzone 230 können durchschnittliche Konzentrationen der Dotierstoffe des ersten Leitfähigkeitstyps von 1×1016 cm-3 bis 4×1016 cm-3 aufweisen, wie mit Bezug auf 1B offenbart. Dotierstoffe des ersten Leitfähigkeitstyps wie etwa Bor und wahlweise Gallium oder Indium in diesem Beispiel werden in die erste Drain-Driftzone 228 eingebracht, um eine erste Drain-Kontaktzone 232 in der ersten Finne 210 zu bilden, und in die zweite Drain-Driftzone 230, um eine zweite Drain-Kontaktzone 234 in der zweiten Finne 212 zu bilden. Die erste Drain-Kontaktzone 232 und die zweite Drain-Kontaktzone 234 können durchschnittliche Konzentrationen der Dotierstoffe des ersten Leitfähigkeitstyps über 1×1019 cm-3 aufweisen, wie mit Bezug auf 1B offenbart. In diesem Beispiel sind die erste Drain-Kontaktzone 232 und die zweite Drain-Kontaktzone 234 segmentiert, was beim Betrieb der Halbleitervorrichtung 200 eine Stromverdichtung im FinFET 202 vorteilhaft verringert kann.
  • Bezug nehmend auf 2C, wird eine Driftzonenmaske 258 über dem Substrat 204 gebildet. Die Driftzonenmaske 258 legt das Substrat 204 in einem Bereich frei, der sich für einen ersten verbesserten Abschnitt 236 der ersten Drain-Driftzone 228, in 2E gezeigt, über die erste Finne 210 in der ersten Drain-Driftzone 228 von 2B erstreckt, und der sich für einen zweiten verbesserten Abschnitt 238 der zweiten Drain-Driftzone 230, in 2E gezeigt, über die zweite Finne 212 in der Drain-Driftzone 230 von 2B erstreckt. Die Driftzonenmaske 258 kann eine Zusammensetzung ähnlich der Driftzonenmaske 158 von 1D haben und kann durch einen ähnlichen Prozess wie denjenigen, der zum Formen der Driftzonenmaske 158 verwendet wurde, gebildet werden. Bilden der Driftzonenmaske 258 zum Freilegen des Substrats 204 durchgehend über die erste Finne 210 und die zweite Finne 212 kann im Vergleich zu einer Maske mit diskreten freigelegten Bereichen vorteilhaft einen größeren Prozessspielraum für den Prozess bereitstellen, der zum Bilden der Driftzonenmaske 258 verwendet wird. Der Bereich, der durch die Driftzonenmaske 258 freigelegt wird, kann sich vom ersten Körper 224 und zweiten Körper 226 von 2B zur ersten Drain-Kontaktzone 232 und zweiten Drain-Kontaktzone 234 von 2B erstrecken, wie in 2C dargestellt. Alternativ kann der Bereich, der durch die Driftzonenmaske 258 freigelegt wird, vom ersten Körper 224 und zweiten Körper 226 eingetieft werden oder von der ersten Drain-Kontaktzone 232 und zweiten Drain-Kontaktzone 234 eingetieft werden.
  • Dotierstoffe des ersten Leitfähigkeitstyps 260, beispielsweise Bor in diesem Beispiel, werden in die erste Finne 210 und die zweite Finne 212 implantiert, wo diese durch die Driftzonenmaske 258 freigelegt wurden. Die Dotierstoffe des ersten Leitfähigkeitstyps 260 können in einer Gesamtdosis von 5×1011 cm-2 bis 3×1012 cm-2 implantiert werden. Die Dotierstoffe des ersten Leitfähigkeitstyps 260 können mit mehr als einer Implantationsenergie implantiert werden, um die Dotierstoffe des ersten Leitfähigkeitstyps 260 in der ersten Finne 210 und der zweiten Finne 212 vertikal zu verteilen.
  • Die Driftzonenmaske 258 wird entfernt, nachdem die Dotierstoffe des ersten Leitfähigkeitstyps 260 implantiert sind. Die Driftzonenmaske 258 kann durch einen Prozess entfernt werden, wie in Bezug auf die Entfernung der Driftzonenmaske 158 von 1D offenbart.
  • Bezug nehmend auf 2D, wird eine Ladungsgleichgewichtsmaske 246 über dem Substrat 204 gebildet. Die Ladungsgleichgewichtsmaske 246 legt das Substrat 204 in einem Bereich für eine erste Ladungsgleichgewichtszone 248, in 2E gezeigt, und in einem Bereich für eine zweite Ladungsgleichgewichtszone 250, in 2E gezeigt, in der ersten Finne 210 und in einem Bereich für eine dritte Ladungsgleichgewichtszone 252, in 2E gezeigt, und in einem Bereich für eine vierte Ladungsgleichgewichtszone 254, in 2E gezeigt, in der zweiten Finne 212 frei. Der Bereich für die erste Ladungsgleichgewichtszone 248 und der Bereich für die zweite Ladungsgleichgewichtszone 250 befinden sich auf entgegengesetzten Seiten der ersten Drain-Driftzone 228 von 2E. Genauso befinden sich der Bereich für die dritte Ladungsgleichgewichtszone 252 und der Bereich für die vierte Ladungsgleichgewichtszone 254 auf entgegengesetzten Seiten der zweiten Drain-Driftzone 230 von 2E. In diesem Beispiel kann die Ladungsgleichgewichtsmaske 246 die Bereiche für die zweite Ladungsgleichgewichtszone 250 und den Bereich für die dritte Ladungsgleichgewichtszone 252 in einer einzigen überlappenden Freilegungszone freilegen, was im Vergleich zu einer Maske mit diskreten freigelegten Bereichen vorteilhaft einen größeren Prozessspielraum für den Prozess bereitstellen kann, der zum Bilden der Ladungsgleichgewichtsmaske 246 verwendet wird. Die Ladungsgleichgewichtsmaske 246 kann eine Zusammensetzung ähnlich der Driftzonenmaske 258 von 2C haben und kann durch einen ähnlichen Prozess wie denjenigen, der zum Formen der Driftzonenmaske 258 verwendet wurde, gebildet werden.
  • Dotierstoffe des zweiten Leitfähigkeitstyps 256, beispielsweise Phosphor in diesem Beispiel, werden in die erste Finne 210 und die zweite Finne 212 implantiert, wo diese durch die Ladungsgleichgewichtsmaske 246 freigelegt wurden, nämlich in den Bereichen für die Ladungsgleichgewichtszonen 248, 250, 252 und 254. In diesem Beispiel können die Dotierstoffe des zweiten Leitfähigkeitstyps 256 mit einer Gesamtdosis implantiert werden, die 165 Prozent bis 250 Prozent der Gesamtdosis der Dotierstoffe des ersten Leitfähigkeitstyps 260 von 2C beträgt, um so die Dotierstoffe des ersten Leitfähigkeitstyps 260 zu gegendotieren, um eine durchschnittliche Netto-Dotierstoffdichte in den Ladungsgleichgewichtszonen 248, 250, 252 und 254 bereitzustellen, die 65 Prozent bis 150 Prozent der durchschnittlichen Netto-Dotierstoffdichte im ersten verbesserten Abschnitt 236 der ersten Drain-Driftzone 228 und im zweiten verbesserten Abschnitt 238 der zweiten Drain-Driftzone 230 beträgt. Die Dotierstoffe des zweiten Leitfähigkeitstyps 256 können mit mehr als einer Implantationsenergie implantiert werden, um die Dotierstoffe des zweiten Leitfähigkeitstyps 256 in der ersten Finne 210 und der zweiten Finne 212 vertikal zu verteilen.
  • Die Ladungsgleichgewichtsmaske 246 wird entfernt, nachdem die Dotierstoffe des zweiten Leitfähigkeitstyps 256 implantiert sind. Die Ladungsgleichgewichtsmaske 246 kann durch einen Prozess entfernt werden, wie in Bezug auf die Entfernung der Driftzonenmaske 258 von 2C offenbart.
  • In einer alternativen Version dieses Beispiels können die Dotierstoffe des ersten Leitfähigkeitstyps 260 nach den Dotierstoffen des zweiten Leitfähigkeitstyps 256 von 2C implantiert werden.
  • Bezug nehmend auf 2E, wird das Substrat 204 durch einen Temperprozess 262 erwärmt, der die Dotierstoffe des ersten Leitfähigkeitstyps 260 von 2C aktiviert und die Dotierstoffe des zweiten Leitfähigkeitstyps 256 von 2D aktiviert. In diesem Beispiel bilden die aktivierten Dotierstoffe des ersten Leitfähigkeitstyps 260 den ersten verbesserten Abschnitt 236 der ersten Drain-Driftzone 228 in der ersten Drain-Driftzone 228 und bilden den zweiten verbesserten Abschnitt 238 der zweiten Drain-Driftzone 230 in der zweiten Drain-Driftzone 230 und erstrecken sich in die erste Ladungsgleichgewichtszone 248 und die zweite Ladungsgleichgewichtszone 250 und erstrecken sich in die dritte Ladungsgleichgewichtszone 252 und die vierte Ladungsgleichgewichtszone 254. Die aktivierten Dotierstoffe des zweiten Leitfähigkeitstyps 256 gegendotieren die aktivierten Dotierstoffe des ersten Leitfähigkeitstyps 260, um die erste Ladungsgleichgewichtszone 248 und die zweite Ladungsgleichgewichtszone 250 in der ersten Finne 210 zu bilden und die dritte Ladungsgleichgewichtszone 252 und die vierte Ladungsgleichgewichtszone 254 in der zweiten Finne 212 zu bilden. Der Temperprozess 262 kann beispielsweise als schnelles thermisches Tempern, Spitzentempern oder Blitzlampentempern implementiert werden.
  • Die erste Ladungsgleichgewichtszone 248 liegt benachbart zum und grenzt an den ersten verbesserten Abschnitt 236 der ersten Drain-Driftzone 228 zwischen dem ersten Körper 224 und der ersten Drain-Kontaktzone 232, und die zweite Ladungsgleichgewichtszone 250 liegt benachbart zum und grenzt an den ersten verbesserten Abschnitt 236 der ersten Drain-Driftzone 228 zwischen dem ersten Körper 224 und der ersten Drain-Kontaktzone 232 auf einer der ersten Ladungsgleichgewichtszone 248 entgegengesetzten Seite des ersten verbesserten Abschnitts 236 der ersten Drain-Driftzone 228. Genauso liegt die dritte Ladungsgleichgewichtszone 252 benachbart zum und grenzt an den zweiten verbesserten Abschnitt 238 der zweiten Drain-Driftzone 230 zwischen dem zweiten Körper 226 und der zweiten Drain-Kontaktzone 234, und die vierte Ladungsgleichgewichtszone 254 liegt benachbart zum und grenzt an den zweiten verbesserten Abschnitt 238 der zweiten Drain-Driftzone 230 zwischen dem zweiten Körper 226 und der zweiten Drain-Kontaktzone 234 auf einer der dritten Ladungsgleichgewichtszone 252 entgegengesetzten Seite des zweiten verbesserten Abschnitts 238 der zweiten Drain-Driftzone 230. Eine Anordnung der ersten Ladungsgleichgewichtszone 248 und der zweiten Ladungsgleichgewichtszone 250 benachbart zum ersten verbesserten Abschnitt 236 der ersten Drain-Driftzone 228 und auf entgegengesetzten Seiten und genauso der dritten Ladungsgleichgewichtszone 252 und der vierten Ladungsgleichgewichtszone 254 bezüglich des zweiten verbesserten Abschnitts 238 der zweiten Drain-Driftzone 230 kann es vorteilhafterweise ermöglichen, dass im Vergleich zu einem ähnlichen FinFET, der die Ladungsgleichgewichtszonen nicht aufweist, ein höheres Betriebspotenzial an der ersten Drain-Kontaktzone 232 anliegt.
  • 2F und 2G sind Ausschnittsansichten der Halbleitervorrichtung 200 entlang der in 2E gezeigten Schnittlinie, die weitere Stadien der Bildung zeigen. Bezug nehmend auf 2F, wird eine Feldplatten-Dielektrikumsschicht 264 über dem Substrat 204 gebildet, die sich von nahe dem ersten Körper 224 zur ersten Drain-Kontaktzone 232 erstreckt und sich von nahe dem zweiten Körper 226 zur zweiten Drain-Kontaktzone 234 erstreckt und zumindest teilweise den ersten verbesserten Abschnitt 236 der ersten Drain-Driftzone 228 und zumindest teilweise den zweiten verbesserten Abschnitt 238 der zweiten Drain-Driftzone 230 von 2E, in 2F verdeckt, überlappt. Die Feldplatten-Dielektrikumsschicht 264 kann auch zumindest teilweise die erste Ladungsgleichgewichtszone 248 von 2E, in 2F weggeschnitten, und die zweite Ladungsgleichgewichtszone 250, die dritte Ladungsgleichgewichtszone 252 und die vierte Ladungsgleichgewichtszone 254 von 2E, in 2F verdeckt, überlappen. Die Feldplatten-Dielektrikumsschicht 264 kann Eigenschaften ähnlich der Feldplatten-Dielektrikumsschicht 164 von 1F aufweisen und kann durch ähnliche Prozesse gebildet werden.
  • Eine Feldplatte 268 wird über der Feldplatten-Dielektrikumsschicht 264 gebildet. Die Feldplatte 268 kann Polysilicium mit einer Schicht aus Metallsilicid auf dem Polysilicium aufweisen oder kann andere elektrisch leitfähige Materialien wie etwa Aluminium, Kupfer, Titan, Titannitrid, Tantal oder Tantalnitrid aufweisen. Die Feldplatte 268 kann gebildet werden, indem eine Schicht aus Feldplattenmaterial über der Feldplatten-Dielektrikumsschicht 264 gebildet wird, eine Feldplattenmaske über der Schicht aus Feldplattenmaterial gebildet wird und die Schicht aus Feldplattenmaterial entfernt wird, wo es durch die Feldplattenmaske freigelegt wurde.
  • Bezug nehmend auf 2G, wird eine Gate-Dielektrikumsschicht 266 auf dem ersten Körper 224 und auf dem zweiten Körper 226 gebildet. Die Gate-Dielektrikumsschicht 266 erstreckt sich auf die obere Fläche 214 der ersten Finne 210 und der zweiten Finne 212, über den ersten Körper 224 und den zweiten Körper 226 und auf jeweils zwei seitliche Flächen des ersten Körpers 224 und des zweiten Körpers 226, wobei sich die seitlichen Flächen von der oberen Fläche 214 zum Eintiefungsoxid 216 erstrecken. Die Gate-Dielektrikumsschicht 266 kann ein beliebiges der Materialien aufweisen und kann durch einen beliebigen der Prozesse gebildet werden, die mit Bezug auf die Gate-Dielektrikumsschicht 166 von 1F offenbart sind. Die Gate-Dielektrikumsschicht 266 kann beispielhaft eine Dicke von 2 Nanometern bis 12 Nanometern aufweisen.
  • Über der Gate-Dielektrikumsschicht 266 wird ein Gate 270 gebildet. Das Gate 270 erstreckt sich über die obere Fläche 214 auf dem ersten Körper 224 und dem zweiten Körper 226 und über die seitlichen Flächen des ersten Körpers 224 und des zweiten Körpers 226. In diesem Beispiel kann die Feldplatte 268 separat vom Gate 270 sein. Das Gate 270 kann ein beliebiges der Materialien aufweisen und kann durch einen beliebigen der Prozesse gebildet werden, die mit Bezug auf das Gate 170 von 1F offenbart sind.
  • Die Feldplatte 268 kann in diesem Beispiel unabhängig vom Gate 270 vorgespannt werden, um vorteilhaft ein elektrisches Feld im ersten verbesserten Abschnitt 236 der ersten Drain-Driftzone 228 und im zweiten verbesserten Abschnitt 238 der zweiten Drain-Driftzone 230 von 2E, in 2G verdeckt, beim Betrieb des FinFETs 202 zu verringern. Die Anordnung der Feldplatte 268 separat vom Gate 270 kann ein Betreiben des FinFETs 202 mit einem höheren Potenzial als bei einem vergleichbaren FinFET mit Gate und Feldplatte in durchgehender Fortsetzung ermöglichen.
  • Verschiedene Merkmale der hierin offenbarten Beispiele können in anderen Ausführungen beispielhafter Halbleitervorrichtungen kombiniert werden. Beispielsweise können beim FinFET 102 die Drain-Kontaktzonen 132 und 134 segmentiert sein. Beim FinFET 202 können die Drain-Kontaktzonen 232 und 234 durchgehend sein. Beim FinFET 102 können das Gate 170 und die Feldplatte 168 separiert sein. Beim FinFET 202 können das Gate 270 und die Feldplatte 268 durchgehend sein. Beim FinFET 102 können die Finnen 110 und 112 durch einen Epitaxieprozess gebildet werden. Beim FinFET 202 können die Finnen 210 und 212 durch einen Ätzprozess gebildet werden. Beim FinFET 102 können die Ladungsgleichgewichtszonen 148, 150, 152 und 154 gegendotiert sein. Beim FinFET 202 können die Ladungsgleichgewichtszonen 248, 250, 252 und 254 mit Dotierstoffen eines Leitfähigkeitstyps implantiert sein. Beim FinFET 102 und beim FinFET 202 können die verbesserten Abschnitte 136 und 138 der Drain-Driftzonen 128 und 130 und die verbesserten Abschnitte 236 und 238 der Drain-Driftzonen 228 und 230 gegendotiert sein. Der FinFET 102 kann ein p-Kanal-FinFET sein. Der FinFET 202 kann ein n-Kanal-FinFET sein.
  • Zwar wurden oben verschiedene Ausführungsformen der vorliegenden Offenbarung beschrieben, doch es versteht sich, dass sie nur als Beispiel und nicht als Einschränkungen vorgestellt wurden. Zahlreiche Änderungen an den offenbarten Ausführungsformen können in Übereinstimmung mit der Offenbarung hierin vorgenommen werden, ohne vom Gedanken oder Umfang der Offenbarung abzuweichen. Somit sollen die Breite und der Umfang der vorliegenden Offenbarung nicht durch eine beliebige der oben beschriebenen Ausführungsformen eingeschränkt werden. Stattdessen soll der Umfang der Offenbarung in Übereinstimmung mit den folgenden Ansprüchen und ihren Äquivalenten definiert werden.

Claims (20)

  1. Halbleitervorrichtung, die umfasst: ein Substrat; und einen Finnen-Feldeffekttransistor (FinFET) auf dem Substrat, wobei der FinFET aufweist: eine Finne aus einem Halbleitermaterial auf dem Substrat, eine Source in der Finne, wobei die Source einen ersten Leitfähigkeitstyp aufweist; einen Körper in der Finne, der an die Source angrenzt, wobei der Körper einen zweiten Leitfähigkeitstyp aufweist, der dem ersten Leitfähigkeitstyp entgegengesetzt ist; eine Drain-Driftzone in der Finne, wobei die Drain-Driftzone entgegengesetzt zur Source an den Körper angrenzt, wobei die Drain-Driftzone den ersten Leitfähigkeitstyp aufweist, wobei die Drain-Driftzone breiter ist als der Körper und die Drain-Driftzone einen verbesserten Abschnitt der Drain-Driftzone aufweist, wobei der verbesserte Abschnitt der Drain-Driftzone eine höhere durchschnittliche Netto-Dotierstoffkonzentration des ersten Leitfähigkeitstyps hat als ein Rest der Drain-Driftzone; eine erste Ladungsgleichgewichtszone in der Finne seitlich benachbart und angrenzend an den verbesserten Abschnitt der Drain-Driftzone wobei die erste Ladungsgleichgewichtszone den zweiten Leitfähigkeitstyp aufweist; eine zweite Ladungsgleichgewichtszone in der Finne benachbart und angrenzend an den verbesserten Abschnitt der Drain-Driftzone, der ersten Ladungsgleichgewichtszone entgegengesetzt, wobei die zweite Ladungsgleichgewichtszone den zweiten Leitfähigkeitstyp aufweist; eine Drain-Kontaktzone in der Finne benachbart der Drain-Driftzone, dem Körper entgegengesetzt, wobei die Drain-Kontaktzone den ersten Leitfähigkeitstyp aufweist; eine Gate-Dielektrikumsschicht auf dem Körper über einer oberen Fläche der Finne und sich auf zwei seitliche Flächen des Körpers erstreckend; und ein Gate über der Gate-Dielektrikumsschicht, wobei sich das Gate über die obere Fläche der Finne erstreckt und sich über die beiden seitlichen Flächen des Körpers erstreckt.
  2. Halbleitervorrichtung nach Anspruch 1, wobei der verbesserte Abschnitt der Drain-Driftzone eine durchschnittliche Netto-Dotierstoffkonzentration des ersten Leitfähigkeitstyps von 1×1016 cm-3 bis 4×1016 cm-3 aufweist.
  3. Halbleitervorrichtung nach Anspruch 1, wobei die erste Ladungsgleichgewichtszone und die zweite Ladungsgleichgewichtszone jeweils eine durchschnittliche Netto-Dotierstoffkonzentration des zweiten Leitfähigkeitstyps von 65 Prozent bis 150 Prozent einer durchschnittlichen Netto-Dotierstoffkonzentration des ersten Leitfähigkeitstyps im verbesserten Abschnitt der Drain-Driftzone aufweist.
  4. Halbleitervorrichtung nach Anspruch 1, wobei der verbesserte Abschnitt der Drain-Driftzone eine Länge von 200 Nanometern bis 1 Mikrometer zwischen dem Körper und der Drain-Kontaktzone aufweist.
  5. Halbleitervorrichtung nach Anspruch 1, wobei der Körper 100 Nanometer bis 300 Nanometer breit ist.
  6. Halbleitervorrichtung nach Anspruch 1, wobei der verbesserte Abschnitt der Drain-Driftzone 200 Nanometer bis 400 Nanometer breiter ist als der Körper.
  7. Halbleitervorrichtung nach Anspruch 1, wobei sich die Finne 300 Nanometer bis 800 Nanometer über das Substrat erstreckt.
  8. Halbleitervorrichtung nach Anspruch 1, wobei der FinFET ferner eine Feldplatte aufweist, die zumindest teilweise den verbesserten Abschnitt der Drain-Driftzone überlappt.
  9. Halbleitervorrichtung nach Anspruch 8, wobei die Feldplatte sich durchgehend im Gate fortsetzt.
  10. Halbleitervorrichtung nach Anspruch 1, wobei: die Finne eine erste Finne ist; die Source eine erste Source ist; der Körper ein erster Körper ist; die Drain-Driftzone eine erste Drain-Driftzone ist; der verbesserte Abschnitt der ersten Drain-Driftzone ein erster verbesserter Abschnitt der ersten Drain-Driftzone ist; die Drain-Kontaktzone eine erste Drain-Kontaktzone ist; und der FinFET ferner aufweist: eine zweite Finne des Halbleitermaterials auf dem Substrat; eine zweite Source in der zweiten Finne, wobei die zweite Source den ersten Leitfähigkeitstyp aufweist; einen zweiten Körper in der zweiten Finne angrenzend an die zweite Source, wobei der zweite Körper den zweiten Leitfähigkeitstyp aufweist; und eine zweite Drain-Driftzone in der zweiten Finne, wobei die Drain-Driftzone entgegengesetzt zur zweiten Source an den zweiten Körper angrenzt, wobei die zweite Drain-Driftzone den ersten Leitfähigkeitstyp aufweist, wobei die zweite Drain-Driftzone breiter als der zweite Körper ist und die zweite Drain-Driftzone einen zweiten verbesserten Abschnitt der zweiten Drain-Driftzone aufweist, wobei der zweite verbesserte Abschnitt der zweiten Drain-Driftzone eine höhere durchschnittliche Netto-Dotierstoffkonzentration des ersten Leitfähigkeitstyps aufweist als ein Rest der zweiten Drain-Driftzone; eine dritte Ladungsgleichgewichtszone in der Finne, seitlich benachbart und angrenzend an den zweiten verbesserten Abschnitt der zweiten Drain-Driftzone, wobei die dritte Ladungsgleichgewichtszone den zweiten Leitfähigkeitstyp aufweist; eine vierte Ladungsgleichgewichtszone in der Finne, seitlich benachbart und angrenzend an den zweiten verbesserten Abschnitt der zweiten Drain-Driftzone, der dritten Ladungsgleichgewichtszone entgegengesetzt, wobei die vierte Ladungsgleichgewichtszone den zweiten Leitfähigkeitstyp aufweist; und eine zweite Drain-Kontaktzone in der zweiten Finne benachbart zum zweiten verbesserten Abschnitt der zweiten Drain-Driftzone, dem zweiten Körper entgegengesetzt, wobei die zweite Drain-Kontaktzone den ersten Leitfähigkeitstyp aufweist; wobei sich die Gate-Dielektrikumsschicht auf den zweiten Körper, über eine obere Fläche der zweiten Finne erstreckt und sich auf zwei seitliche Flächen des zweiten Körpers erstreckt; und das Gate sich über die obere Fläche der zweiten Finne, über den zweiten Körper und über die zwei seitlichen Flächen des zweiten Körpers erstreckt.
  11. Halbleitervorrichtung nach Anspruch 10, wobei die zweite Drain-Kontaktzone die erste Drain-Kontaktzone durchgehend fortsetzt.
  12. Verfahren zum Bilden einer Halbleitervorrichtung, das umfasst: Bereitstellen eines Substrats; Bilden einer Finne aus einem Halbleitermaterial auf dem Substrat; Bilden einer Source in der Finne, wobei die Source einen ersten Leitfähigkeitstyp aufweist; Bilden eines Körpers in der Finne angrenzend an den Körper, wobei der Körper einen zweiten Leitfähigkeitstyp entgegengesetzt dem ersten Leitfähigkeitstyp aufweist; Bilden einer Drain-Driftzone in der Finne, wobei die Drain-Driftzone den ersten Leitfähigkeitstyp aufweist und die Drain-Driftzone breiter ist als der Körper; Bilden einer Drain-Kontaktzone in der Drain-Driftzone, wobei die Drain-Kontaktzone den ersten Leitfähigkeitstyp aufweist; Bilden eines verbesserten Abschnitts der Drain-Driftzone in der Drain-Driftzone zwischen dem Körper und der Drain-Kontaktzone, wobei der verbesserte Abschnitt der Drain-Driftzone den ersten Leitfähigkeitstyp aufweist und der verbesserte Abschnitt der Drain-Driftzone eine höhere durchschnittliche Netto-Dotierstoffkonzentration des ersten Leitfähigkeitstyps aufweist als ein Rest der Drain-Driftzone; Bilden einer ersten Ladungsgleichgewichtszone in der Finne angrenzend an den verbesserten Abschnitt der Drain-Driftzone zwischen dem Körper und der Drain-Kontaktzone, wobei die erste Ladungsgleichgewichtszone den zweiten Leitfähigkeitstyp aufweist; Bilden einer zweiten Ladungsgleichgewichtszone in der Finne angrenzend an den verbesserten Abschnitt der Drain-Driftzone zwischen dem Körper und der Drain-Kontaktzone, der ersten Ladungsgleichgewichtszone entgegengesetzt, wobei die zweite Ladungsgleichgewichtszone den zweiten Leitfähigkeitstyp aufweist; Bilden einer Gate-Dielektrikumsschicht auf dem Körper über einer oberen Fläche der Finne und sich auf zwei seitliche Flächen des Körpers erstreckend; und Bildes eines Gates über der Gate-Dielektrikumsschicht, wobei sich das Gate über die obere Fläche der Finne auf dem Körper erstreckt und sich über die beiden seitlichen Flächen des Körpers erstreckt.
  13. Verfahren nach Anspruch 12, wobei Bilden des verbesserten Abschnitts der Drain-Driftzone Implantieren von Dotierstoffen des ersten Leitfähigkeitstyps in die Drain-Driftzone mit einer Gesamtdosis von 5×1011 cm-2 bis 3×1012 cm-2 beinhaltet.
  14. Verfahren nach Anspruch 12, wobei Bilden der ersten Ladungsgleichgewichtszone und der zweiten Ladungsgleichgewichtszone Implantieren von Dotierstoffen des zweiten Leitfähigkeitstyps in die Finne mit einer Gesamtdosis von 65 Prozent bis 150 Prozent einer in die Drain-Driftzone implantierten Gesamtdosis von Dotierstoffen des ersten Leitfähigkeitstyps beinhaltet, um den verbesserten Abschnitt der Drain-Driftzone zu bilden.
  15. Verfahren nach Anspruch 12, wobei der verbesserte Abschnitt der Drain-Driftzone so gebildet wird, dass er eine Länge von 200 Nanometern bis 1 Mikrometer zwischen dem Körper und der Drain-Kontaktzone aufweist.
  16. Verfahren nach Anspruch 12, wobei der Körper so gebildet wird, dass er 100 Nanometer bis 300 Nanometer breit ist.
  17. Verfahren nach Anspruch 12, wobei der verbesserte Abschnitt der Drain-Driftzone so gebildet wird, dass er 200 Nanometer bis 400 Nanometer breiter ist als der Körper.
  18. Verfahren nach Anspruch 12, wobei die Finne so gebildet wird, dass sie sich 300 Nanometer bis 800 Nanometer über das Substrat erstreckt.
  19. Verfahren nach Anspruch 12, wobei: die Finne eine erste Finne ist; die Source eine erste Source ist; der Körper ein erster Körper ist; die Drain-Driftzone eine erste Drain-Driftzone ist; die Drain-Kontaktzone eine erste Drain-Kontaktzone ist; und der verbesserte Abschnitt der ersten Drain-Driftzone ein erster verbesserter Abschnitt der ersten Drain-Driftzone ist; und das ferner umfasst: Bilden einer zweiten Finne aus dem Halbleitermaterial auf dem Substrat; Bilden einer zweiten Source in der zweiten Finne, wobei die zweite Source den ersten Leitfähigkeitstyp aufweist; Bilden eines zweiten Körpers in der zweiten Finne angrenzend an die zweite Source, wobei der zweite Körper den zweiten Leitfähigkeitstyp aufweist; Bilden einer zweiten Drain-Driftzone in der zweiten Finne, wobei die zweite Drain-Driftzone entgegengesetzt zur zweiten Source an den zweiten Körper angrenzt, wobei die zweite Drain-Driftzone den ersten Leitfähigkeitstyp aufweist und wobei die zweite Drain-Driftzone breiter ist als der zweite Körper; Bilden einer zweiten Drain-Kontaktzone in der zweiten Drain-Driftzone, wobei die zweite Drain-Kontaktzone den ersten Leitfähigkeitstyp aufweist; Bilden eines zweiten verbesserten Abschnitts der Drain-Driftzone in der zweiten Drain-Driftzone angrenzend an den zweiten Körper, der zweiten Source entgegengesetzt, wobei der zweite verbesserte Abschnitt der Drain-Driftzone den ersten Leitfähigkeitstyp aufweist und der zweite verbesserte Abschnitt der zweiten Drain-Driftzone eine höhere durchschnittliche Netto-Dotierstoffkonzentration des ersten Leitfähigkeitstyps aufweist als ein Rest der zweiten Drain-Driftzone; Bilden einer dritten Ladungsgleichgewichtszone in der zweiten Finne angrenzend an den zweiten verbesserten Abschnitt der Drain-Driftzone zwischen dem zweiten Körper und der zweiten Drain-Kontaktzone, wobei die dritte Ladungsgleichgewichtszone den zweiten Leitfähigkeitstyp aufweist; Bilden einer vierten Ladungsgleichgewichtszone in der zweiten Finne angrenzend an den zweiten verbesserten Abschnitt der Drain-Driftzone zwischen dem zweiten Körper und der zweiten Drain-Kontaktzone, der dritten Ladungsgleichgewichtszone entgegengesetzt, wobei die vierte Ladungsgleichgewichtszone den zweiten Leitfähigkeitstyp aufweist; Bilden der Gate-Dielektrikumsschicht, so dass sie sich auf den zweiten Körper, über eine obere Fläche der zweiten Finne auf dem zweiten Körper erstreckt und sich auf zwei seitliche Flächen des zweiten Körpers erstreckt; und Bilden des Gates, so dass es sich über die obere Fläche der zweiten Finne erstreckt und sich über die zwei seitlichen Flächen des zweiten Körpers erstreckt.
  20. Verfahren nach Anspruch 19, wobei die zweite Drain-Kontaktzone so gebildet wird, dass sie die erste Drain-Kontaktzone durchgehend fortsetzt.
DE112021003253.4T 2020-06-15 2021-06-07 Finfet mit seitlichem ladungsgleichgewicht an der drain-driftzone Pending DE112021003253T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/901,798 2020-06-15
US16/901,798 US11152506B1 (en) 2020-06-15 2020-06-15 FinFET with lateral charge balance at the drain drift region
PCT/US2021/036198 WO2021257311A1 (en) 2020-06-15 2021-06-07 A finfet with lateral charge balance at the drain drift region

Publications (1)

Publication Number Publication Date
DE112021003253T5 true DE112021003253T5 (de) 2023-03-23

Family

ID=78083318

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112021003253.4T Pending DE112021003253T5 (de) 2020-06-15 2021-06-07 Finfet mit seitlichem ladungsgleichgewicht an der drain-driftzone

Country Status (4)

Country Link
US (2) US11152506B1 (de)
CN (1) CN115552575A (de)
DE (1) DE112021003253T5 (de)
WO (1) WO2021257311A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11508842B2 (en) * 2020-07-06 2022-11-22 Texas Instruments Incorporated Fin field effect transistor with field plating
US11658184B2 (en) * 2020-12-02 2023-05-23 Texas Instruments Incorporated Fin field effect transistor with merged drift region
US20220238521A1 (en) * 2021-01-22 2022-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7122412B2 (en) 2004-04-30 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a necked FINFET device
US8541267B2 (en) 2008-03-20 2013-09-24 Nxp B.V. FinFET transistor with high-voltage capability and CMOS-compatible method for fabricating the same
US8629420B1 (en) 2012-07-03 2014-01-14 Intel Mobile Communications GmbH Drain extended MOS device for bulk FinFET technology
US9006811B2 (en) * 2012-12-03 2015-04-14 Infineon Technologies Austria Ag Semiconductor device including a fin and a drain extension region and manufacturing method
US8847311B2 (en) * 2012-12-31 2014-09-30 Infineon Technologies Ag Semiconductor device and method of manufacturing a semiconductor device
US9659929B2 (en) * 2014-10-31 2017-05-23 Infineon Technologies Dresden Gmbh Semiconductor device with enhancement and depletion FinFET cells
KR102320049B1 (ko) 2015-02-26 2021-11-01 삼성전자주식회사 경사진 활성 영역을 갖는 반도체 소자
US20160380095A1 (en) 2015-06-25 2016-12-29 International Business Machines Corporation High voltage finfet structure with shaped drift region
CN107251233B (zh) * 2015-09-16 2021-04-06 富士电机株式会社 半导体装置
CN107516668B (zh) 2016-06-15 2020-04-24 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
US20180138307A1 (en) * 2016-11-17 2018-05-17 Globalfoundries Inc. Tunnel finfet with self-aligned gate
US10978559B1 (en) * 2020-08-03 2021-04-13 Texas Instruments Incorporated MOS transistor with folded channel and folded drift region

Also Published As

Publication number Publication date
CN115552575A (zh) 2022-12-30
US11152506B1 (en) 2021-10-19
US20210391460A1 (en) 2021-12-16
US11916142B2 (en) 2024-02-27
WO2021257311A1 (en) 2021-12-23

Similar Documents

Publication Publication Date Title
DE102017114427B4 (de) Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen
DE102016100022B4 (de) CMOS-Vorrichtung mit vorgespanntem Nanodraht und Herstellungsverfahren
DE102012105738B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102004042167B4 (de) Verfahren zum Ausbilden einer Halbleiterstruktur, die Transistorelemente mit unterschiedlich verspannten Kanalgebieten umfasst, und entsprechende Halbleiterstruktur
DE112005002397B4 (de) Nicht-planare Halbleitereinrichtung mit verjüngtem unteren Körperabschnitt und Verfahren zur Herstellung
DE112012003231B4 (de) Halbleiterstruktur und verfahren zu deren herstellung
DE102005009023B4 (de) Verfahren zum Herstellen einer Gateelektrodenstruktur mit asymmetrischen Abstandselementen und Gateestruktur
DE112017000949B4 (de) Verfahren zum herstellen einer verbindungshalbleitervorrichtung undverbindungshalbleitervorrichtung
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE112021003253T5 (de) Finfet mit seitlichem ladungsgleichgewicht an der drain-driftzone
DE102013113286B4 (de) Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung
DE102015108690A1 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE112006001589T5 (de) Halbleiterbauelementstrukturen und Verfahren zur Bildung von Halbleiterstrukturen
DE102016105520B4 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE102008049719A1 (de) Asymmetrische Transistorbauelemente, die durch asymmetrische Abstandshalter und eine geeignete Implantation hergestellt sind
DE102019107491B4 (de) Einstellen der Schwellenspannung durch metastabile Plasmabehandlung
DE102015102807B4 (de) Halbleitervorrichtung, die eine halbleiter-platteneinheit umfasst, die eine source und einen drain verbindet
DE102004042156A1 (de) Transistor mit asymmetrischem Source/Drain- und Halo- Implantationsgebiet und Verfahren zum Herstellen desselben
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102015105679B4 (de) Halbleitervorrichtung, integrierte schaltung und verfahren zum herstellen der halbleitervorrichtung
DE102008011932A1 (de) Verfahren zur Erhöhung der Eindringtiefe von Drain- und Sourceimplantationssorten für eine gegebene Gatehöhe
DE102020115554A1 (de) Doppeldotiermittel-source/drain-regionen und deren herstellungsverfahren
DE102019118375A1 (de) FinFET-Vorrichtung und Verfahren zum Bilden derselbigen
DE102017119047B4 (de) Verfahren zur herstellung von monolithischen dreidimensionalen (3d) integrierten schaltkreisen
DE102020132562B4 (de) Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed