DE112016004645T5 - Feldeffekttransistor-Stapel mit abstimmbarer Austrittsarbeit - Google Patents

Feldeffekttransistor-Stapel mit abstimmbarer Austrittsarbeit Download PDF

Info

Publication number
DE112016004645T5
DE112016004645T5 DE112016004645.6T DE112016004645T DE112016004645T5 DE 112016004645 T5 DE112016004645 T5 DE 112016004645T5 DE 112016004645 T DE112016004645 T DE 112016004645T DE 112016004645 T5 DE112016004645 T5 DE 112016004645T5
Authority
DE
Germany
Prior art keywords
layer
gate
nitride layer
gate stack
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE112016004645.6T
Other languages
English (en)
Inventor
Ruqiang Bao
Siddarth Krishnan
Unoh Kwon
Vijay Narayanan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112016004645T5 publication Critical patent/DE112016004645T5/de
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

Ein Verfahren zum Herstellen eines Gate-Stapels einer Halbleitereinheit weist Folgendes auf: Bilden einer ersten dielektrischen Schicht über einem Kanalbereich der Einheit, Bilden einer ersten Nitrid-Schicht über der ersten dielektrischen Schicht, Bilden einer ersten Gate-Metall-Schicht über der ersten Nitrid-Schicht, Bilden einer Abdeckschicht über der ersten Gate-Metall-Schicht, Entfernen von Bereichen der Abdeckschicht und der ersten Gate-Metall-Schicht, um einen Bereich der ersten Nitrid-Schicht in einem Feldeffekttransistor-Bereich vom p-Typ (einem p-FET-Bereich) des Gate-Stapels freizulegen, Abscheiden einer Einfangschicht auf der ersten Nitrid-Schicht und der Abdeckschicht, Abscheiden einer zweiten Nitrid-Schicht auf der Einfangschicht sowie Abscheiden eines Gate-Elektroden-Materials auf der zweiten Nitrid-Schicht.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung bezieht sich allgemein auf Halbleitereinheiten und spezieller auf Metall-Oxid-Halbleiter-Feldeffekttransistor(MOSFET)-Gates.
  • Beim MOSFET handelt es sich um einen Transistor, der zum Verstärken oder Schalten von elektronischen Signalen verwendet wird. Der MOSFET weist eine Source, einen Drain und eine Metall-Oxid-Gate-Elektrode auf. Das Metall-Gate ist von dem Haupt-Halbleiter-n-Kanal oder -p-Kanal durch eine dünne Schicht aus einem isolierenden Material isoliert, zum Beispiel Siliciumdioxid oder Glas, das bewirkt, dass der Eingangswiderstand des MOSFET vergleichsweise hoch ist. Die Gate-Spannung steuert, ob es sich bei dem Pfad von dem Drain zu der Source um einen offenen Schaltkreis („aus“) oder einen Widerstandspfad („ein“) handelt.
  • Bei Feldeffekttransistoren vom n-Typ (n-FET) und Feldeffekttransistoren vom p-Typ (p-FET) handelt es sich um zwei Typen von komplementären MOSFETs. Der n-FET verwendet Elektronen als Ladungsträger für den Strom und n-dotierte Source- und Drain-Übergänge. Der p-FET verwendet Löcher als Ladungsträger für den Strom und p-dotierte Source- und Drain-Übergänge.
  • KURZDARSTELLUNG
  • Gemäß einer Ausführungsform der vorliegenden Erfindung weist ein Verfahren zum Herstellen eines Gate-Stapels einer Halbleitereinheit Folgendes auf: Bilden einer ersten dielektrischen Schicht über einem Kanal-Bereich der Einheit, Bilden einer ersten Nitrid-Schicht über der ersten dielektrischen Schicht, Bilden einer ersten Gate-Metall-Schicht über der ersten Nitrid-Schicht, Bilden einer Abdeckschicht über der ersten Gate-Metall-Schicht, Entfernen von Bereichen der Abdeckschicht und der ersten Gate-Metall-Schicht, um einen Bereich der ersten Nitrid-Schicht in einem Feldeffekttransistor-Bereich vom p-Typ (einem p-FET-Bereich) des Gate-Stapels freizulegen, Abscheiden einer Einfangschicht auf der ersten Nitrid-Schicht und der Abdeckschicht, Abscheiden einer zweiten Nitrid-Schicht auf der Einfangschicht sowie Abscheiden eines Gate-Elektroden-Materials auf der zweiten Nitrid-Schicht.
  • Gemäß einer weiteren Ausführungsform der vorliegenden Erfindung weist eine Halbleitereinheit einen Gate-Stapel auf, der über einem Kanalbereich der Einheit angeordnet ist, wobei der Gate-Stapel einen Feldeffekttransistor-Bereich vom n-Typ (einen n-FET-Bereich) aufweist, aufweisend eine dielektrische Schicht, die auf einem Substrat angeordnet ist, eine erste Nitrid-Schicht, die auf der dielektrischen Schicht angeordnet ist, eine erste Gate-Metall-Schicht, die auf der ersten Nitrid-Schicht angeordnet ist, eine Abdeckschicht, die auf der ersten Gate-Metall-Schicht angeordnet ist, eine Einfangschicht, die auf der Abdeckschicht angeordnet ist, eine zweite Nitrid-Schicht, die auf der Einfangschicht angeordnet ist, sowie eine Gate-Elektrode, die auf der zweiten Nitrid-Schicht angeordnet ist.
  • Gemäß noch einer weiteren Ausführungsform der vorliegenden Erfindung weist eine Halbleitereinheit einen Gate-Stapel auf, der über einem Kanalbereich der Einheit angeordnet ist, wobei der Gate-Stapel einen Feldeffekttransistor-Bereich vom n-Typ (einen n-FET-Bereich), aufweisend eine dielektrische Schicht, die auf einem Substrat angeordnet ist, eine erste Nitrid-Schicht, die auf der dielektrischen Schicht angeordnet ist, eine erste Gate-Metall-Schicht, die auf der ersten Nitrid-Schicht angeordnet ist, eine Abdeckschicht, die auf der ersten Gate-Metall-Schicht angeordnet ist, eine Einfangschicht, die auf der Abdeckschicht angeordnet ist, eine zweite Nitrid-Schicht, die auf der Einfangschicht angeordnet ist, sowie eine Gate-Elektrode, die auf der zweiten Nitrid-Schicht angeordnet ist, und einen Feldeffekttransistor-Bereich vom p-Typ (einen p-FET-Bereich) aufweist, aufweisend die dielektrische Schicht, die auf dem Substrat angeordnet ist, die erste Nitrid-Schicht, die auf der dielektrischen Schicht angeordnet ist, die Einfangschicht, die auf der ersten Nitrid-Schicht angeordnet ist, die zweite Nitrid-Schicht, die auf der Einfangschicht angeordnet ist, sowie die Gate-Elektrode, die auf der zweiten Nitrid-Schicht angeordnet ist.
  • Figurenliste
  • Nunmehr werden bevorzugte Ausführungsformen der vorliegenden Erfindung lediglich beispielhaft unter Bezugnahme auf die beigefügten Zeichnungen beschrieben, in denen:
    • 1 bis 11 ein Verfahren zum Bilden eines Gate-Stapels einer exemplarischen FET-Einheit darstellen;
    • 1 eine Draufsicht auf ein Substrat mit Halbleiter-Fins darstellt, die auf dem Substrat angeordnet sind;
    • 2 eine Schnittansicht entlang der Linie A-A von 1 der Fins und des Substrats darstellt;
    • 3 eine Schnittansicht entlang der Breite des Gate-Stapels (Längsrichtung) darstellt;
    • 4 eine Barrierenschicht darstellt, die über der Oxidschicht abgeschieden ist;
    • 5 die resultierende Struktur im Anschluss an die Abscheidung einer Schicht aus einem n-FET-Gate-Metall darstellt;
    • 6 die resultierende Struktur im Anschluss an die Bildung einer Abdeckschicht darstellt, die zum Beispiel ein Nitrid-Material beinhalten kann, wie beispielsweise TiN;
    • 7 die resultierende Struktur im Anschluss an einen Strukturierungs- und Ätzprozess darstellt, der Bereiche der Barrierenschicht, des Gate-Metalls und der Abdeckschicht entfernt;
    • 8 die Bildung einer Nitrid-Schicht darstellt;
    • 9 die Abscheidung einer Einfangschicht darstellt;
    • 10 die resultierende Struktur im Anschluss an die Abscheidung einer PWF-Schicht darstellt;
    • 11 die resultierende Struktur im Anschluss an die Abscheidung einer Gate-Elektrode über freigelegten Bereichen der PWF-Schicht darstellt;
    • 12 bis 15 ein weiteres Verfahren zum Bilden eines alternativen Gate-Stapels darstellen;
    • 12 die Bildung eines Gate-Stapels zeigt, der einen n-FET-Bereich und einen p-FET-Bereich aufweist;
    • 13 die resultierende Struktur im Anschluss an einen lithographischen Strukturierungs- und Ätzprozess darstellt;
    • 14 die Abscheidung einer Einfangschicht darstellt;
    • 15 die resultierende Struktur im Anschluss an die Abscheidung einer PWF-Schicht darstellt;
    • 16 bis 20 ein weiteres Verfahren zum Bilden eines weiteren alternativen Gate-Stapels darstellen;
    • 16 die Bildung eines Gate-Stapels zeigt, der einen n-FET-Bereich und einen p-FET-Bereich aufweist;
    • 17 die resultierende Struktur im Anschluss an einen lithographischen Strukturierungs- und Ätzprozess darstellt;
    • 18 die Abscheidung einer Barrierenschicht darstellt, die über der Oxidschicht abgeschieden wird;
    • 19 die Abscheidung eines Gate-Metalls über der Barrierenschicht in dem n-FET-Bereich und dem p-FET-Bereich zeigt;
    • 20 die resultierende Struktur im Anschluss an die Abscheidung einer PWF-Schicht über der Gate-Metall-Schicht darstellt;
    • 21 bis 24 ein weiteres Verfahren zum Bilden eines weiteren alternativen Gate-Stapels darstellen;
    • 21 die Bildung eines Gate-Stapels zeigt, der einen n-FET-Bereich und einen p-FET-Bereich aufweist;
    • 22 die resultierende Struktur im Anschluss an einen lithographischen Strukturierungs- und Ätzprozess darstellt, der freigelegte Bereiche der Abdeckschicht und der Einfangschicht entfernt;
    • 23 die resultierende Struktur im Anschluss an die Abscheidung einer Gate-Metall-Schicht darstellt;
    • 24 die resultierende Struktur im Anschluss an die Abscheidung einer PWF-Schicht über der Gate-Metall-Schicht darstellt;
    • 25 eine Draufsicht auf eine FinFET-Einheit darstellt;
    • 26 eine Schnittansicht entlang der Linie B-B von 25 des Gate-Stapels auf dem Fin darstellt;
    • 27 eine Schnittansicht entlang der Linie C-C von 25 des Gate-Stapels auf dem Fin darstellt;
    • 28 ein Blockschaubild eines Verfahrens zum Bilden einer Halbleitereinheit darstellt, wie vorstehend in den 1 bis 11 beschrieben.
  • DETAILLIERTE BESCHREIBUNG
  • Die hier beschriebenen Verfahren und Ausführungsformen stellen einen stabilen abstimmbaren n-FET-Gate-Stapel in einer MOSFET-Einheit dar. In FET-Einheiten stellen Metallnitride, wie zum Beispiel TiN und TaN, ein gutes Austrittsarbeits-Material in den Gate-Stapeln bereit, um eine gewünschte Schwellenspannung (Vt) in p-FET-Einheiten zu erzielen. Bei weiter abnehmender Skalierung der FET-Einheiten werden Mehrfach-Gate-Einheiten verwendet, wie beispielsweise FinFETs, um Leistungsziele zu erreichen. Atomare Schichtabscheidung (ALD) wird dazu verwendet, eine gleichmäßige Schicht aus dem Austrittsarbeits-Metall abzuscheiden, um eine Variation der Vt zu reduzieren und die Vt der FET-Einheiten zu steuern. Eine Änderung der Eigenschaften von Austrittsarbeits-Metallen bei einem Ersetzungs-Metall-Gate-Herstellungsprozess unter Verwendung von ALD ist anspruchsvoller geworden.
  • Durch Experimentieren wurde festgestellt, dass aufgrund der Reaktion von Sauerstoff-Gitterlücken in dielektrischen Materialien mit einem hohen k in Bezug auf die Wärmebilanz bei dem Ersetzungs-Metall-Gate-Herstellungsprozess die pVt weniger stabil wird und ein Steuern der Vt schwierig wird, wenn nicht behandeltes TiN oder TaN als das Austrittsarbeits-Metall der p-FET-Einheiten verwendet wird.
  • Die Leistungsfähigkeit und die Zuverlässigkeit von n-FET-Einheiten kann verbessert werden, indem ein D2- oder Hochdruck-Temperprozess verwendet wird. Der Prozess kann jedoch zu einer instabilen pVt führen, wenn der p-FET ein herkömmliches Austrittsarbeits-Metall beinhaltet, wie zum Beispiel TiN.
  • Die hierin beschriebenen Verfahren und Ausführungsformen stellen einen Gate-Stapel mit einem vergleichsweise schwachen Sauerstoff-Einfangstapel bereit, um die pVt im Gegensatz zu einer einzelnen Metallnitrid-Schicht, wie zum Beispiel TiN und TaN, zu definieren und einzustellen. Der schwache Sauerstoff-Einfangstapel kann mittels Abscheidung oder Integration gebildet werden und kann zum Beispiel eine Barrierenschicht, wie beispielsweise TiN oder TaN, und ein starkes Sauerstoff-Einfangmaterial beinhalten, wie beispielsweise TiAlC, TiAl, Al, Ti, NbAl und TaAlC.
  • Für die Interpretation der Ansprüche und der Beschreibung sind die folgenden Definitionen und Abkürzungen zu verwenden. Wie hierin verwendet, sollen die Begriffe "weist auf”, „aufweisend“, „beinhaltet“, „beinhaltend“, "weist auf”, „aufweisend“, „enthält“ oder „enthaltend“ oder irgendeine andere Variation derselben eine nicht ausschließende Einbeziehung abdecken. Zum Beispiel ist eine Zusammensetzung, ein Gemisch, ein Prozess, ein Verfahren, ein Gegenstand oder eine Vorrichtung, die eine Auflistung von Elementen aufweist, nicht zwangsläufig auf nur jene Elemente beschränkt, sondern kann weitere Elemente beinhalten, die nicht ausdrücklich aufgelistet sind oder inhärent für eine derartige Zusammensetzung, ein derartiges Gemisch, einen derartigen Prozess, ein derartiges Verfahren, einen derartigen Gegenstand oder eine derartige Vorrichtung sind.
  • Wie hierin verwendet, sollen die Artikel „ein, eine, eines“ und „ein, eine, eines“, die einem Element oder einer Komponente vorausgehen, nicht einschränkend in Bezug auf die Anzahl von Fällen (d.h. des Auftretens) des Elements oder der Komponente sein. Daher ist „ein, eine, eines“ oder „ein, eine, eines“ so zu lesen, dass ein Element bzw. eine Komponente oder zumindest ein Element bzw. zumindest eine Komponente enthalten ist, und die Singularform des Worts Element oder des Worts Komponente beinhaltet auch die Pluralform, wenn mit der Anzahl nicht offensichtlich der Singular gemeint ist.
  • Wie hierin verwendet, handelt es sich bei den Begriffen „Erfindung“ oder „vorliegende Erfindung“ um nicht beschränkende Begriffe, und sie sollen sich nicht auf irgendeinen einzelnen Aspekt der speziellen Erfindung beziehen, sondern sämtliche möglichen Aspekte umfassen, wie in der Beschreibung und den Ansprüchen beschrieben.
  • Wie hierin verwendet, bezieht sich der Begriff „etwa“, der die verwendete Menge eines Bestandteils, einer Komponente oder eines Reaktanten der Erfindung relativiert, auf eine Variation der numerischen Menge, die zum Beispiel durch typische Mess- und Flüssigkeitshandhabungs-Prozeduren auftreten kann, die zur Herstellung von Konzentraten oder Lösungen verwendet werden. Des Weiteren kann eine Variation durch einen unbeabsichtigten Fehler bei Messprozeduren, Unterschiede bei der Herstellung, bei der Quelle oder bei der Reinheit der Bestandteile, die zur Herstellung der Zusammensetzungen oder zum Ausführen der Verfahren eingesetzt werden, und dergleichen auftreten. Bei einem Aspekt ist mit dem Begriff „etwa“ gemeint, dass ein Wert innerhalb von 10 % des berichteten numerischen Werts liegt. Bei einem weiteren Aspekt ist mit dem Begriff „etwa“ gemeint, dass ein Wert innerhalb von 5 % des berichteten numerischen Werts liegt. Bei noch einem weiteren Aspekt ist mit dem Begriff „etwa“ gemeint, dass ein Wert innerhalb von 10, 9, 8, 7, 6, 5, 4, 3, 2 oder 1 % des berichteten numerischen Werts liegt.
  • Es versteht sich außerdem, dass, wenn ein Element, wie beispielsweise eine Schicht, ein Bereich oder ein Substrat, als sich "auf” oder „über“ einem anderen Element befindend bezeichnet wird, sich dieses direkt auf dem anderen Element befinden kann oder auch dazwischenliegende Elemente vorhanden sein können. Im Gegensatz dazu sind keine dazwischenliegenden Elemente vorhanden, und das Element befindet sich in Kontakt mit einem anderen Element, wenn ein Element als sich "direkt auf” oder „direkt über“ einem anderen Element befindend bezeichnet wird.
  • Es versteht sich außerdem, dass, wenn ein Element als „verbunden“ oder „gekoppelt“ mit einem anderen Element bezeichnet ist, dieses mit dem anderen Element direkt verbunden oder gekoppelt sein kann oder dazwischenliegende Elemente vorhanden sein können. Im Gegensatz dazu sind keine dazwischenliegenden Elemente vorhanden, wenn ein Element als „direkt verbunden“ oder „direkt gekoppelt“ mit einem anderen Element bezeichnet wird.
  • Die 1 bis 11 stellen ein Verfahren zum Bilden eines Gate-Stapels einer exemplarischen FET-Einheit dar.
  • 1 stellt eine Draufsicht auf ein Substrat 102 mit Halbleiter-Fins 112 und 114 dar, die auf dem Substrat 102 angeordnet sind. Bei der dargestellten Ausführungsform wurden Kanalbereiche der Fins 112 und 114 im Anschluss an die Entfernung eines Opfer-Gate-Stapels (nicht gezeigt) freigelegt, der zuvor auf dem Substrat 102 und den Fins 112 und 114 strukturiert wurde. Um den Opfer-Gate-Stapel herum ist ein Abstandshaltermaterial 104 ausgebildet. Um das Abstandshaltermaterial 104 herum ist ein Isolatormaterial ausgebildet, wie zum Beispiel ein Oxid oder ein anderes dielektrisches Material. Bei der dargestellten Ausführungsform definieren die Fins 112 teilweise den Kanalbereich und Source-/Drain-Bereiche der fertiggestellten Einheit. Die Fins 112 definieren teilweise eine n-FET-Einheit, während der Fin 114 teilweise eine p-FET-Einheit definiert. Der Gate-Stapel (nachstehend beschrieben) ist in zwei Bereiche unterteilt, einen n-FET-Bereich 108 und einen p-FET-Bereich 110.
  • Nicht beschränkende Beispiele für geeignete Fin-Materialien beinhalten Si (Silicium), verspanntes Si, SiC (Siliciumcarbid), Ge (Germanium), SiGe (Siliciumgermanium), SiGeC (Silicium-Germanium-Kohlenstoff), Si-Legierungen, Ge-Legierungen, GaAs (Galliumarsenid), InAs (Indiumarsenid), InP (Indiumphosphid) oder irgendeine Kombination derselben.
  • Bei der dargestellten Ausführungsform sind die Fins 112 und 114 auf dem Substrat 102 angeordnet, das eine Isolatorschicht beinhaltet, so dass ein Halbleiter-auf-Isolator(SOI)-Substrat verwendet werden kann. Alternative Ausführungsformen können Fins auf einem Bulk-Halbleitersubstrat bilden.
  • 2 stellt eine Schnittansicht entlang der Linie A-A von 1 der Fins 112 und 114 und des Substrats 102 dar.
  • 3 stellt eine Schnittansicht entlang der Breite des Gate-Stapels (Längsrichtung) durch das Gate hindurch und parallel zu diesem dar. Der Einfachheit halber wurden die Fins 112 und 114 aus den Figuren entfernt, um die Bildung der exemplarischen Gate-Stapel besser darzustellen. Bei der Herstellung einer FinFET-Einheit werden die Schichten des Gate-Stapels konform über den Kanalbereichen der Fins 112 und 114 angeordnet. Die hierin beschriebenen Ausführungsformen sind nicht auf FinFET-Einheiten oder andere Mehrfach-Gate-Einheiten beschränkt und können dazu verwendet werden, zum Beispiel planare FET-Einheiten, Nanodraht-Einheiten, Tunnel-Einheiten und so weiter herzustellen. Bei einem derartigen Herstellungskonzept für planare FET-Einheiten beinhaltet das Substrat 102 ein Halbleitermaterial, und die Gate-Stapel werden in einer ähnlichen Weise auf dem Substrat 102 gebildet.
  • In 3 wird eine Oxidschicht (eine dielektrische Schicht) 302, die zum Beispiel SiO2 oder HfO2 beinhalten kann, über dem Kanalbereich der Einheit und auf Bereichen des Substrats abgeschieden und getempert, um die Bildung des Ersetzungs-Metall-Gates zu beginnen. Die Oxidschicht 302 weist eine Dicke von 10 bis 100 Angström auf. Die Oxidschicht 302 kann zum Beispiel mittels eines Plasmas mit hohem Aspektverhältnis (HARP, High Aspect Ratio Plasma), eines Hochtemperatur-Oxid(HTO, High Temperature Oxide)-Prozesses, eines Plasmas mit hoher Dichte (HDP, High Density Plasma) oder eines atomaren Schichtabscheidungs(ALD, Atomic Layer Deposition)-Prozesses oder irgendeiner Kombination derselben abgeschieden werden. Die dielektrische Schicht 302 und nachfolgende Schichten, die hierin beschrieben sind, werden über dem Kanalbereich konform nicht nur über den Fins 112 (vorstehend beschrieben), sondern auch konform über den Seitenwänden des 104 (von 1) gebildet. In einer ähnlichen Weise werden nachfolgend Schichten konform an dem Profil der vorherigen Schichten gebildet.
  • Bei dem exemplarischen Verfahren wird der n-FET-Stapel vor der Bildung des p-FET-Stapels gebildet. Der n-FET-Stapel beinhaltet einen starken Sauerstoff-Einfangstapel, während der nachfolgend gebildete p-FET-Stapel einen schwachen Sauerstoff-Einfangstapel beinhaltet.
  • Wenn eine einzelne Metallschicht verwendet wird, besteht ein Unterschied zwischen einem n-FET mit einem starken Sauerstoff-Einfangstapel und dem p-FET darin, dass die Enthalpie-Änderung für die Bildung eines Metalloxids für den n-FET viel höher als für den p-FET ist. Zum Beispiel wird Al für den n-FET verwendet, und Ni wird für den p-FET verwendet. Andererseits kann der Sauerstoff-Einfangstapel mittels der gleichen Materialien und der gleichen Struktur gebildet werden, jedoch mit einer anderen Dicke der Dünnschicht oder einer anderen Zusammensetzung der Dünnschicht. Zum Beispiel wird ein TiN/TiAl/TiN-Stapel als ein Sauerstoff-Einfangstapel verwendet. Wenn die Schichten mit Ausnahme der Dicke des TiAl ähnlich sind, bildet ein dickeres TiAl einen stärkeren Sauerstoff-Einfangstapel, ein dünneres TiAl bildet jedoch den schwachen Sauerstoff-Einfangstapel. Andererseits kann, wenn die Dicke des TiAl und die Dicke des oberen TiN gleich sind, der Unterschied des unteren TiN ebenfalls einen stärkeren Sauerstoff-Einfangstapel bilden, indem ein dünneres unteres TiN verwendet wird, und kann einen schwächeren Sauerstoff-Einfangstapel bilden, indem ein dickeres unteres TiN verwendet wird.
  • Bezugnehmend auf 4 wird eine Barrierenschicht 402 über der Oxidschicht 302 abgeschieden. Die Barrierenschicht 402 kann Metallnitride und Metallcarbide beinhalten, zum Beispiel TiN, TaN, TiC, TaC, und kann zum Beispiel mittels eines atomaren Schichtabscheidungsprozesses gebildet werden.
  • 5 stellt die resultierende Struktur im Anschluss an die Abscheidung einer Schicht eines n-FET-Gate-Metalls 502, wie zum Beispiel TiAlC oder TiAl, Ti, Al, NbAlC, über der Barrierenschicht 402 dar, wobei zum Beispiel ein ALD-Prozess verwendet wird.
  • 6 stellt die resultierende Struktur im Anschluss an die Bildung einer Abdeckschicht 602 dar, die zum Beispiel ein Nitrid-Material beinhalten kann, wie beispielsweise TiN.
  • 7 stellt die resultierende Struktur im Anschluss an einen Strukturierungs- und Ätzprozess dar, der Bereiche der Barrierenschicht 402, des Gate-Metalls 502 und der Abdeckschicht 602 von dem p-FET-Bereich 110 des Gate-Stapels entfernt. Der Ätzprozess kann irgendeinen geeigneten Ätzprozess oder eine Kombination von Prozessen beinhalten, wie zum Beispiel reaktives lonenätzen, der einen Bereich der Oxidschicht 302 freilegt.
  • 8 stellt die Bildung einer Nitrid-Schicht 802 unter Verwendung zum Beispiel eines ALD-Prozesses dar, der die Nitrid-Schicht 802 auf den freigelegten Bereichen der Oxidschicht 302 und auf der Abdeckschicht 602 abscheidet. Die Nitrid-Schicht 802 kann zum Beispiel TiN oder TaN beinhalten.
  • 9 stellt die Abscheidung einer Einfangschicht 902, die zum Beispiel TiAlC oder TiAl, Ti, Al, TiAlC, NbAlC beinhalten kann, über der Nitrid-Schicht 802 dar. Die Einfangschicht 902 und die Nitrid-Schicht 802 definieren einen schwachen Sauerstoff-Einfangstapel.
  • 10 stellt die resultierende Struktur im Anschluss an die Abscheidung einer p-FET-Austrittsarbeits(PWF, P Work Function)-Metallschicht 1002 über der Einfangschicht 902 dar. Die PWF-Schicht 1002 beinhaltet zum Beispiel ein TiN- oder ein TaN-Material, das zum Beispiel mittels eines atomaren Schichtabscheidungsprozesses gebildet werden kann.
  • 11 stellt die resultierende Struktur im Anschluss an die Abscheidung einer Gate-Elektrode 1102 über freigelegten Bereichen der PWF-Schicht 1002 dar. Die Gate-Elektrode 1102 kann zum Beispiel Wolfram beinhalten, das unter Verwendung eines vergleichsweise kühlen Füll-Abscheidungsprozesses gebildet wird, gefolgt von einem Planarisierungsprozess, wie beispielsweise einem chemisch-mechanischen Poliervorgang (CMP, Chemical Mechanical Polishing).
  • 11 stellt eine exemplarische Ausführungsform eines Gate-Stapels 1100 dar, der einen n-FET-Bereich 108 und einen p-FET-Bereich 110 beinhaltet.
  • Die 12 bis 15 stellen ein weiteres exemplarisches Verfahren zum Bilden einer alternativen Ausführungsform eines Gate-Stapels dar.
  • 12 zeigt die Bildung eines Gate-Stapels, der einen n-FET-Bereich 108 und einen p-FET-Bereich 110 aufweist. Die Herstellung des Gate-Stapels beginnt mit der Abscheidung einer Oxidschicht (einer dielektrischen Schicht) 302 auf dem Substrat oder dem Fin, auf der Oxidschicht 302 wird eine Nitrid-Schicht 802 angeordnet. Eine Schicht aus einem n-FET-Gate-Metall 502, wie zum Beispiel TiAlC oder TiAl, Ti, Al, TiAlC, NbAlC, wird über der Nitrid-Schicht 802 abgeschieden, und eine Abdeckschicht 602, die zum Beispiel TiN beinhalten kann, wird über dem Gate-Metall 502 abgeschieden.
  • 13 stellt die resultierende Struktur im Anschluss an einen lithographischen Strukturierungs- und Ätzprozess dar, wie zum Beispiel eines reaktiven lonenätzvorgangs, der freigelegte Bereiche der Abdeckschicht 602 und des Gate-Metalls 502 von dem p-FET-Bereich 110 entfernt, um die Nitrid-Schicht 802 in dem p-FET-Bereich 110 freizulegen.
  • 14 stellt die Abscheidung einer Einfangschicht 902, die zum Beispiel TiAlC oder TiAl, Ti, Al, TiAlC, NbAlC beinhalten kann, über der Nitrid-Schicht 802 in dem p-FET-Bereich und über der Abdeckschicht 602 in dem n-FET-Bereich 108 dar.
  • 15 stellt die resultierende Struktur im Anschluss an die Abscheidung einer PWF-Schicht 1002 über der Einfangschicht 902 dar. Nach der Abscheidung der PWF-Schicht 1002 wird die Gate-Elektrode 1102 über der PWF-Schicht 1002 in einer ähnlichen Weise gebildet, wie vorstehend beschrieben, und unter Verwendung eines geeigneten Planarisierungsprozesses planarisiert, wie zum Beispiel eines chemisch-mechanischen Poliervorgangs.
  • 15 stellt eine exemplarische Ausführungsform eines Gate-Stapels 1500 dar, der einen n-FET-Bereich 108 und einen p-FET-Bereich 110 beinhaltet.
  • Die 16 bis 20 stellen ein weiteres Verfahren zum Bilden eines weiteren alternativen Gate-Stapels dar.
  • 16 zeigt die Bildung eines Gate-Stapels, der einen n-FET-Bereich 108 und einen p-FET-Bereich 110 aufweist. Die Herstellung des exemplarischen Gate-Stapels beginnt mit der Abscheidung einer Oxidschicht (einer dielektrischen Schicht) 302 auf dem Substrat oder dem Fin, auf der Oxidschicht 302 wird eine Nitrid-Schicht 802 angeordnet. Über der Nitrid-Schicht 902 wird eine Einfangschicht 902 angeordnet, die zum Beispiel TiAlC oder TiAl, Ti, Al, TiAlC, NbAlC beinhalten kann. Über der Einfangschicht 902 wird eine Abdeckschicht 602 abgeschieden, die zum Beispiel TiN beinhalten kann.
  • 17 stellt die resultierende Struktur im Anschluss an einen lithographischen Strukturierungs- und Ätzprozess dar, der freigelegte Bereiche der Abdeckschicht 602, der Einfangschicht 902 und der Nitrid-Schicht 802 von dem n-FET-Bereich 108 des Gate-Stapels entfernt, was einen Bereich der Oxidschicht 302 in dem n-FET-Bereich 108 freilegt.
  • 18 stellt die Abscheidung einer Barrierenschicht 402 dar, die über der Oxidschicht 302 in dem n-FET-Bereich 108 und der Abdeckschicht 602 in dem p-FET-Bereich 110 abgeschieden wird.
  • 19 zeigt die Abscheidung eines Gate-Metalls 502 über der Barrierenschicht 402 in dem n-FET-Bereich 108 und dem p-FET-Bereich 110.
  • 20 stellt die resultierende Struktur im Anschluss an die Abscheidung einer PWF-Schicht 1002 über der Gate-Metall-Schicht 502 dar. Im Anschluss an die Bildung der PWF-Schicht 1002 wird die Gate-Elektrode 1102 über der PWF-Schicht 1002 in einer ähnlichen Weise gebildet, wie vorstehend beschrieben, und wird unter Verwendung eines geeigneten Planarisierungsprozesses planarisiert, wie zum Beispiel eines chemisch-mechanischen Poliervorgangs.
  • 20 stellt eine weitere alternative Ausführungsform eines Gate-Stapels 2000 dar, der einen n-FET-Bereich 108 und einen p-FET-Bereich 110 beinhaltet.
  • Die 21 bis 24 stellen ein weiteres Verfahren zum Bilden eines weiteren alternativen Gate-Stapels dar.
  • 21 ist ähnlich wie die vorstehend beschriebene 16 und zeigt die Bildung eines Gate-Stapels, der einen n-FET-Bereich 108 und einen p-FET-Bereich 110 aufweist. Die Herstellung des exemplarischen Gate-Stapels beginnt mit der Abscheidung einer Oxidschicht (einer dielektrischen Schicht) 302 auf dem Substrat oder dem Fin, auf der Oxidschicht 302 wird eine Nitrid-Schicht 802 angeordnet. Über der Nitrid-Schicht 802 wird eine Einfangschicht 902 angeordnet, die zum Beispiel TiAlC oder TiAl, Ti, Al, TiAlC, NbAlC beinhalten kann. Über der Einfangschicht 902 wird eine Abdeckschicht 602 abgeschieden, die zum Beispiel TiN beinhalten kann.
  • 22 stellt die resultierende Struktur im Anschluss an einen lithographischen Strukturierungs- und Ätzprozess dar, der freigelegte Bereiche der Abdeckschicht 602 und der Einfangschicht 902 von dem n-FET-Bereich 108 des Gate-Stapels entfernt. Der Ätzprozess legt die Nitrid-Schicht 802 in dem n-FET-Bereich 108 des Gate-Stapels frei.
  • 23 stellt die resultierende Struktur im Anschluss an die Abscheidung einer Gate-Metall-Schicht 502 über der Nitrid-Schicht 802 in dem n-FET-Bereich 108 und über der Abdeckschicht 602 in dem p-FET-Bereich 110 des Gate-Stapels dar.
  • 24 stellt die resultierende Struktur im Anschluss an die Abscheidung einer PWF-Schicht 1002 über der Gate-Metall-Schicht 502 dar. Im Anschluss an die Abscheidung der PWF-Schicht 1002 wird die Gate-Elektrode 1102 über der PWF-Schicht 1002 in einer ähnlichen Weise gebildet, wie vorstehend beschrieben, und wird unter Verwendung eines geeigneten Planarisierungsprozesses planarisiert, wie zum Beispiel eines chemisch-mechanischen Poliervorgangs.
  • 24 stellt einen weiteren alternativen Gate-Stapel 2400 dar, der einen n-FET-Bereich 108 und einen p-FET-Bereich 110 beinhaltet.
  • 25 stellt eine Draufsicht auf eine Ausführungsform einer FinFET-Einheit dar. In diesem Zusammenhang sind die Fins 112 und 114 auf dem Substrat 102 angeordnet. Der Gate-Stapel 2400 ist über Kanalbereichen der Fins 112 und 114 angeordnet. Die Fins 112 und 114 beinhalten Source-/Drain-Bereiche 2502, die zum Beispiel mittels eines lonenimplantationsprozesses oder eines Prozesses für ein epitaxiales Aufwachsen gebildet werden können. Wenngleich die in 25 dargestellte Ausführungsform den Gate-Stapel 2400 beinhaltet, kann irgendeiner der Gate-Stapel 1100, 1500 oder 2000, wie vorstehend beschrieben, über den Fins 112 und 114 gebildet werden. Alternativ können die Gate-Stapel 1100, 1500, 2000 oder 2400 auf einem Halbleitersubstrat gebildet werden, um einen planaren FET zu bilden, der Source- und Drain-Bereiche aufweist, die teilweise durch das Halbleitersubstrat definiert sein können.
  • 26 stellt eine Schnittansicht entlang der Linie B-B von 25 des Gate-Stapels 2400 auf dem Fin 112 dar. 27 stellt eine Schnittansicht entlang der Linie C-C von 25 des Gate-Stapels 2400 auf dem Fin 114 dar.
  • 28 stellt ein Blockschaubild eines Verfahrens zum Bilden einer Halbleitereinheit dar, wie vorstehend in den 1 bis 11 beschrieben. Bezugnehmend auf 28 wird in Block 2802 eine erste dielektrische Schicht über einem Kanalbereich der Einheit gebildet. In Block 2804 wird eine Barrierenschicht über der ersten dielektrischen Schicht gebildet. In Block 2806 wird eine erste Gate-Metall-Schicht über der Barrierenschicht gebildet. In Block 2808 wird eine Abdeckschicht über der ersten Gate-Metall-Schicht gebildet. In Block 2810 werden Bereiche der Barrierenschicht, der ersten Gate-Metall-Schicht und der Abdeckschicht entfernt, um einen Bereich der ersten dielektrischen Schicht in einem Feldeffekttransistor-Bereich vom p-Typ (einem p-FET-Bereich) des Gate-Stapels freizulegen. In Block 2812 wird eine erste Nitrid-Schicht auf freigelegten Bereichen der Abdeckschicht und der ersten dielektrischen Schicht abgeschieden. In Block 2814 wird eine Einfangschicht auf der ersten Nitrid-Schicht abgeschieden. In Block 2816 wird eine zweite Nitrid-Schicht auf der Einfangschicht abgeschieden. In Block 2818 wird ein Gate-Elektroden-Material auf der zweiten Nitrid-Schicht abgeschieden.
  • Die hierin beschriebenen Verfahren und Ausführungsformen stellen einen Gate-Stapel mit einem vergleichsweise schwachen Sauerstoff-Einfangstapel bereit, um die pVt im Gegensatz zu einer einzelnen Metallnitrid-Schicht, wie zum Beispiel TiN und TaN, zu definieren und einzustellen. Der schwache Sauerstoff-Einfangstapel kann mittels Abscheidung oder Integration gebildet werden und kann zum Beispiel eine Barrierenschicht, wie beispielsweise TiN oder TaN, und ein starkes Sauerstoff-Einfangmaterial beinhalten, wie beispielsweise TiAlC oder TiAl, Ti, Al, TiAlC, NbAlC.
  • Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung wurden zu Zwecken der Darstellung dargelegt, sollen jedoch nicht erschöpfend oder auf die offenbarten Ausführungsformen beschränkt sein. Für den Fachmann sind viele Modifikationen und Variationen ersichtlich, ohne von dem Umfang der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Grundgedanken der Ausführungsformen, die praktische Anwendung oder die technische Verbesserung gegenüber auf dem Markt zu findenden Technologien am besten zu erläutern oder es anderen Fachleuten zu ermöglichen, die hierin offenbarten Ausführungsformen zu verstehen.

Claims (16)

  1. Verfahren zum Herstellen eines Gate-Stapels einer Halbleiter-Einheit, wobei das Verfahren aufweist: Bilden einer ersten dielektrischen Schicht über einem Kanalbereich der Einheit; Bilden einer ersten Nitrid-Schicht über der ersten dielektrischen Schicht; Bilden einer ersten Gate-Metall-Schicht über der ersten Nitrid-Schicht; Bilden einer Abdeckschicht über der ersten Gate-Metall-Schicht; Entfernen von Bereichen der Abdeckschicht und der ersten Gate-Metall-Schicht, um einen Bereich der ersten Nitrid-Schicht in einem Feldeffekttransistor-Bereich vom p-Typ (einem p-FET-Bereich) des Gate-Stapels freizulegen; Abscheiden einer Einfangschicht auf der ersten Nitrid-Schicht und der Abdeckschicht; Abscheiden einer zweiten Nitrid-Schicht auf der Einfangsschicht; und Abscheiden eines Gate-Elektroden-Materials auf der zweiten Nitrid-Schicht.
  2. Verfahren nach Anspruch 1, wobei die erste dielektrische Schicht ein Oxidmaterial beinhaltet.
  3. Verfahren nach Anspruch 1, wobei die erste Gate-Metall-Schicht TiAlC beinhaltet.
  4. Verfahren nach Anspruch 1, wobei die erste Gate-Metall-Schicht TiAl beinhaltet.
  5. Verfahren nach Anspruch 1, wobei die erste Nitrid-Schicht TiN beinhaltet.
  6. Verfahren nach Anspruch 1, wobei die erste Nitrid-Schicht TaN beinhaltet.
  7. Verfahren nach Anspruch 1, wobei die zweite Nitrid-Schicht TiN beinhaltet.
  8. Verfahren nach Anspruch 1, wobei das Gate-Elektroden-Material W beinhaltet.
  9. Verfahren nach Anspruch 1, das des Weiteren ein Bilden eines Source-/Drain-Bereichs benachbart zu dem Gate-Stapel vor dem Bilden des Gate-Stapels aufweist.
  10. Verfahren nach Anspruch 1, das des Weiteren vor dem Bilden des Gate-Stapels aufweist: Bilden eines Opfer-Gate-Stapels über dem Kanalbereich der Einheit; Bilden eines Abstandshalters entlang von Seitenwänden des Opfer-Gate-Stapels; Bilden eines Source-/Drain-Bereichs der Einheit benachbart zu dem Opfer-Gate-Stapel; Bilden einer Schicht aus einem Isolatormaterial um den Abstandshalter herum; und Entfernen des Opfer-Gate-Stapels, um den Kanalbereich der Einheit freizulegen.
  11. Halbleitereinheit, die einen Gate-Stapel aufweist, der über einem Kanalbereich der Einheit angeordnet ist, wobei der Gate-Stapel einen Feldeffekttransistor-Bereich vom n-Typ (einen n-FET-Bereich) aufweist, aufweisend: eine dielektrische Schicht, die auf einem Substrat angeordnet ist; eine erste Nitrid-Schicht, die auf der dielektrischen Schicht angeordnet ist; eine erste Gate-Metall-Schicht, die auf der ersten Nitrid-Schicht angeordnet ist; eine Abdeckschicht, die auf der ersten Gate-Metall-Schicht angeordnet ist; eine Einfangschicht, die auf der Abdeckschicht angeordnet ist; eine zweite Nitrid-Schicht, die auf der Einfangschicht angeordnet ist; und eine Gate-Elektrode, die auf der zweiten Nitrid-Schicht angeordnet ist.
  12. Einheit nach Anspruch 11, die des Weiteren einen Feldeffekttransistor-Bereich vom p-Typ (einen p-FET-Bereich) aufweist, aufweisend: die dielektrische Schicht, die auf dem Substrat angeordnet ist; die erste Nitrid-Schicht, die auf der dielektrischen Schicht angeordnet ist; die Einfangschicht, die auf der ersten Nitrid-Schicht angeordnet ist; die zweite Nitrid-Schicht, die auf der Einfangschicht angeordnet ist; und die Gate-Elektrode, die auf der zweiten Nitrid-Schicht angeordnet ist.
  13. Einheit nach Anspruch 11, wobei die erste dielektrische Schicht ein Oxidmaterial beinhaltet.
  14. Einheit nach Anspruch 11, wobei das Gate-Elektroden-Material W beinhaltet.
  15. Einheit nach Anspruch 11, die des Weiteren einen Source-/Drain-Bereich aufweist, der benachbart zu dem Gate-Stapel angeordnet ist.
  16. Einheit nach Anspruch 11, wobei der Kanalbereich der Einheit teilweise durch einen auf dem Substrat angeordneten Halbleiter-Fin definiert ist.
DE112016004645.6T 2016-01-15 2016-11-22 Feldeffekttransistor-Stapel mit abstimmbarer Austrittsarbeit Ceased DE112016004645T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/996,572 US9859169B2 (en) 2016-01-15 2016-01-15 Field effect transistor stack with tunable work function
US14/996,572 2016-01-15
PCT/IB2016/057033 WO2017122066A1 (en) 2016-01-15 2016-11-22 Field effect transistor stack with tunable work function

Publications (1)

Publication Number Publication Date
DE112016004645T5 true DE112016004645T5 (de) 2018-06-28

Family

ID=59310867

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112016004645.6T Ceased DE112016004645T5 (de) 2016-01-15 2016-11-22 Feldeffekttransistor-Stapel mit abstimmbarer Austrittsarbeit

Country Status (6)

Country Link
US (3) US9859169B2 (de)
JP (1) JP6681471B2 (de)
CN (1) CN108475693B (de)
DE (1) DE112016004645T5 (de)
GB (1) GB2562945B (de)
WO (1) WO2017122066A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9859169B2 (en) 2016-01-15 2018-01-02 International Business Machines Corporation Field effect transistor stack with tunable work function
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
CN112635401A (zh) * 2019-09-24 2021-04-09 长鑫存储技术有限公司 晶体管的形成方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130032886A1 (en) 2011-08-01 2013-02-07 International Business Machines Corporation Low Threshold Voltage And Inversion Oxide Thickness Scaling For A High-K Metal Gate P-Type MOSFET
US8114739B2 (en) * 2009-09-28 2012-02-14 Freescale Semiconductor, Inc. Semiconductor device with oxygen-diffusion barrier layer and method for fabricating same
US8440520B2 (en) * 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US9337303B2 (en) 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US8716088B2 (en) 2012-06-27 2014-05-06 International Business Machines Corporation Scavenging metal stack for a high-K gate dielectric
KR101913434B1 (ko) * 2012-06-29 2018-10-30 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9093558B2 (en) * 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US9496143B2 (en) 2012-11-06 2016-11-15 Globalfoundries Inc. Metal gate structure for midgap semiconductor device and method of making same
US8778789B2 (en) 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US8932923B2 (en) 2013-02-19 2015-01-13 Globalfoundries Inc. Semiconductor gate structure for threshold voltage modulation and method of making same
US9190409B2 (en) 2013-02-25 2015-11-17 Renesas Electronics Corporation Replacement metal gate transistor with controlled threshold voltage
US8802527B1 (en) 2013-03-15 2014-08-12 International Business Machines Corporation Gate electrode optimized for low voltage operation
US9012319B1 (en) * 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
KR102190673B1 (ko) 2014-03-12 2020-12-14 삼성전자주식회사 중간갭 일함수 금속 게이트 전극을 갖는 반도체 소자
US10109534B2 (en) 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
TWI653762B (zh) * 2014-10-08 2019-03-11 聯華電子股份有限公司 具有金屬閘極之半導體元件之製作方法
KR102358318B1 (ko) * 2015-06-04 2022-02-04 삼성전자주식회사 멀티 일함수 게이트 패턴들을 갖는 반도체 소자
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9859169B2 (en) 2016-01-15 2018-01-02 International Business Machines Corporation Field effect transistor stack with tunable work function

Also Published As

Publication number Publication date
US20180047640A1 (en) 2018-02-15
GB201812599D0 (en) 2018-09-19
US10312157B2 (en) 2019-06-04
US9859169B2 (en) 2018-01-02
CN108475693A (zh) 2018-08-31
GB2562945B (en) 2020-08-12
GB2562945A (en) 2018-11-28
JP2019503585A (ja) 2019-02-07
WO2017122066A1 (en) 2017-07-20
US20180047639A1 (en) 2018-02-15
JP6681471B2 (ja) 2020-04-15
CN108475693B (zh) 2021-12-24
US10249543B2 (en) 2019-04-02
US20170207131A1 (en) 2017-07-20

Similar Documents

Publication Publication Date Title
DE112016003961B4 (de) Vertikale Transistoren und Verfahren zur Herstellung derselben
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE112008000094B4 (de) CMOS-Vorrichtung mit Dual-Epi-Kanälen und selbstausgerichteten Kontakten und Herstellungsverfahren
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102015104461B4 (de) Verfahren zum herstellen von finfets und finfet-bauelement
DE112012004932B4 (de) Verfahren zur Herstellung eines SOI-FinFET mit vertieften verschmolzenen Rippen und Schicht zur verbesserten Spannungskopplung
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE69836401T2 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE112005002630B4 (de) Verfahren zum Ausbilden eines Halbleiterbauelements mit einer vollständig silizidierten Gateelektrode (FUSI) sowie integrierter Schaltungschip
DE102015112832B4 (de) Struktur und Ausbildungsverfahren einer Halbleiterbauelementstruktur mit Gatestapel
DE102009010883B4 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE112012002700T5 (de) Verfahren und Struktur für niederohmige Source- und Drain-Bereiche in einem Prozessablauf mit Ersatz-Metall-Gate
DE102009006886B4 (de) Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
DE102019126237A1 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
US20120199813A1 (en) Extreme high mobility cmos logic
DE112016005805T5 (de) Variable gate-längen für vertikale transistoren
DE102015109820A1 (de) Metallgate-Schema für Bauelement und Verfahren zum Ausbilden
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE112018004228B4 (de) Vorgehensweise für eine einheitlichkeit von dielektrischen elementen mit einem hohen k
DE102010016000A1 (de) Halbleitervorrichtungen und Verfahren zum Herstellen einer Halbleitervorrichtung
DE112017000170T5 (de) Halbleitereinheit mit einem Gate-Stapel mit abstimmbarer Austrittsarbeit
DE102019112394A1 (de) Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung
DE102009021484B4 (de) Höhere Gleichmäßigkeit einer Kanalhalbleiterlegierung durch Herstellen von STI-Strukturen nach dem Aufwachsprozess
DE102016103402A1 (de) Halbleitereinheit mit geringem Band-zu-Band-Tunneln

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final