JP6681471B2 - 半導体デバイスのゲート・スタック作製方法および半導体デバイス - Google Patents

半導体デバイスのゲート・スタック作製方法および半導体デバイス Download PDF

Info

Publication number
JP6681471B2
JP6681471B2 JP2018535118A JP2018535118A JP6681471B2 JP 6681471 B2 JP6681471 B2 JP 6681471B2 JP 2018535118 A JP2018535118 A JP 2018535118A JP 2018535118 A JP2018535118 A JP 2018535118A JP 6681471 B2 JP6681471 B2 JP 6681471B2
Authority
JP
Japan
Prior art keywords
layer
gate stack
semiconductor device
gate
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018535118A
Other languages
English (en)
Other versions
JP2019503585A (ja
Inventor
バオ、ルーチャン
クリシュナン、シッダールタ
クォン、ウノ
ナラヤナン、ヴィジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2019503585A publication Critical patent/JP2019503585A/ja
Application granted granted Critical
Publication of JP6681471B2 publication Critical patent/JP6681471B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は、一般に半導体デバイスに関し、より具体的には、金属酸化膜半導体電界効果トランジスタ(metal-oxide-semiconductor field effect transistor(MOSFET))ゲートに関する。
MOSFETは、電子信号の増幅または切り換えのために使用されるトランジスタである。MOSFETはソースとドレインと金属酸化物ゲート電極とを有する。金属ゲートは、MOSFETの入力抵抗を比較的高くする絶縁材料、例えば二酸化ケイ素またはガラスの薄膜層によって、主半導体nチャネルまたはpチャネルから電気的に絶縁される。ゲート電圧は、ドレインからソースまでの経路が開回路(「オフ」)であるか抵抗経路(「オン」)であるかを制御する。
n型電界効果トランジスタ(nFET)とp型電界効果トランジスタ(pFET)とは、相補型MOSFETの2つの種類である。nFETは、電流キャリヤとして電子を使用し、n型にドープされたソースおよびドレインの接合部を有する。pFETは、電流キャリヤとして正孔を使用し、p型にドープされたソースおよびドレインの接合部を有する。
半導体デバイスのゲート・スタック作製方法および半導体デバイスを提供する。
本発明の一実施形態によると、半導体デバイスのゲート・スタックを作製する方法が、前記半導体デバイスのチャネル領域の上方に第一の誘電体層を形成することと、前記第一の誘電体層の上方に第1の窒化物層を形成することと、前記第1の窒化物層の上方に第1のゲート金属層を形成することと、前記第1のゲート金属層の上方にキャップ層を形成することと、前記ゲート・スタックのp型電界効果トランジスタ(pFET)領域内の前記第1の窒化物層の一部を露出させるために、前記キャップ層と前記第1のゲート金属層の一部を除去することと、前記第1の窒化物層上と前記キャップ層上にスカベンジング層を堆積させることと、前記スカベンジング層上に第2の窒化物層を堆積させることと、前記第2の窒化物層上にゲート電極材料を堆積させることとを含む。
本発明の別の一実施形態によると、半導体デバイスが、半導体デバイスのチャネル領域の上方に配置されたゲート・スタックを含み、前記ゲート・スタックはn型電界効果トランジスタ(nFET)部を含み、前記nFET部は、基板上に配置された誘電体層と、前記誘電体層上に配置された第1の窒化物層と、前記第1の窒化物層上に配置された第1のゲート金属層と、前記第1のゲート金属層上に配置されたキャップ層と、前記キャップ層上に配置されたスカベンジング層と、前記スカベンジング層上に配置された第2の窒化物層と、前記第2の窒化物層上に配置されたゲート電極とを含む。
本発明のさらに別の一実施形態によると、半導体デバイスが、半導体デバイスのチャネル領域の上方に配置されたゲート・スタックを含み、前記ゲート・スタックは、基板上に配置された誘電体層、前記誘電体層上に配置された第1の窒化物層、前記第1の窒化物層上に配置された第1のゲート金属層、前記第1のゲート金属層上に配置されたキャップ層、前記キャップ層上に配置されたスカベンジング層、前記スカベンジング層上に配置された第2の窒化物層、および前記第2の窒化物層上に配置されたゲート電極を含むn型電界効果トランジスタ(nFET)部と、前記基板上に配置された前記誘電体層、前記誘電体層上に配置された前記第1の窒化物層、前記第1の窒化物層上に配置された前記スカベンジング層、前記スカベンジング層上に配置された前記第2の窒化物層、および前記第2の窒化物層上に配置された前記ゲート電極を含むp型電界効果トランジスタ(pFET)部とを含む。
以下に、本発明の好ましい実施形態について、添付図面を参照しながら例示のみのために説明する。
例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、基板上に配置された半導体フィンを有する基板の上面図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、図1の線A−Aに沿ったフィンおよび基板の切断図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、ゲート・スタックの幅(長手方向)に沿った切断図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、酸化物層の上方に堆積させた障壁層を示す図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、nFETゲート金属の層の堆積後の、結果として得られる構造を示す図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、例えばTiNなどの窒化物金属を含み得るキャップ層の形成後の結果として得られる構造を示す図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、障壁層とゲート金属とキャップ層との一部を除去するパターン形成およびエッチング・プロセス後の、結果として得られる構造を示す図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、窒化物層の形成を示す図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、スカベンジング層の堆積を示す図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、PWF層の堆積後の、結果として得られる構造を示す図である。 例示のFETデバイスのゲート・スタックを形成する方法を示す図であって、PWF層の露出部の上方へのゲート電極の堆積後の、結果として得られる構造を示す図である。 代替ゲート・スタックを形成する別の方法を示す図であって、nFET部とpFET部とを有するゲート・スタックの形成を示す図である。 代替ゲート・スタックを形成する別の方法を示す図であって、リソグラフィ・パターン形成およびエッチング・プロセス後の、結果として得られる構造を示す図である。 代替ゲート・スタックを形成する別の方法を示す図であって、スカベンジング層の堆積を示す図である。 代替ゲート・スタックを形成する別の方法を示す図であって、PWF層の堆積後の、結果として得られる構造を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、nFET部とpFET部とを有するゲート・スタックの形成を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、リソグラフィ・パターン形成およびエッチング・プロセス後の、結果として得られる構造を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、酸化物層の上方に堆積させる障壁層の堆積を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、nFET部とpFET部における障壁層の上方のゲート金属の堆積を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、ゲート金属層の上方へのPWF層の堆積後の、結果として得られる構造を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、nFET部とpFET部とを有するゲート・スタックの形成を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、キャップ層とスカベンジング層との露出部を除去するリソグラフィ・パターン形成およびエッチング・プロセス後の、結果として得られる構造体を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、ゲート金属層の堆積後の、結果として得られる構造を示す図である。 別の代替ゲート・スタックを形成する別の方法を示す図であって、ゲート金属層の上方へのPWF層の堆積後の、結果として得られる構造を示す図である。 フィンFETデバイスの上面図を示す図である。 図25の線B−Bに沿ったフィン上のゲート・スタックの切断図を示す図である。 図25の線C−Cに沿ったフィン上のゲート・スタックの切断図を示す図である。 図1ないし図11において上述した半導体デバイスを形成する方法のブロック図である。
本明細書に記載の方法および実施形態は、MOSFETデバイスにおける堅牢な調整可能nFETゲート・スタックを提供する。FETデバイスでは、例えばTiNおよびTaNなどの金属窒化物が、pFETデバイスにおける所望の閾値電圧(Vt)を実現するためのゲート・スタックにおける良好な仕事関数材料を提供する。FETデバイスのスケールが縮小し続けるのに従い、性能目標を達成するためにフィンFETなどのマルチゲート・デバイスが使用されている。FETデバイスのVtのばらつきを低減し、Vtを制御するように仕事関数金属の均一な層を堆積させるために、原子層堆積(ALD)が使用される。ALDを使用する置換金属ゲート作製プロセスにおいて、仕事関数金属の特性を変化させることはより困難になっている。
置換金属ゲート作製プロセスにおけるサーマル・バジェットに対する高k誘電材料における酸素空乏の反応のため、未処理のTiNまたはTaNをpFETデバイスの仕事関数金属として使用すると、pVtが安定しなくなり、Vtの制御が困難になることが、実験によりわかっている。
nFETデバイスの性能および信頼性は、Dまたは高圧アニーリング・プロセスを使用して改良することができる。しかし、pFETが例えばTiNなどの従来の仕事関数金属を含む場合、このプロセスは不安定なpVtにつながる可能性がある。
本明細書に記載の方法および実施形態は、例えばTiNおよびTaNなどの単一の金属窒化物層とは異なり、pVtを規定し、調整するための比較的弱い酸素スカベンジ・スタックを備えるゲート・スタックを提供する。この弱い酸素スカベンジ・スタックは、堆積または一体化により形成することができ、例えばTiNまたはTaNなどの障壁層と、TiAlC、TiAl、Al、Ti、NbAlおよびTaAlCなどの強い酸素スカベンジ材料とを含み得る。
特許請求の範囲および本明細書の解釈のために、以下の定義および略語を使用する。本明細書で使用する「備える(comprises)、備えている(comprising)」、「含む(includes)、含んでいる(including)」、「有する(has)、有している(having)」、「含有する(contains)または含有している(containing)」という用語またはこれらの用語のその他の変化形は、非排他的包含をカバーすることを意図している。例えば、列挙されている要素を含む組成、混合物、プロセス、方法、物品または装置は、必ずしもそれらの要素のみには限定されず、明示的に列挙されていない他の要素、またはそのような組成、混合物、プロセス、方法、物品または装置に固有のその他の要素を含み得る。
本明細書で使用する、要素または構成要素の前の冠詞「a」および「an」は、その要素または構成要素のインスタンス(すなわち出現)数に関して非制限的であることを意図している。したがって、「a」または「an」は、1つまたは少なくとも1つを含むものと解釈すべきであり、要素または構成要素の単数形は、数字が明らかに単数を示していない限り、複数も含む。
本明細書で使用する「発明」または「本発明」という用語は、非限定的用語であり、特定の発明の単一の態様を指すことを意図したものではなく、本明細書および特許請求の範囲に記載されているすべての可能な態様を含む。
本明細書で使用する、採用されている本発明の成分、構成要素または反応物質の数量を修飾する「約(about)」という用語は、例えば、濃縮物または溶液を作製するために使用される典型的な測定および液体処理手順によって発生する可能性のある数量の変動を指す。また、変動は、測定手順における不注意による誤り、構成要素を作製するため、または方法を実行するめに採用した成分の製造、供給源または純度の相違などによっても発生することがある。一態様では、「約」という用語は、記載されている数値の10%以内を意味する。別の態様では、「約」という用語は、記載されている数値の5%以内を意味する。さらに別の態様では、「約」という用語は、記載されている数値の10、9、8、7、6、5、4、3、2または1%以内を意味する。
また、層、領域または基板など、ある要素が別の要素の「上に(on)」または「上方に(over)」あると言う場合、その要素は、その別の要素の直上にあってよく、または介在要素が存在してもよいものと理解されたい。それに対して、ある要素が別の要素の「直上」または「直接上方に」あるという場合、介在要素は存在せず、その要素は別の要素と接触している。
また、ある要素が別の要素に「接続される」または「結合される」という場合、その要素はその別の要素に直接接続または結合されることができ、または介在要素が存在してもよい。それに対して、ある要素が別の要素に「直接接続される」または「直接結合される」という場合、介在要素は存在しない。
図1ないし図11に、例示のFETデバイスのゲート・スタックを形成する方法を示す。
図1は、基板102上に配置された半導体フィン112および114を備えた基板102の上面図を示す。図の実施形態では、基板102およびフィン112および114上に予めパターン形成された犠牲ゲート・スタック(図示せず)の除去後にフィン112および114のチャネル領域が露出している。犠牲ゲート・スタックの周囲にスペーサ材料104が形成されている。スペーサ材料104の周囲には、例えば酸化物またはその他の誘電材料などの絶縁材料が形成されている。図の実施形態では、フィン112は完成デバイスのチャネル領域とソース/ドレイン領域とを部分的に画定することになる。フィン112は、nFETデバイスを部分的に画定することとなり、フィン114はpFETデバイスを部分的に画定することになる。ゲート・スタック(後述)は、nFET部108とpFET部110の2つの部分に分割される。適合するフィン材料の非限定的な例としては、Si(シリコン)、ひずみSi、SiC(炭化ケイ素)、Ge(ゲルマニウム)SiGe(シリコンゲルマニウム)、SiGeC(シリコンゲルマニウム炭素)、Si合金、Ge合金、GaAs(ガリウムヒ素)、InAs(インジウムヒ素)、InP(リン化インジウム)、またはこれらの任意の組み合わせが含まれる。
図の実施形態では、フィン112および114は、セミコンダクタ・オン・インシュレータ(semiconductor on insulator(SOI))基板を使用することができるように絶縁層を含む基板102上に配置される。代替実施形態は、バルク半導体基板上にフィンを形成してもよい。
図2は、図1の線A−Aに沿った、フィン112および114と基板102との切断図を示す。
図3は、ゲートを通り、ゲートに対して平行な、ゲート・スタックの幅(長手方向)に沿った切断図を示す。簡略化のため、フィン112および114は、例示のゲート・スタックの形成をよりよく示すようにこれらの図面から省かれている。フィンFETデバイスの作製では、ゲート・スタックの各層がフィン112および114のチャネル領域の上方に沿うように配置される。本明細書に記載の実施形態は、フィンFETデバイスまたはその他のマルチゲート・デバイスには限定されず、例えばプレーナFETデバイス、ナノワイヤ・デバイス、トンネル・デバイスなどを作製するためにも使用可能である。そのようなプレーナFETデバイスの作製方式では、基板102は半導体材料を含み、ゲート・スタックは基板102上に同様にして形成されることになる。
図3では、置換金属ゲートの形成を開始するために、例えばSiOまたはHfOを含み得る酸化物(誘電体)層302は、デバイスのチャネル領域の上方と、基板の一部の上とに堆積させ、アニールする。酸化物層302は、10ないし100オングストロームの厚さを有する。酸化物層302は、例えば、高アスペクト比プラズマ(high aspect ratio plasma(HARP))、高温酸化膜(high temperature oxide(HTO))、高密度プラズマ(high density plasma(HDP))、または原子層堆積(atomic layer deposition(ALD))プロセス、またはこれらの任意の組み合わせによって堆積させることができる。本明細書に記載の誘電体層302およびその後続の各層は、(上述の)フィン112の上方に沿うようにだけでなく、(図1の)スペーサ材料104の側壁にも沿うように、チャネル領域の上方に形成される。同様に、後に形成される層は、その前の層の外形に沿う。
例示の方法では、pFETスタックの形成の前にnFETスタックが形成される。nFETスタックは強い酸素スカベンジ・スタックを含み、後で形成されるpFETスタックは弱い酸素スカベンジ・スタックを含む。
単一の金属層を使用する場合のnFETの強い酸素スカベンジ・スタックとpFETとの1つの相違点は、金属酸化物を形成するためのエンタルピー変化が、nFETの方がpFETよりもはるかに高いことである。例えば、nFETにはAlが使用され、pFETにはNiが使用される。一方、酸素スカベンジ・スタックは同じ材料および同じ構造で形成することができるが、異なる膜厚または異なる膜組成とすることができる。例えば、TiN/TiAl/TiNスタックが、酸素スカベンジ・スタックとして使用される。これらの層が、TiAlの厚さ以外は同様である場合、TiAlをより厚くすることによってより強い酸素スカベンジ・スタックが形成されるが、TiAlをより薄くすると弱い酸素スカベンジ・スタックが形成される。一方、TiAlの厚さと最上部のTiNの厚さとが同じである場合、最下部のTiNの相違によって、より薄い最下部TiNを使用してより強い酸素スカベンジ・スタックを形成することができ、より厚い最下部TiNを使用してより弱い酸素スカベンジ・スタックを形成することができる。
図4を参照すると、酸化物層302の上方に障壁層402が形成される。障壁層402は、金属窒化物と金属炭化物、例えばTiN、TaN、TiC、TaCを含んでよく、例えば原子層堆積プロセスによって形成されてよい。
図5に、例えばALDプロセスを使用して、障壁層402の上方に例えばTiAlCまたはTiAl、Ti、Al、NbAlCなどのnFETゲート金属502の層を堆積させた後の、結果として得られる構造を示す。
図6に、例えばTiNなどの窒化物材料を含み得るキャップ層602の形成後の、結果として得られる構造を示す。
図7に、ゲート・スタックのpFET部110から、障壁層402とゲート金属層502とキャップ層602との一部を除去するパターン形成およびエッチング・プロセス後の、結果として得られる構造を示す。エッチング・プロセスは、例えば、酸化物層302の一部を露出させる反応性イオン・エッチングなどの、任意の適合するエッチング・プロセスまたはプロセスの組み合わせを含み得る。
図8に、例えば、酸化物層302の露出部上とキャップ層602上とに窒化物層802を堆積させるALDプロセスを使用する、窒化物層802の形成を示す。窒化物層802は、例えばTiNまたはTaNとすることができる。
図9に、窒化物層802の上方への、例えばTiAlCまたはTiAl、Ti、Al、TiAlC、NbAlCを含み得るスカベンジング層902の堆積を示す。スカベンジング層902と窒化物層802とは、弱い酸素スカベンジ・スタックを画定する。
図10に、スカベンジング層902の上方にpFET仕事関数(PWF)金属層1002を堆積させた後の、結果として得られる構造を示す。PWF層1002は、例えば原子層堆積プロセスによって形成し得る、例えばTiNまたはTaN材料を含む。
図11に、PWF層1002の露出部の上方にゲート電極1102を堆積させた後の、結果として得られる構造を示す。ゲート電極1102は、例えば、比較的低温の充填堆積プロセスの後に、化学機械研磨(CMP)などの平坦化プロセスを使用して形成されたタングステンを含み得る。
図11に、nFET部108とpFET部110とを含むゲート・スタック1100の例示の一実施形態を示す。
図12ないし図15に、ゲート・スタックの代替実施形態を形成する別の例示の方法を示す。
図12に、nFET部108とpFET部110とを有するゲート・スタックの形成を示す。ゲート・スタックの作製は、基板またはフィン上への酸化物(誘電体)層302の堆積から始まり、酸化物層302上に窒化物層802が堆積される。窒化物層802の上方に、例えばTiAlCまたはTiAl、Ti、Al、TiAlC、NbAlCなどのnFETゲート金属502の層を堆積させ、ゲート金属502の上方に例えばTiNを含み得るキャップ層602を堆積させる。
図13に、pFET部110の窒化物層802を露出させるためにpFET部110からキャップ層602とゲート金属502との露出部を除去する、リソグラフィ・パターン形成および例えば反応性イオン・エッチングなどのエッチング・プロセス後の、結果として得られる構造を示す。
図14に、pFET部における窒化物層802の上方とnFET部108におけるキャップ層602の上方への、例えばTiAlCまたはTiAl、Ti、Al、TiAlC、NbAlCを含み得るスカベンジング層902の堆積を示す。
図15に、スカベンジング層902の上方にPWF層1002を堆積させた後の、結果として得られる構造を示す。PWF層1002を堆積させた後、上述と同様にしてPWF層1002の上方にゲート電極1102を形成し、例えば化学機械研磨などの適合する平坦化プロセスを使用して平坦化する。
図15に、nFET部108とpFET部110とを含むゲート・スタック1500の例示の一実施形態を示す。
図16ないし図20に、別の代替ゲート・スタックを形成する別の方法を示す。
図16に、nFET部108とpFET部110とを有するゲート・スタックの形成を示す。この例示のゲート・スタックの作製は、基板またはフィン上への酸化物(誘電体)層302の堆積から始まり、酸化物層302上に窒化物層802を堆積させる。例えばTiAlCまたはTiAl、Ti、Al、TiAlC、NbAlCを含み得るスカベンジング層902を、窒化物層802の上方に堆積させる。例えばTiNを含み得るキャップ層602を、スカベンジング層902の上方に堆積させる。
図17に、nFET部108における酸化物層302の一部を露出させる、ゲート・スタックのnFET部108からキャップ層602とスカベンジング層902と窒化物層802との露出部分を除去するリソグラフィ・パターン形成およびエッチング・プロセス後の、結果として得られる構造を示す。
図18に、nFET部108における酸化物層302とpFET領域110におけるキャップ層602との上方に堆積させる障壁層402の堆積を示す。
図19に、nFET部108とpFET部110とにおける障壁層402の上方へのゲート金属502の堆積を示す。
図20に、ゲート金属層502の上方へのPWF層1002の堆積後の、結果として得られる構造を示す。PWF層1002の形成後に、上述と同様にしてPWF層1002の上方にゲート電極1102が形成され、例えば化学機械研磨などの適合する平坦化プロセスを使用して平坦化される。
図20は、nFET部108とpFET部110とを含むゲート・スタック2000の別の代替実施形態を示す。
図21ないし図24に、別の代替ゲート・スタックを形成する別の方法を示す。
図21は、上述の図16と類似しており、nFET部108とpFET部110とを有するゲート・スタックの形成を示す。この例示のゲート・スタックの作製は、基板またはフィン上への酸化物(誘電体)層302の堆積から始まり、酸化物層302上に窒化物層802を堆積させる。例えば、TiAlCまたはTiAl、Ti、Al、TiAlC、NbAlCを含み得るスカベンジング層902を、窒化物層802の上方に堆積させる。例えばTiNを含み得るキャップ層602を、スカベンジング層902の上方に堆積させる。
図22に、ゲート・スタックのnFET部108から、キャップ層602とスカベンジング層902との露出部を除去するリソグラフィ・パターン形成およびエッチング・プロセス後の、結果として得られる構造を示す。エッチング・プロセスは、ゲート・スタックのnFET部108における窒化物層802を露出させる。
図23に、ゲート・スタックのnFET部108における窒化物層802の上方とpFET部110におけるキャップ層602の上方へのゲート金属層502の堆積後の、結果として得られる構造を示す。
図24に、ゲート金属層502の上方へのPWF層1002の堆積後の、結果として得られる構造を示す。PWF層1002の堆積に続いて、上述と同様にしてPWF層1002の上方にゲート電極1102が形成され、例えば化学機械研磨などの適合する平坦化プロセスを使用して平坦化される。
図24は、nFET部108とpFET部110とを含む別の代替ゲート・スタック2400を示す。
図25に、フィンFETデバイスの実施形態の上面図を示す。なお、フィン112および114は基板102上に配置されている。ゲート・スタック2400は、フィン112および114のチャネル領域の上方に配置されている。フィン112および114は、例えばイオン注入プロセスまたはエピタキシャル成長プロセスによって形成することができるソース/ドレイン領域2502を含む。図25に示す実施形態は、ゲート・スタック2400を含むが、フィン112および114の上方に上述のようなゲート・スタック1100、1500または2000のいずれでも形成することができる。代替として、ゲート・スタック1100、1500、2000または2400は、半導体基板によって部分的に画定し得るソース領域およびドレイン領域を有するプレーナFETを形成するために、半導体基板上に形成することができる。
図26に図25の線B−Bに沿った、フィン112上のゲート・スタック2400の切断図を示す。図27に、図25の線C−Cに沿った、フィン114上のゲート・スタック2400の切断図を示す。
図28に、図1ないし図11における上述の半導体デバイスを形成する方法のブロック図を示す。図28を参照すると、ブロック2802で、デバイスのチャネル領域の上方に第1の誘電体層を形成する。ブロック2804で、第1の誘電体層の上方に障壁層を形成する。ブロック2806で、障壁層の上方に第1のゲート金属層を形成する。ブロック2808で、第1の金属層の上方にキャップ層を形成する。ブロック2810で、ゲート・スタックのp型電界効果トランジスタ(pFET)領域における第1の誘電体層の一部を露出させるために、障壁層と第1のゲート金属層とキャップ層との一部を除去する。ブロック2812で、キャップ層と第1の誘電体層との露出部上に、第1の窒化物層を堆積させる。ブロック2814で、第1の窒化物層上にスカベンジング層を堆積させる。ブロック2816で、スカベンジング層上に第2の窒化物層を堆積させる。ブロック2818で、第2の窒化物層上にゲート電極材料を堆積させる。
本明細書に記載の方法および実施形態は、例えばTiNおよびTaNなどの単一の金属窒化物層とは異なり、pVtを規定し、調整するために比較的弱い酸素スカベンジ・スタックを備えたゲート・スタックを提供する。この弱い酸素スカベンジ・スタックは、堆積または一体化によって形成することができ、例えば、TiNまたはTaNなどの障壁層と、TiAlCまたはTiAl、Ti、Al、TiAlC、NbAlCなどの強い酸素スカベンジ材料とを含み得る。
本発明の様々な実施形態の説明は例示のために示したものであり、網羅的であること、または開示している実施形態に限定することを意図したものではない。当業者には、記載されている実施形態の範囲から逸脱することなく多くの変更および変形が明らかであろう。本明細書で使用されている用語は、実施形態の原理、実用化、または市場に見られる技術の技術的改良を最もよく説明するため、または、他の当業者が本明細書に開示されている実施形態を理解することができるようにするために選定されたものである。

Claims (16)

  1. 半導体デバイスのゲート・スタックを作製する方法であって、
    前記半導体デバイスのチャネル領域の上方に第1の誘電体層を形成することと、
    前記第1の誘電体層の上方に第1の窒化物層を形成することと、
    前記第1の窒化物層の上方に第1のゲート金属層を形成することと、
    前記第1のゲート金属層の上方にキャップ層を形成することと、
    前記ゲート・スタックのp型電界効果トランジスタ(pFET)領域における前記第1の窒化物層の一部を露出させるために、前記キャップ層と前記第1のゲート金属層との一部を除去することと、
    前記第1の窒化物層と前記キャップ層との上にスカベンジング層を堆積させることと、
    前記スカベンジング層上に第2の窒化物層を堆積させることと、
    前記第2の窒化物層上にゲート電極材料を堆積させることと
    を含む方法。
  2. 前記第1の誘電体層は、酸化物材料を含む、請求項1に記載の方法。
  3. 前記第1のゲート金属層はTiAlCを含む、請求項1又は2に記載の方法。
  4. 前記第1のゲート金属層はTiAlを含む、請求項1又は2に記載の方法。
  5. 前記第1の窒化物層はTiNを含む、請求項1乃至4のいずれかに記載の方法。
  6. 前記第1の窒化物層はTaNを含む、請求項1乃至4のいずれかに記載の方法。
  7. 前記第2の窒化物層はTiNを含む、請求項1乃至6のいずれかに記載の方法。
  8. 前記ゲート電極材料はWを含む、請求項1乃至7のいずれかに記載の方法。
  9. 前記ゲート・スタックを形成する前に、前記ゲート・スタックに隣接するソース/ドレイン領域を形成することをさらに含む、請求項1乃至8のいずれかに記載の方法。
  10. 前記ゲート・スタックを形成する前に、
    前記半導体デバイスの前記チャネル領域の上方に犠牲ゲート・スタックを形成することと、
    前記犠牲ゲート・スタックの側壁に沿ってスペーサを形成することと、
    前記犠牲ゲート・スタックに隣接して前記半導体デバイスのソース/ドレイン領域を形成することと、
    前記スペーサの周囲に絶縁材料の層を形成することと、
    前記半導体デバイスの前記チャネル領域を露出させるために前記犠牲ゲート・スタックを除去することと
    をさらに含む、請求項1乃至9のいずれかに記載の方法。
  11. 半導体デバイスであって、前記半導体デバイスのチャネル領域の上方に配置されたゲート・スタックを含み、前記ゲート・スタックはn型電界効果トランジスタ(nFET)部を含み、
    前記nFET部は、
    基板上に配置された誘電体層と、
    前記誘電体層上に配置された第1の窒化物層と、
    前記第1の窒化物層上に配置された第1のゲート金属層と、
    前記第1のゲート金属層上に配置されたキャップ層と、
    前記キャップ層上に配置されたスカベンジング層と、
    前記スカベンジング層上に配置された第2の窒化物層と、
    前記第2の窒化物層上に配置されたゲート電極と
    を含む、半導体デバイス。
  12. p型電界効果トランジスタ(pFET)部をさらに含み、前記pFET部は、
    前記基板上に配置された前記誘電体層と、
    前記誘電体層上に配置された前記第1の窒化物層と、
    前記第1の窒化物層上に配置された前記スカベンジング層と、
    前記スカベンジング層上に配置された前記第2の窒化物層と、
    前記第2の窒化物層上に配置された前記ゲート電極と
    を含む、請求項11に記載の半導体デバイス。
  13. 前記誘電体層は酸化物材料を含む、請求項11又は12に記載の半導体デバイス。
  14. 前記ゲート電極はWを含む、請求項11乃至13のいずれかに記載の半導体デバイス。
  15. 前記ゲート・スタックに隣接して配置されたソース/ドレイン領域をさらに含む、請求項11乃至14のいずれかに記載の半導体デバイス。
  16. 前記半導体デバイスの前記チャネル領域は、前記基板上に配置された半導体フィンによって部分的に画定される、請求項11乃至15のいずれかに記載の半導体デバイス。
JP2018535118A 2016-01-15 2016-11-22 半導体デバイスのゲート・スタック作製方法および半導体デバイス Active JP6681471B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/996,572 US9859169B2 (en) 2016-01-15 2016-01-15 Field effect transistor stack with tunable work function
US14/996,572 2016-01-15
PCT/IB2016/057033 WO2017122066A1 (en) 2016-01-15 2016-11-22 Field effect transistor stack with tunable work function

Publications (2)

Publication Number Publication Date
JP2019503585A JP2019503585A (ja) 2019-02-07
JP6681471B2 true JP6681471B2 (ja) 2020-04-15

Family

ID=59310867

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018535118A Active JP6681471B2 (ja) 2016-01-15 2016-11-22 半導体デバイスのゲート・スタック作製方法および半導体デバイス

Country Status (6)

Country Link
US (3) US9859169B2 (ja)
JP (1) JP6681471B2 (ja)
CN (1) CN108475693B (ja)
DE (1) DE112016004645T5 (ja)
GB (1) GB2562945B (ja)
WO (1) WO2017122066A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9859169B2 (en) 2016-01-15 2018-01-02 International Business Machines Corporation Field effect transistor stack with tunable work function
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
CN112635401A (zh) * 2019-09-24 2021-04-09 长鑫存储技术有限公司 晶体管的形成方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130032886A1 (en) 2011-08-01 2013-02-07 International Business Machines Corporation Low Threshold Voltage And Inversion Oxide Thickness Scaling For A High-K Metal Gate P-Type MOSFET
US8114739B2 (en) * 2009-09-28 2012-02-14 Freescale Semiconductor, Inc. Semiconductor device with oxygen-diffusion barrier layer and method for fabricating same
US8440520B2 (en) * 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US9337303B2 (en) 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US8716088B2 (en) 2012-06-27 2014-05-06 International Business Machines Corporation Scavenging metal stack for a high-K gate dielectric
KR101913434B1 (ko) * 2012-06-29 2018-10-30 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9093558B2 (en) * 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US9496143B2 (en) 2012-11-06 2016-11-15 Globalfoundries Inc. Metal gate structure for midgap semiconductor device and method of making same
US8778789B2 (en) 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US8932923B2 (en) 2013-02-19 2015-01-13 Globalfoundries Inc. Semiconductor gate structure for threshold voltage modulation and method of making same
US9190409B2 (en) 2013-02-25 2015-11-17 Renesas Electronics Corporation Replacement metal gate transistor with controlled threshold voltage
US8802527B1 (en) 2013-03-15 2014-08-12 International Business Machines Corporation Gate electrode optimized for low voltage operation
US9012319B1 (en) * 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
KR102190673B1 (ko) 2014-03-12 2020-12-14 삼성전자주식회사 중간갭 일함수 금속 게이트 전극을 갖는 반도체 소자
US10109534B2 (en) 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
TWI653762B (zh) * 2014-10-08 2019-03-11 聯華電子股份有限公司 具有金屬閘極之半導體元件之製作方法
KR102358318B1 (ko) * 2015-06-04 2022-02-04 삼성전자주식회사 멀티 일함수 게이트 패턴들을 갖는 반도체 소자
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9859169B2 (en) 2016-01-15 2018-01-02 International Business Machines Corporation Field effect transistor stack with tunable work function

Also Published As

Publication number Publication date
US20180047640A1 (en) 2018-02-15
GB201812599D0 (en) 2018-09-19
US10312157B2 (en) 2019-06-04
US9859169B2 (en) 2018-01-02
DE112016004645T5 (de) 2018-06-28
CN108475693A (zh) 2018-08-31
GB2562945B (en) 2020-08-12
GB2562945A (en) 2018-11-28
JP2019503585A (ja) 2019-02-07
WO2017122066A1 (en) 2017-07-20
US20180047639A1 (en) 2018-02-15
CN108475693B (zh) 2021-12-24
US10249543B2 (en) 2019-04-02
US20170207131A1 (en) 2017-07-20

Similar Documents

Publication Publication Date Title
US9640436B1 (en) MOSFET with asymmetric self-aligned contact
US7763510B1 (en) Method for PFET enhancement
US9431399B1 (en) Method for forming merged contact for semiconductor device
US11031301B2 (en) Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages
US9773709B2 (en) Forming CMOSFET structures with different contact liners
US9640536B2 (en) Method to make dual material finFET on same substrate
US9515141B1 (en) FinFET device with channel strain
JP6930979B2 (ja) 半導体デバイスのゲート・スタック作製方法および半導体デバイス
JP6681471B2 (ja) 半導体デバイスのゲート・スタック作製方法および半導体デバイス
JP6817312B2 (ja) 半導体デバイスのゲート・スタック作製方法および半導体デバイス
US9793161B2 (en) Methods for contact formation for 10 nanometers and beyond with minimal mask counts
US10079182B2 (en) Field effect transistor gate stack
CN107437527B (zh) 使用硅化物的通过接触部
US11322602B2 (en) Vertical field-effect transistor (VFET) devices and methods of forming the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190419

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200302

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200317

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200323

R150 Certificate of patent or registration of utility model

Ref document number: 6681471

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150