DE112013004235T5 - Metrologie der Wellenfrontaberation von Optiken von EUV-Maskeninspektionssystemen - Google Patents

Metrologie der Wellenfrontaberation von Optiken von EUV-Maskeninspektionssystemen Download PDF

Info

Publication number
DE112013004235T5
DE112013004235T5 DE112013004235.5T DE112013004235T DE112013004235T5 DE 112013004235 T5 DE112013004235 T5 DE 112013004235T5 DE 112013004235 T DE112013004235 T DE 112013004235T DE 112013004235 T5 DE112013004235 T5 DE 112013004235T5
Authority
DE
Germany
Prior art keywords
layer
test structure
multilayer stack
pairs
inspection system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112013004235.5T
Other languages
English (en)
Inventor
Qiang Zhang
Yanwei Liu
Abdurrahman (Apo) Sezginer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of DE112013004235T5 publication Critical patent/DE112013004235T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • G01J1/4257Photometry, e.g. photographic exposure meter using electric radiation detectors applied to monitoring the characteristics of a beam, e.g. laser beam, headlamp beam
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/0825Multilayer mirrors, i.e. having two or more reflecting layers the reflecting layers comprising dielectric materials only
    • G02B5/0833Multilayer mirrors, i.e. having two or more reflecting layers the reflecting layers comprising dielectric materials only comprising inorganic materials only
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K7/00Gamma- or X-ray microscopes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Testing Of Optical Devices Or Fibers (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Microscoopes, Condenser (AREA)

Abstract

Offenbart ist eine Teststruktur zur Messung von Wellenfrontaberration eines extremen Ultraviolett (EUV) Inspektionssystems. Die Teststruktur umfasst ein Substrat aus einem Material mit im Wesentlichen keinen Reflexionsvermögen für EUV-Licht, und einen Abschnitt eines Mehrschichtstapels, der als eine Säule auf dem Substrat ausgebildet ist. Ebenso ist eine Vielzahl von alternierenden Paaren von Schichten mit unterschiedlichen Brechungsindizes vorgesehen, um das EUV-Licht zu reflektieren, die paarweise eine Anzahl von gleich oder weniger als 15 haben.

Description

  • VERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der provisorischen US-Anmeldung 61/694,919, mit dem Titel „Test Mask for In-Situ Wave Front Aberration Metrology of Projection Optics for EUV Actinic Photomask Inspection“, eingereicht am 30 August 2012 durch Qiang Zhang et al., wobei der Inhalt dieser Anmeldung durch Bezugnahme hier aufgenommen ist.
  • HINTERGRUND DER ERFINDUNG
  • Die vorliegende Erfindung bezieht sich allgemein auf das Gebiet der Inspektionssysteme und Metrologiesysteme mittels extremen Ultraviolett (EUV). Insbesondere bezieht sich die vorliegende Erfindung auf Wellenfontmetrologie für EUV-Inspektionssysteme.
  • BESCHREIBUNG DES STANDES DER TECHNIK
  • Im Allgemeinen schließt die Industrie der Halbleiterherstellung sehr komplexe Techniken zum Herstellen integrierter Schaltungen unter Verwendung von Halbleitermaterialien ein, die auf ein Substrat, wie beispielsweise Silizium, geschichtet und gemustert sind. Eine integrierte Schaltung wird in der Regel aus einer Vielzahl von Strichplatten oder Masken hergestellt. Anfänglich stellen Schaltungsentwickler die Daten von Schaltungsmustern bereit, die ein bestimmtes Design einer integrierte Schaltung (IC) für ein Produktionssystem für Photomasken beschreiben, das die Musterdaten in eine Vielzahl von Photomasken umwandelt. Ein aufkommender Typ von Photomasken ist eine Photomaske für extremes Ultraviolet (EUV), die aus mehreren, überwiegend reflektierenden Schichten und einer strukturierten Absorberschicht besteht.
  • Aufgrund des großen Ausmaßes der Schaltungsintegration und der abnehmenden Größe der Halbleiterbauelemente werden die Masken und hergestellten Bauelemente immer mehr empfindlich auf Defekte. Diese Defekte, wenn nicht korrigiert, können dazu führen, dass das fertige Gerät durch elektrische Taktfehler nicht die gewünschte Leistung bringt. Noch schlimmer ist, dass solche Fehler beim fertigen Gerät zu Fehlfunktionen führen und sich negativ auf den Ertrag auswirken.
  • Es wäre von Vorteil, verbesserte Testelemente, eine Vorrichtung und Techniken zu haben, die die Inspektion von EUV Masken ermöglichen.
  • ZUSAMMENFASSUG DER ERFIDNUNG
  • Das Folgende stellt eine vereinfachte Zusammenfassung der Offenbarung dar, um ein grundlegendes Verständnis von bestimmten Ausführungsformen der Erfindung bereitzustellen. Diese Zusammenfassung ist kein umfassender Überblick über die Offenbarung und es identifiziert nicht Schlüsselelemente oder kritische Elemente der Erfindung oder begrenzen den Schutzumfang der Erfindung. Ihr einziger Zweck ist, einige Konzepte hier in vereinfachter Form als Einleitung zu der detaillierteren Beschreibung, die später vorgestellt wird, zu präsentieren
  • Eine Teststruktur zum Messen der Wellenfrontaberration eines extremen Ultraviolett (EUV)-Inspektionssystem ist offenbart. Die Teststruktur enthält ein Substrat aus einem Material mit im Wesentlichen keinen Reflexionsvermögen für EUV-Licht und hat einen Abschnitt eines Mehrschichtstapels auf dem Substrat mit einer Vielzahl von alternierenden Paaren von Schichten mit unterschiedlichen Brechungsindizes ausgebildet, so dass das EUV-Licht reflektiert wird. Der Abschnitt des Mehrschichtstapels ist derart auf dem Substrat angeordnet, um einen Teil des Substrats zu exponieren. In bestimmten Ausführungsformen haben die Paare eine Anzahl von gleich oder kleiner als 15. In einem weiteren Aspekt ist die Anzahl der Paare gleich oder kleiner als 10, bei einer noch anderen Ausführungsform, weniger als 5.
  • In einer speziellen Implementierung, umfasst jedes Paar (i) eine Molybdän (Mo)-Schicht und eine Silizium (Si)-Schicht, (ii) eine Ruthenium (Ru)-Schicht und eine Si-Schicht, oder (iii) eine Mo-Schicht und eine Si-Schicht, die mit einer Sperrschicht auf Kohlenstoff (C)-Basis abgeschlossen ist. In einem weiteren Aspekt umfasst die Teststruktur eine Deckschicht über den Abschnitt des Mehrschichtstapels, die aus einem Material besteht, das die Oxidation verhindert, wobei der Abschnitt dese Mehrschichtstapels im Wesentlichen transparent ausgebildet ist. In einer anderen Ausführungsform sind die abwechselnden Paare von Schichten des Abschnitt eines Mehrschichtstapels nicht periodisch und jedes alternierende Paar hat eine Dicke, die optimiert ist, so dass das von der Teststruktur gebeugte EUV-Licht einen Bereich einer Eintrittspupille des Inspektionssystems im wesentlichen ausfüllt und/oder ein optimiertes Spitzenreflexionsvermögen besitzt.
  • In einem speziellen Beispiel ist eine Periode des Abschnitts des Mehrschichtstapels zwischen etwa 7 und 7,5 nm. Gemäß einem weiteren Merkmal weist der Abschnitt des Mehrschichtstapels eine Zusammensetzung auf, die einen hohen Kontrast zwischen dem Abschnitt des Mehrschichtstapels und dem Substrat liefert, wenn es mit EUV-Licht abgebildet wird. In einer Ausführungsform weist der Abschnitt des Mehrschichtstapels eine Dicke gleich oder kleiner als 75 nm auf. In einem anderen Aspekt weist das Substrat einen Brechungsindex, der in Reflektivität des EUV-Lichts von weniger als 0,1% resultiert, auf. In noch einer anderen Ausführungsform umfasst die Teststruktur eine konforme Schicht über den Abschnitt des Mehrschichtstapels und den Seitenwänden, wobei die konforme Schicht eine geringe Diffusionsfähigkeit für Sauerstoff besitzt und im Wesentlichen transparent ist. In einem weiteren Aspekt ist der Abschnitt des Mehrschichtstapels eine Säule mit einen Durchmesser von weniger als 100 nm.
  • In einer alternativen Ausführungsform betrifft die Erfindung ein Verfahren zum Bilden einer Teststruktur zum Messen der Wellenfrontaberration eines extremen Ultraviolett (EUV) Inspektionssystems. Das Verfahren umfasst (i) das Abscheiden einer Vielzahl von abwechselnden Paaren aus einer ersten Schicht und einer zweiten Schicht, die EUV-Licht reflektierend sind, und (ii) das Strukturieren der Vielzahl von abwechselnden Paaren der ersten Schicht und der zweiten Schicht, um eine mehrschichtige Form des Abschnitts des Mehrschichtstapels zu bilden. Die Paare der ersten und zweiten Schichten haben eine Anzahl gleich oder kleiner als 10.
  • In einer speziellen Ausführungsform, wird die Vielzahl von alternierenden Paaren der ersten und zweiten Schicht durch (i) Ausbilden einer Hartmaskenschicht über der Mehrzahl von alternierenden Paaren der ersten und zweiten Schicht strukturiert; (ii) Ausbilden und Belichten eines Photoresists über der Hartmaskenschicht, um ein Resistmuster auszubilden; (iii) mit dem Resistmuster wird die Hartmaskenschicht geätzt, um ein Hartmaskenmuster auszubilden; (iv) Ätzen mit dem Hartmaskenmuster der Vielzahl von abwechselnden Paaren der ersten und zweiten Schicht, um den Abschnitt des Mehrschichtstapels zu bilden; und (v) Entfernen der Hartmaskenmuster. In einem weiteren Aspekt ist die Hartmaskenschicht Chrom. In noch einem weiteren Aspekt wird eine auf Chlor/Sauerstoff basierte Chemie verwendet, um die Hartmaskenschicht zu ätzen. In einer anderen Ausführungsform wird eine auf Schwefelhexafluorid basierende Chemie verwendet, um die Vielzahl von abwechselnden Paaren der ersten und zweiten Schicht zu ätzen, um den Abschnitt des Mehrschichtstapels zu bilden. In einem anderen Beispiel wird die Mehrzahl von alternierenden Paaren der ersten und zweiten Schicht unter Verwendung eines fokussierten Ionenstrahls verwendet, um die Vielzahl von alternierenden Paaren der ersten und zweiten Schicht zu ätzen und den Abschnitt des Mehrschichtstapels auszubilden.
  • In einer anderen Ausführungsform umfasst das Verfahren das Strukturieren der Vielzahl von abwechselnden Paaren der ersten Schicht und der zweiten Schicht, um eine Vielzahl von Abschnitten des Mehrschichtstapels zu bilden. In dieser Ausführungsform wird die Vielzahl der Abschnitte des Mehrschichtstapels durch Anwenden eines photolithographischen Verfahrens erzeugt. Ein anschließender Ätzprozess wird auf die Vielzahl von alternierenden Paaren von einer ersten Schicht und einer zweiten Schicht angewendet, um eine Vielzahl einer Vorform der Abschnitte des Mehrschichtstapels zu bilden. Eine Photolithographie mit einem fokussierten Ionenstrahl wird dann auf die Vorform der Abschnitte des Mehrschichtstapels angewendet, um die Abschnitte des Mehrschichtstapels zu bilden, die eine kleinere Breite als die Vorform der Abschnitte des Mehrschichtstapels haben.
  • In einer anderen Ausführungsform weist eine Teststruktur ein Substrat und mehrere alternierende Paare von Schichten mit unterschiedlichen Brechungsindizes auf, so dass das EUV-Licht reflektiert wird, wobei die Paare eine Anzahl von gleich oder kleiner als 15 haben. Eine Absorberschicht ist über den mehreren abwechselnden Paaren von Schichten ausgebildet. Die Absorberschicht hat ein Loch darin ausgebildet, um einen Teil der darunterliegenden mehreren abwechselnde Schichtpaare zu exponieren. In einem weiteren Aspekt besteht die Absorberschicht aus Tantalnitrid (TaN), Chrom (Cr), Platin (Pt) oder Nickel (Ni). In einem anderen Beispiel weist die Absorberschicht eine Dicke auf, die gleich oder kleiner als etwa 100 nm ist.
  • In einer weiteren Ausführungsform betrifft die Erfindung ein Inspektionssystem, das eine oder mehrere Beleuchtungselemente zum Richten eines einfallenden EUV-Strahls auf eine Teststruktur umfasst. Die Teststruktur umfasst ein Substrat aus einem Material mit im Wesentlichen keinem Reflexionsvermögen für EUV-Licht und einen mehrschichtig ausgebildeten Abschnitt des Mehrschichtstapels auf dem Substrat. Ferner ist eine Vielzahl von alternierenden Paaren von Schichten mit unterschiedlichen Brechungsindizes vorgesehen, um das EUV-Licht zu reflektieren, wobei die Paare eine Anzahl von gleich oder weniger als 15 haben. Das System enthält auch eine oder mehrere Abbildungselemente zum Erfassen eines Ausgangsstrahls von der Teststruktur und zum Erzeugen eines Bildes oder eines Signals auf der Basis des Ausgangsstrahls, wobei der Ausgangsstrahl von der Teststruktur in Reaktion auf den einfallenden Strahl auf die Teststruktur ausgeht, und ein Prozessor zum Analysieren des Bildes oder ein Signal so konfiguriert ist, um die Wellenfrontaberration im Wesentlichen quer über eine Pupille des Inspektionssystems zu messen. In einer Ausführungsform weist das System eine numerische Apertur (NA) von größer als 0,1 auf. In anderen Beispielen umfasst die Teststruktur eines oder mehrere der oben genannten Merkmale.
  • Diese und andere Aspekte der Erfindung werden weiter unten unter Bezugnahme auf die Figuren beschrieben.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1A ist eine vereinfachte und schematische Darstellung einer Seitenansicht einer beispielhalten EUV Maske.
  • 1B ist eine Darstellung einer perspektivischen Seitenansicht einer EUV-Maske und eines Wafers in einem EUV-Photolithographie-Verfahren.
  • 2 ist eine schematische Darstellung eines aktinischen Inspektionswerkzeugs, bei dem Verfahren der vorliegenden Erfindung implementiert werden können.
  • 3A ist eine schematische Seitenansicht einer diagnostischen Maske mit einer Mehrfachschicht (ML) Säulenstruktur zur Messung von EUV-Wellenfrontaberration in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung.
  • 3B ist eine perspektivische Ansicht der Mehrfachschicht-Säulenstruktur aus 3A.
  • 4A4G zeigen ein Verfahren zum Herstellen eine Mehrfachschicht-Säulenstruktur zur Messung der Wellenfrontaberration eines EUV-Inspektionswerkzeugs in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung.
  • 5 ist eine Seitendarstellung einer Lochstruktur zur Messung der Wellenfrontaberration eines EUV-Inspektionswerkzeug in Übereinstimmung mit einer zweiten Ausführungsform der vorliegenden Erfindung.
  • 6 zeigt Vergleiche des Intensitätskontrasts für unterschiedlich große, aus mehreren Schichten bestehende Säulen und Pinhole-Teststrukturen in Übereinstimmung mit einer beispielhaften Ausführung der vorliegenden Erfindung.
  • 7A7G zeigen die berechneten und winkelaufgelösten Reflexionskurven für verschiedene Mo/Si-Mehrschicht-Designs, die in Ausführungsformen der vorliegenden Erfindung verwendet werden können.
  • 8A8F zeigen verschiedene Pupillenbilder mit einer mehrschichtigen Säule oder einer Pinhole-Teststruktur gemäß Ausführungsformen der vorliegenden Erfindung.
  • DETAILLIERTE BESCHREIBUNG BEISPIELHAFTER AUSFÜHRUNGSFOMEN
  • In der folgenden Beschreibung werden zahlreiche spezifische Details dargelegt, um ein gründliches Verständnis der vorliegenden Erfindung bereitzustellen. Die vorliegende Erfindung kann ohne einige oder alle dieser spezifischen Details praktiziert werden. In anderen Fällen sind allgemein bekannte Komponenten oder Verfahrensschritte nicht im Detail beschrieben, um nicht unnötig die vorliegende Erfindung zu verschleiern. Während die Erfindung in Verbindung mit den spezifischen Ausführungsbeispielen beschrieben wird, versteht es sich, dass es nicht beabsichtigt ist, die Erfindung auf die Ausführungsformen zu beschränken.
  • EINFÜHRUNG
  • Ein Lithographieprozess mit extremen Ultraviolet (EUV) verwendet üblicherweise einen EUV-Maskentyp, der dazu ausgelegt ist, die Strukturierung auf einem Wafer bei EUV-Wellenlängen, wie z.B. 13,5 nm, zu ermöglichen. 1A ist eine schematische Darstellung einer Seitenansicht eines Teils eines Beispiels einer EUV-Maske, die zur Herstellung eines Musters bei einem Halbleiter-Wafer verwendet werden kann. Wie gezeigt, kann die EUV-Maske 100 ein Substrat 102, wie z.B. eine Glasplatte mit niedriger Wärmeausdehnung (LTE) oder extrem niedriger Wärmeausdehnung (ULE), umfassen.
  • Das Substrat ist mit mehreren Schichten 104 aus Materialien bedeckt, um mäßige Reflektivität (beispielsweise 60–70% oder mehr) bei der EUV-Wellenlänge zum Ausführen einer lithographischen Belichtung bei EUV-Wellenlängen bereitzustellen. Der Mehrschichtstapel 104 dient als Bragg-Reflektor, der die Reflexion von EUV-Strahlung maximiert und gleichzeitig ein schlechter Absorber für die EUV-Strahlung ist. Reflexion tritt im Allgemeinen an Grenzflächen zwischen Materialien mit unterschiedlichen Brechungsindizes auf, wobei höhere Unterschiede mehr Reflektivität verursachen. Obwohl Brechungsindizes für Materialien, die sehr geringen Wellenlängen ausgesetzt sind, etwa gleich 1 sind, kann eine signifikante Reflexion durch die Verwendung von mehreren Schichten, mit alternierenden Schichten unterschiedlicher Brechungsindizes, erzielt werden. Der Mehrschichtstapel kann auch niedrige Absorptionseigenschaften besitzen, so dass die einfallende Strahlung mit geringem Verlust reflektiert wird. Bei der Herstellung der Masken können die mehreren Schichten 104 aus zwischen etwa 30 und 40 (oder 40 bis 50) alternierenden Paaren aus Molybdän (Mo)- und Silizium (Si)-Schicht mit etwa einem 7-Nanometer-Pitch angeordnet sein.
  • Die mehreren Schichten 104 können mit einer Deckschicht 106, wie z.B. Ru, abgedeckt werden, um die Oxidation der darunterliegenden, aus mehreren Schichten bestehenden Reflektor-Schicht zu verhindern. In anderen Ausführungsformen kann eine EUV-Maske einen Quarz, eine Antireflexbeschichtung (ARC) für tiefes UV (DUV) und andere Merkmale umfassen. Ein Muster (wie z.B. 108a und 108b) wird in einer Absorberschicht, die über den Mehrfachschichten 104 angeordnet ist, ausgebildet. Zum Beispiel fungiert ein Tantal-Bornitrid-Film, der mit einem dünnen Antireflex-Oxid abgedeckt wird, als ein EUV-Absorber. Das Material oder die Materialien, die für das Muster der Maske verwendet werden, können so ausgewählt werden, dass sie nahezu Ätzverzerrung (etch bias) aufweisen, um ultrafeine Rasterungsmerkmale zu erzielen.
  • Im Allgemeinen kann jeder geeignete EUV-Photolithographie-Prozess implementiert werden, um eine Photoresistschicht auf einem Wafer über eine EUV Maske zu belichten. 1B zeigt eine perspektivische Seitenansicht einer Maske und eine Wafers in einem EUV-Photolithographie-Prozess. Die bei einem Photolithographie-System verwendete Lichtquelle kann jede geeignete Strahlung erzeugen, die zur Verwendung mit EUV-Masken geeignet ist. Zum Beispiel können die EUV-Wellenlängen zwischen etwa 11 nm bis 14 nm oder weiche Röntgenwellenlängen verwendet werden. In einer bestimmten Implementierung wird eine Wellenlänge von etwa 13,5 nm hergestellt.
  • Während der Photolithographie wird Strahlung 156, die von den mehrfachen Schichten 104 einer EUV-Maske reflektiert wird, in einer Photoresistschicht 152 auf einem Wafer 154 absorbiert. Die absorbierte Strahlung produziert Photosäuren (H+) und verstärkte Photosäuren (beispielsweise 158a und 158b), die ein belichtetes Muster in der Photoresistschicht 152 auf dem Wafersubstrat 154 ausbilden, das dem Absorbermuster in der Schicht, wie z.B. 108a, der EUV-Maske entspricht, wenn das Photoresist entwickelt wird. Reflektierende Abbildungsoptiken zwischen der EUV-Maske und dem Wafer sind in 1B weggelassen.
  • BEISPIELE FÜR INSPEKTIONSSYSTEME
  • 2 ist eine schematische Darstellung eines aktinischen EUV-Inspektionswerkzeugs 200, bei dem Techniken der vorliegenden Erfindung implementiert werden können. Das Inspektionswerkzeug 200 kann im Allgemeinen eine oder mehrere Beleuchtungselemente 201 zum Richten eines einfallenden EUV-Strahls auf eine Teststruktur umfassen. Wie hier weiter beschrieben ist, sind ein oder mehrere Abbildungselemente 209 zum Erfassen eines Ausgangsstrahls von der Teststruktur vorgesehen, die zum Erzeugen eines Bildes oder ein auf dem Ausgangsstrahl basierendes Signal dienen. Der Ausgangsstrahl geht von der Teststruktur in Reaktion auf den auf die Teststruktur einfallenden Strahl aus.
  • In dem dargestellten Beispiel, umfassen die Beleuchtungselemente 201 eine Lichtquelle 202, die für die Inspektion einer EUV-Maske geeignet ist. Ein Beispiel für eine Lichtquelle ist ein quasi-kontinuierliche Laser. In bestimmten Ausführungsformen kann eine Lichtquelle in der Regel eine hohe Pulswiederholrate, geringes Rauschen, hohe Leistung, Stabilität, Zuverlässigkeit, und Erweiterbarkeit zur Verfügung stellen.
  • Die Beleuchtungselemente 201 können auch ein Strahllenkmittel für präzise Strahlpositionierung und ein Strahlformungsmittel, das zur Intensitätsregelung, Speckle-Rauschunterdrückung und hoher Strahleinheitlichkeit verwendet werden kann, umfassen. Die Strahllenkmittel und/oder die Strahlformungsmittel können separate physikalische Geräte, wie z.B. ein Laser, sein.
  • Ein Inspektionssystem kann jede geeignete Anzahl und Art von Beleuchtungselementen 201 zum Richten und Fokussieren eines einfallenden Lichtstrahls auf die zu inspizierende Oberfläche 212 umfassen. Der Einfachheit halber zeigt 2 nur eine Kondensoroptik 204. Jedoch wird ein Fachmann auf dem Gebiet verstehen, dass ein Inspektionssystem auch andere optische Elemente benötigt, um bestimmte Inspektionsfunktionen zu erreichen.
  • Die Probe 210 kann auch auf einem Tisch (nicht bezeichnet) des Inspektionssystems 200 angeordnet werden und das Inspektionssystem 200 kann auch einen Positionierungsmechanismus zum Bewegen des Tisches (und der Probe) relativ zu dem einfallenden Strahl oder zum Bewegen jeder anderen Komponente des Inspektionssystems 200 umfassen. Als Beispiele können ein oder mehrere motorische Mechanismen jeweils aus einem Gewindetrieb und Schrittmotor, Linearantrieb mit Rückstellung, oder Bandantrieb und Schrittmotor gebildet werden.
  • Nachdem der einfallende Strahl oder die einfallenden Strahlen auf die Probe 210 treffen, kann das Licht von der Probe 210 reflektiert und gestreut (oder gebeugt) werden, um ein „Ausgangslicht“ oder einen "Ausgangsstrahl" (oder mehrere Ausgangsstrahlen) zu bilden. Das Inspektionssystem umfasst auch alle geeigneten Anordnungen der Abbildungsoptiken zum Leiten des Ausgangslichts zu einem oder mehreren Detektoren. Die Abbildungsoptik 209 (und/oder Beleuchtungsoptik 201) kann auf verschiedene Pixelgrößen, wie z.B. weniger als etwa 100 nm für jedes Pixel oder, insbesondere weniger als etwa 75 nm oder sogar weniger als 60 nm, eingestellt werden.
  • Wie gezeigt, wird ein Ausgangsstrahl durch einen Detektor 214 über eine Projektionsoptik 208 empfangen. In bestimmten Ausführungsformen ist der Detektor 214 ein mittels zeitlich verschobener Signalintegration arbeitender „time delay integration“ (TDI) Detektor. Ein typischer TDI-Detektor akkumuliert mehrere Belichtungen des gleichen Bereichs der für die Inspektion vorgesehenen Oberfläche und erhöht effektiv die Integrationszeit zum Sammeln des einfallenden Lichts. Die Objektbewegung kann mit den Aufnahmen synchronisiert werden, um ein scharfes Bild zu gewährleisten. Im Allgemeinen kann ein Detektor Wandler, Kollektoren, ladungsgekoppelte Bauelemente (CCDs) oder andere Arten von Strahlungssensoren umfassen.
  • Der Beleuchtungslichtstrahl kann auf die Oberfläche 212 der Probe unter jeden geeigneten Winkel gerichtet werden, wie beispielsweise senkrechte und/oder schiefe Winkel. In diesen Ausführungsformen kann ein Dämpfungsglied im Pfad des Ausgangsstrahls vorgesehen sein, um eine Komponente nullter Ordnung des gestreuten Lichtstrahls vor dem Erreichen eines Detektors zu dämpfen. Weiterhin kann eine Abbildungsblende im Pfad des Ausgangsstrahls angeordnet werden, um die Phase der Komponente nullter Ordnung des Ausgangslichtstrahls zu verschieben. Eine Beleuchtungsblende kann auch im Beleuchtungspfad angeordnet werden, um verschiedene Beleuchtungsprofile zu erzielen.
  • Ein Detektor ist typischerweise mit einem Prozessorsystem 216 oder, allgemeiner, mit einer Signalverarbeitungsvorrichtung gekoppelt, die als Analog-Digital-Wandler konfiguriert ist, um analoge Signale von dem Detektor 214 in digitale Signale zur Verarbeitung zu konvertieren. Das Prozessorsystem 216 kann konfiguriert werden, um Intensität, Phase, Metrologieeigenschaften der Wellenfront und/oder andere Merkmale von einem oder mehreren reflektierten Strahlen zu analysieren. Das Prozessorsystem 216 kann so konfiguriert sein (wie z.B. mit Programmanweisungen), um eine Benutzerschnittstelle (beispielsweise einen Computer-Bildschirm) zum Anzeigen eines resultierenden Bildes, von gemessenen Signalen oder anderen Inspektionseigenschaften bereitzustellen. Das Prozessorsystem 216 kann auch eine oder mehrere Eingabevorrichtungen (beispielsweise eine Tastatur, Maus, Joystick) zum Bereitstellen von Eingaben umfassen. Das Prozessorsystem 216 kann auch mit dem Tisch zur Steuerung, wie z.B. eine Probenposition (beispielsweise die Fokussierung und Abtastung) und andere Metrologie oder der Inspektionsparameter und Konfigurationen der Elemente des Inspektionssystems gekoppelt sein. In bestimmten Ausführungsformen wird das Prozessorsystem 216 derart konfiguriert, um die Metrologie der Wellenfront, wie hierin beschrieben, auszuführen.
  • Das Inspektionswerkzeug kann im Allgemeinen derart betreibbar sein, um detektiertes Licht in detektierte Signale der entsprechenden Intensitätswerte umzuwandeln. Die erfassten Signale können die Form von einer elektromagnetischen Wellenform mit Amplitudenwerten, die unterschiedlichen Intensitätswerten an unterschiedlichen Stellen der Maske entsprechen, annehmen. Die erfassten Signale können auch in Form einer einfachen Liste der Intensitätswerte und der zugehörigen Positionen auf der Maske entsprechen. Die erfassten Signale können auch die Form eines Bildes mit unterschiedlichen Intensitätswerten haben, die den verschiedenen Positionen oder Abtastpunkten auf der Maske entsprechen. Ein Intensitätsbild kann erzeugt werden, nachdem alle Positionen auf der Maske gescannt und in detektierte Signale umgewandelt worden sind. Oder Positionen eines Intensitätsbildes können erzeugt werden nachdem jede Position auf der Maske gescannt wurde, wobei das endgültige Intensitätsbild komplettiert wird, nachdem die gesamte Maske abgetastet worden ist.
  • Das Inspektionssystem kann auch eine oder mehrere Inspektionssteuerung(en) und/oder Überprüfungsstation(en) für den Aufbau des Inspektionssystems und die Überprüfung der Defektdaten, Bilder und Abbildungen umfassen. Das Inspektionssystem kann typischerweise einen oder mehrere Mikroprozessoren mit integrierten Schaltungen enthalten. Ebenso kann auch eine Schnittstelle und/oder integrierte Speicherschaltungen vorgesehen sein und ferner mit einer oder mehreren geteilten und/oder globalen Speichereinrichtungen zum Speichern der Setup-Rezepte und Prüfergebnisse gekoppelt werden.
  • Eine oder mehrere Komponenten des Kontrollsystems oder der Review-Station kann ein speziell konfiguriertes Computersystem umfassen, das Programmbefehle/Computercodes zum Durchführen von verschiedenen hierin beschriebenen Operationen, die auf einem computerlesbaren Medium gespeichert werden, umfasst. Die folgenden Beispiele umfassen maschinenlesbare Medien, sind aber nicht darauf beschränkt: magnetische Medien, z.B. Festplatten, Disketten und Magnetband; optische Medien, wie z.B. CD-ROM-Disks; magneto-optische Medien, wie z.B. optische Platten; Hardwareeinrichtungen, die speziell konfiguriert sind, um Programminstruktionen zu speichern, wie z.B. Nur-Lese-Speichervorrichtungen (ROM) und einen Direktzugriffsspeicher (RAM). Beispiele für Programmanweisungen umfassen sowohl Maschinencodes, wie etwa durch einen Compiler erzeugt, und Dateien, die Codes höherer Ebene, die von dem Computer unter Verwendung eines Interpreters ausgeführt werden können.
  • Das Inspektionssystem 200 kann zur Inspektion von EUV-Masken sowie anderen Halbleiterproben, wie zum Beispiel Bauelementen, Wafern oder anderen Typen von Masken eingesetzt werden. Andere Arten von Proben, die unter Verwendung einer Inspektionsvorrichtung der vorliegenden Erfindung untersucht oder abgebildet werden können, umfassen jede Oberfläche, wie beispielsweise einen Flachbildschirm.
  • In den obigen Ausführungsformen der Inspektionssysteme kann der einfallende Strahl jede geeignete Form von Licht sein. Zusätzlich kann jede geeignete Linsenanordnung verwendet werden, um den einfallenden Strahl auf die Probe zu lenken und den Ausgangsstrahl von der Probe zu einem Detektor zu leiten. Der Ausgangsstrahl kann reflektiert oder von der Probe gestreut oder durch die Probe hindurchtreten, was abhängig ist von der speziellen Inspektions- oder Metrologieanwendung. Bei der EUV Maskeninspektion wird der Ausgangsstrahl von der Probe gestreut. Ebenso kann jeder geeignete Detektortyp oder Anzahl von Detektorelementen verwendet werden, um den Ausgangsstrahl zu empfangen und ein Bild oder ein Signal auf der Basis der Eigenschaften (beispielsweise Intensität) des empfangenen Ausgangsstrahls bereitzustellen.
  • In bestimmten Inspektionsanwendungen, können verschiedene räumliche Bereiche des einfallenden Lichts oder des erfassten Lichts selektiv aus jeder geeigneten Raumöffnung gestreut werden, um jegliches einfallende oder detektierte Lichtprofil zu irgendwelchen geeigneten Einfallswinkel zu erzeugen. Als Beispiele können programmierbare Beleuchtungs- bzw. Detektionsöffnungen verwendet werden, um ein bestimmtes Strahlprofil zu erzeugen, wie beispielsweise Dipol, Quadrupol, Quasar, Anulus, etc. In einem speziellen Beispiel können gepixelte Beleuchtungstechniken implementiert werden. Programmierbare Beleuchtungen und spezielle Blenden können dazu dienen, um den Kontrast für bestimmte Muster auf der Maske zu verbessern.
  • WELLENFRONT-METROLOGY
  • Obwohl die optischen Komponenten des Inspektionssystems zunächst für die Inspektion einer EUV-Maske eingestellt werden, könne die optischen Komponenten mit der Zeit aufgrund von Vibrationseffekten oder thermischen Effekten driften. Diese "Wellenfront"-Aberration schadet der Bildgebung der zu inspizierenden EUV-Maske. Dementsprechend erfordern die Optiken des Inspektionswerkzeugs zur Inspektion einer EUV-Maske eine Neupositionierung, um die Drift der optischen Wellenfrontaberration zu minimieren.
  • Bestimmte Ausführungsformen der vorliegenden Erfindung stellen eine Diagnose-Photomaske zur Messung und Überwachung der Wellenfrontaberration der Optik für aktinische Inspektion einer EUV-Maske oder Photomaske zu Verfügung. Insbesondere wird für die Messung dieser Wellenfrontaberration der gleiche Satz von Beleuchtungsoptik und Bildsensor des EUV aktinischen Inspektionssystems verwendet, wie es zur Bildherstellung der EUV-Masken verwendet wird. Um die Wellenfrontaberration in der Optik für die Inspektion der EUV-Masken zu messen, sind diese Diagnose-Maske im Allgemeinen für die Verwendung bei EUV-Licht geeignet, um die gleichen optischen Elemente zu nutzen, die für das EUV-Maskeninspektionssystem Verwendung finden. Somit wird in dieser Messung die Diagnosemaske an der gleichen Stelle sein, an der sich die zu inspizierenden Photomasken befinden würden.
  • Alle EUV-Photomasken sind von reflektierendem Typ. Die Diagnosemaske kann auch auf einem reflektierenden Design beruhen. Alternativ kann eine membranartige und transmissive EUV-Photomaske mit Pinholes als Testststrukturen verwendet werden, wobei dann schaltbare optische Elemente verwendet werden, die in und aus dem Pfad des Beleuchtungsstrahls gebracht werden können, um das Beleuchtungslicht auf die Rückseite der Diagnosemaske zu leiten. Das Ein- und Ausbringen der optischen Übertragungselemente würde einen Durchsatzverlust auslösen und die Kosten und die Komplexität des Inspektionssystems erhöhen. Weiterhin ist dies aus Platzgründen nicht immer möglich.
  • Eine Reihe von Problemen treten während der Wellenfrontmetrologie auf, wenn die Diagnosemaske mit ähnlichen EUV-Maskenstrukturen, wie bei der oben in den 1 und 2 beschriebenen EUV-Maskenherstellung, gebildet wird. Der mehrschichtige Reflektor einer Produktions-EUV-Maske ist in der Regel optimiert, um ein hohes Spitzenreflexionsvermögen für lithografische Zwecke zu erzielen und nicht die Winkelbandbreite zu maximieren. Somit wird die Wellenfrontaberration unter Verwendung dieser Art von Masken nur für die Projektionsoptik in Inspektionssystemen mit einer relativ kleinen numerischen Apertur (NA) verwendet, wie z.B. kleiner als etwa 0,1 wegen der Bandbreitenbegrenzung des Mehrschicht-Reflektors.
  • Darüber hinaus kann es schwierig sein, ein Gleichgewicht zwischen der Hintergrundunterdrückung, der Merkmalsauflösung und der Bildqualität bei der Messung der Wellenfrontaberration mit Hilfe von Testfunktionen, die in Absorbermaterialien ausgebildet sind, zu erreichen. Zur Erzielung einer geringen Intensität des Hintergrunds muss die Dicke des Absorbermaterials groß sein. Gleichzeitig erhöht ein dicker Absorber das Seitenverhältnis der Teststruktur, so dass es schwieriger wird mit einer feinen Auflösung zu mustern. Außerdem verschlechtert ein dicker Absorber auch die optische Bildqualität wegen einer Abschattung und einen Effekt dicker Masken in Verbindung mit der außeraxialen Beleuchtung der EUV-Inspektionssysteme. Eine Absorberschicht neigt auch dazu, eine von Null verschiedene EUV-Reflektivität zu haben, die groß genug ist, um die Metrologie der Aberration zu stören.
  • Bestimmte Ausführungsformen der vorliegenden Erfindung stellen ein diagnostisches Testmuster zur Verfügung, das ausgelegt ist, um die EUV-Lichtintensität zu beugen, um die Pupille im Wesentlichen möglichst gleichmäßig auszufüllen. Zusätzlich umfassen Ausführungsformen der Diagnosemaske eine Teststruktur und umgebendes Hintergrundmaterial, das in einem hohen Kontrast zwischen der abgebildeten Teststruktur und dem abgebildeten Hintergrund resultiert.
  • Die kritische laterale Ausdehnung des Testmusters der Diagnosemaske kann so gestaltet sein, dass sie vergleichbar oder unterhalb der Auflösung der Projektionsoptik ist. In einer Ausführungsform ist das Testmuster gleich oder kleiner als einige zehn Nanometer (nm). Die Diagnosemaske kann auch so gestaltet sein, dass die lithographische Strukturierung mit einem solchen Niveau an Feinauflösung unterstützt wird und gleichzeitig eine hohe optische Auflösung und Kontrast liefert, wenn die Teststruktur mittels EUV-Licht abgebildet wird.
  • In einer Ausführungsform ist die Diagnosemaske auf einem dünnen, mehrschichtigen EUV-Reflektor aufgebracht, der aus zwei alternierenden und wenig absorbierenden Materialien mit hohem Brechungsindexkontrast zusammengesetzt ist. Im Unterschied zu dem Reflektor auf einer Produktions-Maske, der typischerweise 40–60 Paare einer Mo/Si-Doppelschicht umfasst, verwenden bestimmte Ausführungsformen der vorliegenden Erfindung nicht mehr als etwa 15 oder nicht mehr als etwa 10, beispielsweise 5 Paare der Mo/Si-Doppelschicht. Das Ergebnis der Verwendung von weniger als 10 Doppelschichten oder Paare des Abschnitts des Mehrschichtstapels 304 ist, dass die Bandbreite des Mehrschichtreflektors 304 deutlich erhöht wird. Zusätzlich kann die Dicke oder die Periode der Doppelschicht 304 (beispielsweise Mo/Si) über den Bereich von zwischen ungefähr 7,0–7,5 nm abgestimmt werden, um weiter die Reflektivität in dem Winkelbereich von Interesse zu verflachen.
  • In einer ersten Ausführungsform wird ein Abschnitt des Mehrschichtstapels, beispielsweise als eine Säule, aus einer dünnen Mehrfachschicht gebildet. 3A ist eine schematische Seitenansicht einer Diagnosemaske mit einer mehrschichtigen Säulenstruktur 300 zum der Messen EUV-Wellenfrontaberration in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung. 3B ist eine perspektivische Ansicht der mehrschichtigen Säulenstruktur 300 aus 3A. 3A ist eine Ansicht entlang der Linie A in 3B. Wie dargestellt ist, umfasst die mehrschichtige Säulenstruktur 300 den Abschnitt des Mehrschichtstapels 304 auf einem Substrat 302.
  • Das Substrat 302 kann allgemein aus einem Material mit einem niedrigen Reflexionsvermögen für EUV-Licht (beispielsweise 13,5 nm) gebildet werden, im Vergleich mit der resultierenden, mehrschichtigen Säulenstruktur 300, die reflektierend ist. Das heißt, das Substrat kann aus einem Material gebildet werden, das das Reflexionsvermögen bei EUV-Wellenlängen, wie bei im Wesentlichen transparentem Material, minimiert. Zum Beispiel kann auch das Substrat das gleiche Substrat sein, wie es bei einer Produktions-EUV-Maske verwendet wird, wie z.B. ein Material mit niedriger Wärmeausdehnung (LTEM). Das Substrat kann aus anderen schwach reflektierenden Materialien, wie z.B. Glas oder Si gebildet werden. Das Substrat kann alternativ aus mehreren Schichten, wie z.B. einer Si-Schicht über einer massiven Quarz- oder Glasschicht gebildet werden. In einem Beispiel wird das Substrat aus einem Material mit einem Brechungsindex, der nahe an Vakuum ist, was zu einer Reflexion von EUV-Licht von weniger als 0,1% resultiert, gebildet.
  • Dagegen ist der Abschnitt des Mehrschichtstapels aus einem Material mit hohem Reflexionsvermögen gebildet, so dass dies zu einem hohen Kontrast zwischen der abgebildeten mehrschichtigen Säulenstruktur und dem umgebenden Substrat führt. Zum Beispiel kann der Abschnitt des Mehrschichtstapels 304 aus jedem für EUV-Anwendungen reflektierenden Matetrial, wie z.B. Molybdän (Mo) und Silizium (Si), Ruthenium (Ru) und Si, einer Mo-Schicht und einer Si-Schicht mit einer Sperrschicht auf Kohlenstoff (C)-Basis gebildet werden usw.
  • Eine Deckschicht 308 kann auf der Oberseite der fertigen Mehrschicht 304 aufgebracht werden, um die Mehrschicht 304 vor Feuchtigkeit und Sauerstoff-Angriffen zu schützen. In einer spezifischen Implementierung hat die Abdeckschicht 308 eine Dicke von wenigen Nanometern. Die Deckschicht 106 ist auch so ausgewählt, dass sie im Wesentlichen für EUV-Licht transparent ist. Die Deckschicht kann Ruthenium (Ru), Si, diamantartigen Kohlenstoff (DLC), Platin (Pt) usw. beinhalten. Diese Deckschicht 308 ist optional.
  • In diesem Beispiel kann die mehrschichtige Säulenstruktur eine Dicke T haben, die mit oder unter der Auflösung der Projektionsoptik liegt. Zum Beispiel hat die mehrschichtige Säulenstruktur eine Dicke T, die gleich oder kleiner als einige zehn Nanometer (nm) ist, wie z.B. weniger als etwa 75 nm oder sogar weniger als etwa 50 nm. Diese Dicke T unterstützt das Strukturieren der Mehrfachschicht bei einer viel feineren lateralen Auflösung D, die ähnlich oder sogar geringer als die Dicke T der Mehrfachschicht ist.
  • Die mehrschichtige Säulenstruktur 300 kann unter Verwendung einer geeigneten Herstellungstechnik gebildet werden. Die 4A bis 4G veranschaulichen ein Verfahren zum Herstellen einer Säulenstruktur (mehrschichtigen Säulenstruktur 300) zum Messen der Wellenfrontaberration eines EUV-Inspektionswerkzeugs entsprechend einer speziellen Ausführungsform der vorliegenden Erfindung. 4A zeigt einheitliche Mehrfachschichten 404, eine Deckschicht 406 und eine Hartmaskenschicht 402, die gleichförmig auf das Substrat 302 aufgebracht werden. Diese Schichten können durch ein beliebiges geeignetes Abscheidungsverfahren zur gleichförmigen Abscheidung solcher Schichten mit Dickenkontrolle gebildet werden. Zum Beispiel kann jede Schicht im mehrschichtigen Reflektor 404, die Deckschicht 406 und die Hartmaskenschicht jeweils durch Ionenstrahlabscheidung oder Magnetron-Sputtern abgeschieden werden.
  • Wie in 4A gezeigt, kann eine dünne und harte Ätzmaskenschicht 402, wie z.B. Chrom (Cr), gleichmäßig auf die Oberseite des mehrschichtigen Reflektors 404 und der Abdeckschicht 406 gebildet werden. Das Hartmaskenmaterial und die Dicke kann auf der Grundlage der Ätzselektivität zwischen der Hartmaske und dem mehrschichtigen Reflektor 404 (und der Abdeckschicht 406) ausgewählt werden. Das heißt, die Hartmaske kann ausgewählt werden, um einen guten Ätzkontrast, im Vergleich zu dem mehrschichtigen Reflektor 404, beispielsweise in einem Plasmaätzprozess, zu ermöglichen.
  • Wie in 4B gezeigt, wird ein Lithographieprozess verwendet, um ein Resistmuster 408 auf der Hartmaske 402 auszubilden. Hierzu kann jeder geeignete Lithographieprozess verwendet werden, um das Resistmaterial freizulegen und das Resistmuster auszubilden. Beispielhafte Lithographieprozesse umfassen die fokussierte Ionenstrahl-Lithographie, Elektronenstrahl (E-Strahl)-Lithographie, optische Lithographie, Lithographie mit Maske oder maskenlose Lithographie usw.
  • Wie in 4C gezeigt, wird das Resistmuster 408 verwendet werden, um ein geätztes Hartmaskenmuster 410, wie z.B. während eines Plasmaätzprozesses, auszubilden. Die Ätzchemie kann abgestimmt werden, um nur die Hartmaske 402 zu ätzen. Zum Beispiel kann Chlor/Sauerstoff basierte Chemie für Cr verwendet werden. Danach kann der Resist abgezogen werden oder auch nicht.
  • Wie in 4E gezeigt, wird das mehrschichtige Säulenmuster anschließend von dem Hartmaskenmuster 410 übertragen, um die mehrschichtige Säule der Reflektorstruktur 304 und die Deckstruktur 308 zu bilden, wobei eine zweite Ätzchemie mit hoher Ätzselektivität des mehrschichtigen Materials gegenüber dem Hartmaskenmaterial verwendet wird. Eine Chemie kann beispielsweise in dem Fall der Verwendung Cr als Hartmaske 410 auf Schwefelhexafluorid basieren. Die exponierten Teile des mehrschichtigen Reflektors werden vollständig bis auf das Substrat 302 geätzt. Wie in 4F gezeigt ist, wird die verbleibende Hartmaske 410 durch ein nasschemisches Ätzmittel entfernt.
  • Anstelle der Verwendung einer Hartmaske kann das Photoresistmuster 408 verwendet werden, um den mehrschichtigen Reflektor direkt zu ätzen. Das heißt, der Photoresist bildet eine Ätzmaske. Jedoch kann diese Resist-Ätzmaske vollständig während des Ätzprozesses verbraucht werden, so dass die Oberseite des mehrschichtigen Reflektors beginnt verzehrt zu werden, bevor sich ein Abschnitt des Mehrschichtstapels, wie z.B. eine Säule, ausbildet. Ein Ätzrezeptur kann ausgewählt werden, um schnell den mehrschichtigen Reflektor 404 zu ätzen, während die Resistschicht 408 nur langsam geätzt wird. Jedoch ist es einfacher, ein Rezept zu finden, das nur langsam das Hartmaskenmaterial (beispielsweise Cr) ätzt, somit kann es bevorzugt sein, eine Hartemaske zu verwenden.
  • Wie in 4G gezeigt, kann eine alternative mehrschichtige Säulenstruktur 450 durch das zusätzliche Verfahren der Abscheidung einer konformen Schicht 452 über der mehrschichtigen Säulenstruktur, nachdem das mehrschichtige Reflektormuster (304) geätzt worden ist, gebildet werden. Das heißt, das mehrschichtige Muster 304 (und Deckstruktur 308 falls vorhanden) könnte konform mit einer dünnen Schicht eines Materials überzogen werden. Die Seitenwände und die Oberseite der mehrschichtigen Struktur sind vor Oxidation geschützt. Ein solches Material kann so ausgewählt werden, dass es einen niedrigen Diffusionskoeffizienten für Sauerstoff hat und bei EUV-Wellenlängen relativ transparent ist. Beispiele für solche Materialien sind Ru, Bor (B), DLC, Si02 und Si3N4. Die Deckschicht 308 kann oder kann nicht in Verbindung mit der konformen Schicht 452 verwendet werden.
  • In einer alternativen Ausführungsform kann das mehrschichtige Reflektormusters 304 und die Deckstruktur 308 unter Verwendung eines fokussierten Ionenstrahls, ohne Verwendung einer Ätzmaske (z.B. 410), geätzt werden. Das heißt, das Verfahren zur Bildung der Hartmaskenschicht 402 (4A) und Ausbilden des Resistmusters 408 (4B) und Ätzen der Hartmaskenschicht 402, um ein Hartmaskenmuster 410 (4C) zu erhalten, kann übersprungen und durch einen maskenlosen, fokussierten Ionenstrahl (FIB) ersetzt werden, der den dünnen mehrschichtigen Reflektor 404 ätzt, um direkt die mehrschichtige Säulenstruktur 300 auszubilden. Jedoch ist ein maskenloser Lithographieprozess für das Ätzen eines großen Arrays oder einer großen Anzahl von Teststrukturen nicht sinnvoll. Um diesen Nachteil zu lindern, wird ein hybrider Ansatz basierend auf einer Kombination von Elektronenstrahllithographie und Plasmaätzen sowie FIB-Ätzen verwendet. So können beispielsweise die Elektronenstrahllithographie und das Plasmaätzen zunächst verwendet werden, um mehrschichtige Säulenstrukturen mit relativ großen Durchmessern auszubilden. Dann wird FIB-Ätzen verwendet, um die Strukturen auf die gewünschte Größe zu trimmen. Dies minimiert die Menge der Materialien, die mittels FIB entfernt werden müssen.
  • In einer zweiten Ausführungsform kann eine Lochstruktur so ausgelegt werden, um die Wellenfrontaberration für ein EUV-Inspektionswerkzeug zu messen. 5 ist eine Seitendarstellung einer Lochstruktur 500 zum Messen der Wellenfrontaberration eines EUV-Inspektionswerkzeugs in Übereinstimmung mit einer zweiten Ausführungsform der vorliegenden Erfindung. Wie gezeigt, weist die Lochstruktur 500 ein Loch 508 aus einem Absorbermuster 506 auf. Das Absorbermuster wird über einen dünnen, mehrschichtigen Reflektor 504 gebildet, der auf einem Substrat 502 ausgebildet werden kann. Das Substrat kann aus einem beliebigen Material gebildet werden, da das Licht nie das Substrat sieht. Das Absorbermuster 506 kann durch jedes geeignete Lithographieverfahren gebildet werden, wie z.B. oben beschrieben.
  • In diesem Beispiel kann das Absorbermaterial so gewählt werden, dass es so hoch wie möglich für EUV-Licht absorbierend ist. Das gleiche TaN-Absorbermaterial wie bei EUV-Produktionsmasken wird bei der Lochstruktur verwendet. Allerdings ist diese Ausführungsform nicht auf das gleiche Material wie bei der eigentlichen Produktionsmaske begrenzt. Zum Beispiel kann das Absorbermaterial aus Absorbermaterialien gebildet werden, die höhere EUV-Absorptionseigenschaften besitzen als das Absorbermaterial für die Herstellung von EUV-Masken, wie z.B. TaN. Ein beispielhaftes Absorbermaterial für die Lochblende kann ein oder mehrere der folgenden Materialien umfassen: Nickel (Ni), Chrom (Cr), Platin (Pt) usw.
  • Eine Deckschicht 508 kann auf der Oberseite des mehrschichtigen Reflektors 504 (bevor die Absorberschicht abgeschieden wird) abgeschieden werden, um den mehrschichtigen Reflektor 504 vor Feuchtigkeits- und Sauerstoffangriffen zu schützen. In einer spezifischen Implementierung kann die Abdeckschicht 508 eine Dicke von wenigen Nanometern aufweisen. Die Deckschicht 508 kann zum Beispiel Ru, Si, DLC oder Pt umfassen.
  • Die Lochtiefe D und die Breite W können so gewählt werden, dass nachteilige optische Effekte, wie z.B. Abschattung, minimiert werden, die das von dem darunterliegenden mehrschichtigen Reflektor 504 reflektierte Licht beeinflussen würde, um den Pupillenbereich auszufüllen. In einem Beispiel wird eine Dicke D der Absorberschicht von etwa 50 nm (oder weniger) gut funktionieren. Eine Breite der Lochblende von etwa 40 bis 100 funktioniert gut.
  • Ähnlich wie bei der Ausführungsform der mehrschichtigen Säule kann der mehrschichtige Reflektor 504 mit Lochstruktur auch so gestaltet sein, dass die Winkelbandbreite des EUV-Inspektionswerkzeugs unterstützt wird. Dementsprechend kann, wie oben beschrieben, der Lochreflektor 504 eine reduzierte Anzahl von Schichtpaaren für das mehrschichtige Muster der mehrschichtigen Säulenstruktur haben. Zum Beispiel kann der mehrschichtige ML-Reflektor 504 aus zwei alternierenden niedrig absorbierenden Materialien mit hohem Brechungsindexkontrast der Mo/Si-Doppelschicht bestehen und verwendet nicht mehr als etwa 10, beispielsweise 5, Paare der Mo/Si-Doppelschicht. Der mehrschichtige Reflektor 504 kann auch aus einer reduzierten Anzahl von abwechselnden Schichten aus Ruthenium (Ru) und Si, einer Ru-Schicht und einer Si-Schicht, einer Mo-Schicht und einer Si-Schicht mit einer dazwischen liegenden Sperrschicht auf Kohlenstoff-(C) Basis-, usw. gebildet werden.
  • Obwohl die dargestellten Ausführungsformen entweder als runde Säulenstrukturen oder runde Löcher beschrieben worden sind, können andere Formen in Betracht gezogen werden. Jede geeignete Art einer mehrschichtigen Stapel- / Säulen- oder Pinhole-Struktur kann verwendet werden, um die Wellenfrontaberration quer über der Pupillenfläche zu messen. Als Beispiele kann die mehrschichtige Stapel- / Säulenstruktur ein Würfel, eine Ellipse usw. sein. Ebenso kann die Lochstruktur ein würfelförmiges Loch oder eine Bohrung, ein rechteckig geformter Graben, oder eine Ellipse usw. sein. Bei einer Ausführungsform ist die Teststruktur eine einzelne mehrschichtige Säule oder einr Pinhole-Struktur, um über den gesamten Pupillenbereich zu messen. In anderen Ausführungsformen setzen sich die Testmuster aus mehreren mehrschichtigen Säulen und/oder Löchern in verschiedenen Größen und Formen zusammen, die für die Messung quer über die Pupille verwendet werden.
  • Sowohl das Testmuster der mehrschichtigen Säule als auch das des Lochs stellen einen Kontrast zwischen der Teststruktur und dem umgebenden Hintergrund zur Verfügung. 6 zeigt Vergleiche des Intensitätskontrasts für unterschiedlich große Teststrukturen der mehrschichtigen Säule und der Pinhole-Strukturen in Übereinstimmung mit einer beispielhaften Ausführung der vorliegenden Erfindung. Der Graph 602 entspricht einer Struktur einer mehrschichtigen Säule, während der Graph 610 einer Lochstruktur entspricht. Die Lochstruktur der 6 wurde aus einem 50 nm dicken TaN-Absorbermaterial gebildet, das ein allgemein bekanntes Absorbermaterial bei EUV-Masken ist. Die Bildintensität des Testmerkmals (mehrschichtige Säule oder Pinhole) wird bei einer bestimmten Defokusierung als Referenz verwendet. Beide Ausführungen sind mit dem gleichen dünnen Schichtreflektor versehen, der eine ähnliche Helligkeitsintensität im Bild des Testmerkmals für eine bestimmte Größe des Durchmessers (Kurven 604 und 612) liefert. Für eine gute gleichmäßige Füllung der Pupille, ist eine Strukturgröße von 50 nm oder weniger von Interesse.
  • Graph 602 zeigt eine Intensitätskurve 604 (jeder Punkt durch Quadrate gekennzeichnet) einer mehrschichtigen Säule und ihre entsprechende Intensitätskurve 606 (jeder Punkt als Rauten gekennzeichnet) als Hintergrund als eine Funktion des Durchmesser (nm) der mehrschichtigen Säule. Ebenso zeigt Graph 610 eine Intensitätskurve 612 (jeder Punkt durch Quadrate gekennzeichnet) des Lochs und der entsprechenden Intensitätskurve (jeder Punkt als Diamant gekennzeichnet) des Hintergrunds 614 als Funktion der Durchmesser (nm) des Pinholes.
  • Jeder Graph der Intensität zeigt den Kontrast zwischen der Intensität der mehrschichtigen Säule / Lochstruktur im Vergleich zu der entsprechenden Hintergrundintensität. Wie gezeigt, nimmt der Kontrast zwischen der mehrschichtigen Säule und seiner Hintergrundintensität zu, wenn die mehrschichtige Säule in der Größe zunimmt, und es scheint, dass eine klare Unterscheidung zwischen der mehrschichtigen Säule und der Hintergrundintensität möglich ist. Das Design der Pinholes zeigt jedoch, dass die Hintergrundintensität gleich der Intensität der Pinholestruktur bei Abmessungen von nahe 50 nm ist. Die Hintergrundintensität des Pinholedesigns ist so hoch wie oder höher als die Signalintensität der Pinholestruktur für Abmessungen von weniger als 50 nm, was zu einem schlechten Signal-zu-Rauschverhältnis und einem Bildartefakt aufgrund eines Interferenzeffekts führt. Im Gegensatz dazu bietet die mehrschichtige Säulenkonstruktion auf der Basis bestimmter Ausführungsformen dieser Erfindung eine zusätzliche 30x Unterdrückung der Hintergrundintensität und liefert ein viel saubereres Bild. Es ermöglicht, falls erforderlich, eine weitere Schrumpfung der Strukturgröße, ohne einen Kompromiss bei der Bildqualität einzugehen.
  • Der Kontrast des Pinholes kann durch den Einsatz verschiedener Absorbermaterialien, die zu reduzierter Hintergrundintensität führen, verbessert werden. Zusätzlich kann eine Erhöhung der Dicke des Absorbermaterials den Kontrast verbessern und das Hintergrundrauschen unterdrücken.
  • Bestimmte Ausführungsformen der Teststrukturen für Diagnosezwecke sorgen für eine erhöhte Winkelbandbreite bei der Messung der Wellenfrontaberrationen eines EUV Inspektionswerkzeugs. Das heißt, das reflektierte Licht ist im Wesentlichen gleichförmig über die Pupille verteilt oder es werden verschiedene Winkel gesammelt. Bestimmte Ausführungsformen der vorliegenden Erfindung stellen eine mehrschichtige Säule oder eine Pinhole-Struktur zur Verfügung, die mit der EUV-Optik des Inspektionswerkzeug abgebildet werden kann, um den Bereich der Pupille im Wesentlichen auszufüllen, der typischerweise größer ist als die NA des Photolithographiesystems, das die EUV Maske zur Herstellung von Halbleiterbauelementen verwendet. Das heißt, die NA in der Maskenebene des Inspektionssystems ist oft beträchtlich größer, als die NA in der Maskenebene des Lithographiesystems, was zu Unterschieden zwischen den bei der Inspektion gewonnenen Testbildern und den tatsächlich gedruckten Bildern führen würde. Zum Beispiel kann die NA des EUV aktinischen Prüfers zur Inspektion einer EUV-Maske größer als 0,1 sein.
  • Die 7A bis 7G zeigen die berechneten und winkelaufgelösten Reflexionskurven für verschiedene Mo/Si-Mehrschicht-Designs, die in Ausführungsformen der vorliegenden Erfindung verwendet werden können. Jede Kurve in diesen Graphen stellt eine Reflektivität von unpolarisiertem EUV-Licht bei 13,5 nm Wellenlänge dar. Jede Kurve des Reflexionsvermögens ist als eine Funktion der Einfallswinkel aufgetragen.
  • 7G zeigt die Intensität als Funktion des Einfallswinkels über die Pupille für eine Teststruktur, die aus einer typischen Anzahl von Doppelschichten, die gleich 40 ist und einen 7,0 nm Pitch hat, hergestellt ist. Die Reflexionswerte sind relativ flach für einige der Winkel (unter 13 Grad). Jedoch fällt die Relativität steil ab und ist nicht einheitlich bei Winkeln, die größer als 13 Grad sind. Die Bandbreite eines EUV-Inspektionssystem ist typischerweise viel breiter, als der in den Figuren 7A7G gezeigte Bereich.
  • Die 7A7F zeigen andere Teststrukturen mit dünneren mehrschichtigen Strukturen. Unter diesen zeigt Entwurf (c) in 7C mit 5 Paaren einer Mo/Si-Doppelschicht und einer Periode von 7.3nm eine fast flache Antwort der Reflektivität für den Winkelbereich von 0–25 Grad. Diese Antwort der Reflektivität steht im Gegensatz zum herkömmlichen Mehrschicht-Design (g) aus 7G mit 40 Paaren von Mo/Si-Doppelschichten und einer Periode von 7.0 nm, das einen scharfen Cutoff bei 13 Grad ungefähr hat. Der Kompromiss des Designs (c) mit 5-Doppelschichten und Pitch 7,3 nm ist die Reduktion des Spitzenreflexionsvermögens um fast das 4fache. Diese Reduktion des Peaks wird typischerweise für Zwecke der Metrologie als erschwinglich erachtet, da die Genauigkeit höhere Priorität hat als der Durchsatz. Das Erhöhen der Anzahl der Doppelschichten von 5 auf 10 gewinnt etwa die Hälfte des Spitzenreflexionsverlust (siehe 7D, 7E und 7F) zurück. Eine Erhöhung der Anzahl der Doppelschichten verringert auch die mehrschichtige Bandbreite, die man vom Reflexionsabfall bei größeren Winkeln erkennen kann. Eine höhere Anzahl der Mehrfachschichten als 10 haben möglicherweise noch besseren Kontrast, als das Design (g) aus 7G mit 40 Doppelschichten.
  • Bei bestimmten dünnen, mehrschichtigen Doppelschichten, mehrschichtigen Säulen oder Pinholeteststrukturen haben die Doppelschichten (Mo/Si) eine gleichmäßige Periodizität. In alternativen Ausführungsbeispielen sind die Doppelschichten nicht-periodisch. Die Dicke jeder Doppelschicht kann optimiert werden, so dass sich eine breitere Winkelbandbreite oder eine bestimmten Bandbreite ergibt. In anderer Weise gesagt, kann jede Dicke der Doppelschicht optimiert werden, um weiter das Füllen der Pupille und/oder Spitzenreflexionsvermögen zu optimieren. Ein nichtperiodisches Design variiert die Dicke von Mo und Si in jedem Paar der Doppelschicht, im Gegensatz zum einfachen Wiederholen einer einzigen Kopie.
  • Die 8A8F zeigen verschiedene Bilder der Pupille, die mit Ausführungsformen einer mehrschichtigen Säule oder einer Pinholestruktur der vorliegenden Erfindung gewonnen werden. Genauer gesagt, diese Bilder veranschaulichen einen Vergleich der Füllung der Pupille aufgrund der Beugung an der Teststruktur einer geätzten dünnen mehrschichtigen Säule (siehe 8C und 8F), im Vergleich mit einem dicken, mehrschichtigen Pinholedesign (siehe 8A und 8D) sowie ein verbessertes dünnes mehrschichtiges Pinholedesign mit einem dünnen Schichtreflektor (siehe 8B und 8E) für einen Satz von Merkmalsgrößen (D = 40 nm und 50 nm). Zum Beispiel zeigt 8A ein Bild eines Pinholes mit unterschiedlichen Intensitätsabschnitten, die mit rot, orange und gelb die Konturen bei unterschiedlichen Intensitäten in der Pupille bezeichnen. Diese unterschiedlichen Konturen sind ebenfalls in Bezug zur Pupillenöffnung 802 abgebildet. Ein Graph der Intensität als eine Funktion der Pupillenposition ist auch für jede der unterschiedlichen Pinholes oder mehrschichtigen Säulenstrukturen (z.B. Graphen 804a804f) gezeigt.
  • Im Allgemeinen zeigen die 8A bis 8F die modellierte Pupillenfüllung aufgrund der Beugung an Teststrukturen für verschiedene Ausführungen, für den Fall, dass diese kohärent in der Nähe der Mitte der Apertur der Projektionsoptik beleuchtet werden. In der herkömmlichen Konstruktion aus den 8A und 8D, wird ein mehrschichtiger Reflektor mit 40 Paaren einer Mo/Si-Doppelschicht und ein 50 nm dicker TaN-Absorber verwendet. Bei einem Durchmesser des Merkmals von 50 nm (a) und 40 nm (d) ist die Füllung der Pupille, die stark von der Bandbreite der Mehrfachschicht moduliert wird, bei weitem nicht einheitlich und daraus resultierten weitgehend asymmetrische Intensitätskonturen relativ zu der Pupillenöffnung 802. Durch die Umstellung auf ein dünnes mehrschichtiges Pinholedesign, wie in den 8B gezeigt, verbessert sich die Füllung der Pupille durch eine größere Mehrschichtbandbreite für den Merkmalsdurchmesser von 50 nm. Jedoch erhält man bei einer weiter auf 40nm hin schrumpfenden Merkmalsgröße, wieder ein schlechteres Ergebnis der Pupillenfüllung, was aus der Schattenwirkung bei außeraxialer Beleuchtung, wie in 8E gezeigt, resultiert. Die geätzte mehrschichtige Säulenkonstruktion, wie in den 8C und 8F dargestellt, weisen diese Nachteile nicht auf. Diese dünnen, mehrschichtigen Säulenkonstruktionen bieten eine gleichmäßigere und symmetrische Füllung der Pupille für Strukturgrößen von sowohl 50nm und 40nm. Hinzu kommt, dass, wie zuvor diskutiert, diese Ausführung einen viel saubereren Hintergrund bietet.
  • Im Allgemeinen kann die Wellenfrontaberration durch ein Verfahren der Rückgewinnung der Phasen gemessen werden, wobei Bilder der hierin beschriebenen Teststrukturen unter Verwendung des Abbildungssystems eines Inspektionssystems aufgenommen werden, dessen Wellenfront zu messen ist. Das idealisierte, nicht aberrierte Bild kann berechnet und mit dem gemessenen Bild verglichen werden. Eine Technik der Phasenrückgewinnung kann verwendet werden, wie beispielsweise die in P. Dirksens et al., beschriebene Technik, "Characterization of a projection lens using the extended Nijboer-Zernike approach" Proceedings of SPIE v4691, 1392 (2002), wobei dieses Paper hierin durch Bezugnahme aufgenommen ist.
  • Obwohl die vorstehende Erfindung in einigen Details zum Zwecke der Klarheit des Verständnisses beschrieben wurde, ist es offensichtlich, dass bestimmte Änderungen und Modifikationen innerhalb des Umfangs der nachstehenden Ansprüche praktiziert werden können. Es sei darauf hingewiesen, dass es viele alternative Möglichkeiten der Implementierung der Verfahren, Systeme und Vorrichtungen der vorliegenden Erfindung gibt. Zum Beispiel kann die hierin beschriebene EUV-Teststruktur mit anderen EUV-Inspektionssystemen arbeiten, wie zum Beispiel, um die Wellenfrontaberration auf EUV-Defekt Review-Systemen zu messen. Dementsprechend sind die vorliegenden Ausführungsformen als illustrativ und nicht einschränkend anzusehen, und die Erfindung soll nicht auf die hierin angegebenen Details beschränkt sein.

Claims (35)

  1. Eine Teststruktur zur Messung von Wellenfrontaberration eines extremen Ultraviolett (EUV) Inspektionssystems, umfassend: • ein Substrat, das aus einem Material mit im wesentlichen keinen Reflexionsvermögen für EUV-Licht gebildet ist; und • einen Abschnitt eines Mehrschichtstapels, der auf dem Substrat ausgebildet ist und eine Vielzahl von alternierenden Paaren von Schichten mit unterschiedlichen Brechungsindizes besitzt, um das EUV-Licht zu reflektieren, wobei der Abschnitt des Mehrschichtstapels derart auf dem Substrat angeordnet ist, um einen Teil des Substrats zu exponieren.
  2. Teststruktur nach Anspruch 1, wobei jedes Paar umfasst: (i) eine Molybdän(Mo)-Schicht und eine Silizium(Si)-Schicht; (ii) eine Ru-Schicht und eine Si-Schicht, oder (iii) eine Mo-Schicht und eine Si-Schicht, zwischen denen eine Kohlenstoff (C) basierte Sperrschicht vorgesehen ist.
  3. Teststruktur nach Anspruch 1, wobei ferner eine Deckschicht über den Abschnitt des Mehrschichtstapels vorgesehen ist, die aus einem Material besteht, das die Oxidation des Abschnitt des Mehrschichtstapels verhindert und im Wesentlichen transparent ist, wobei die Deckschicht aus Ru, diamantartigen Kohlenstoff (DLC), Si oder Platin (Pt) besteht.
  4. Teststruktur nach Anspruch 1, wobei die abwechselnden Schichtpaare des Abschnitts des Mehrschichtstapels nicht periodisch sind und jedes der sich abwechselnden Paare eine Dicke hat, die optimiert ist, so dass von der Test-Struktur gebeugtes EUV-Licht im Wesentlichen den Bereich einer Eintrittspupille eines Inspektionssystems ausfüllt und/oder ein optimiertes Peak-Reflexionsvermögen besitzt.
  5. Teststruktur nach Anspruch 1, wobei eine Anzahl der Paare gleich oder kleiner als 10 ist.
  6. Teststruktur nach Anspruch 1, wobei eine Anzahl der Paare gleich oder kleiner als 5 ist.
  7. Teststruktur nach Anspruch 1, wobei eine Periode des Abschnitts des Mehrschichtstapels zwischen etwa 7 und 7,5 nm beträgt.
  8. Teststruktur nach Anspruch 1, wobei der Abschnitt des Mehrschichtstapels eine Zusammensetzung aufweist, die einen hohen Kontrast zwischen dem Abschnitt des Mehrschichtstapels und dem Substrat besitzt, wenn dieser mit EUV-Licht abgebildet wird.
  9. Teststruktur nach Anspruch 1, wobei der Abschnitt des Mehrschichtstapels eine Dicke von gleich oder weniger als 75 nm aufweist.
  10. Teststruktur nach Anspruch 1, wobei das Substrat einen Brechungsindex besitzt, der zu einem Reflexionsvermögen des EUV-Lichts von weniger als 0,1% führt.
  11. Teststruktur nach Anspruch 1, wobei ferner eine konforme Schicht über der oberen Wand und den Seitenwänden des Abschnitts des Mehrschichtstapels vorgesehen ist, und die konforme Schicht eine geringe Diffusionsfähigkeit für Sauerstoff besitzt und im wesentlichen transparent ist, wobei die konforme Schicht aus Ru, Bor (B ), DLC, Si02 oder Si3N4 besteht.
  12. Teststruktur nach Anspruch 1, wobei der Abschnitt des Mehrschichtstapels eine Säule ist, die einen Durchmesser von weniger als 100 nm hat.
  13. Ein Verfahren zum Ausbilden einer Teststruktur zum Messen einer Wellenfrontaberration eines extremen Ultraviolett-(EUV)-Inspektionssystems, umfassend: • ein Abscheiden einer Vielzahl von abwechselnden Paaren aus einer ersten Schicht und einer zweiten Schicht, die EUV-Licht reflektieren; und • Strukturieren der Vielzahl von abwechselnden Paaren der ersten Schicht und der zweiten Schicht, um einen Abschnitt eines Mehrschichtstapels zu bilden, wobei der Abschnitt eines Mehrschichtstapels derart auf dem Substrat angeordnet ist, dass ein Abschnitt des Substrats exponiert ist.
  14. Verfahren nach Anspruch 13, wobei die Vielzahl von alternierenden Paaren der ersten und zweiten Schichten strukturiert sind durch: • Ausbilden einer Schicht einer Hartmaske über der Vielzahl von alternierenden Paaren der ersten und zweiten Schichten; • Ausbilden und Belichten eines Photoresists über der Schicht der Hartmaske, um so ein Resistmuster auszubilden; • Ätzen der Schicht der Hartmaske mittels des Resistmusters, um ein Hartmaskenmuster auszubilden; • Ätzen mittels des Hartmaskenmusters der Vielzahl der abwechselnden Paare der ersten und zweiten Schicht, um den Abschnitt des Mehrschichtstapels auszubilden; und • Entfernen des Hartmaskenmusters.
  15. Verfahren nach Anspruch 14, wobei die Hartmaskenschicht aus Chrom ist.
  16. Verfahren nach Anspruch 15, wobei eine auf Chlor/Sauerstoff basierte Chemie verwendet wird, um die Hartmaskenschicht zu ätzen.
  17. Verfahren nach Anspruch 15, wobei eine auf Schwefelhexafluorid basierte Chemie verwendet wird, um die Vielzahl von alternierenden Paaren der ersten und zweiten Schicht zu ätzen, um den Abschnitt des Mehrschichtstapels zu bilden.
  18. Verfahren nach Anspruch 13, wobei die Vielzahl von alternierenden Paaren der ersten und zweiten Schicht unter Verwendung eines fokussierten Ionenstrahls gestaltet wird, um zur Ausbildung des Abschnitts des Mehrschichtstapels die Vielzahl von alternierenden Paaren der ersten und zweiten Schicht zu ätzen.
  19. Verfahren nach Anspruch 13, ferner umfassend das Strukturieren der Vielzahl von abwechselnden Paaren der ersten Schicht und der zweiten Schicht, um eine Vielzahl von Abschnitten des Mehrschichtstapels auszubilden, wobei die Vielzahl von Abschnitten des Mehrschichtstapels gebildet wird durch: • Anwenden eines photolithographischen Verfahrens mit einem Elektronenstrahl und einen anschließenden Ätzprozess auf die Vielzahl von alternierenden Paaren einer ersten Schicht und einer zweiten Schicht, um eine Vielzahl von Vor-Stapeln des Abschnitts des Mehrschichtstapels zu bilden; und • Ausführen einer fokussierten Ionenstrahlphotolithographie auf die Vor-Stapel des Abschnitts des Mehrschichtstapels, um die Abschnitte des Mehrschichtstapels auszubilden, die eine kleinere Breite als die Vor-Stapel des Abschnitts des Mehrschichtstapels besitzen.
  20. Verfahren nach Anspruch 13, wobei eine Anzahl der Paare gleich oder kleiner als 10 ist.
  21. Eine Teststruktur zur Messung von Wellenfrontaberration eines extremen Ultraviolett (EUV) Inspektionssystems, umfassend: • ein Substrat; • eine Vielzahl von alternierenden Paaren von Schichten mit unterschiedlichen Brechungsindizes, um EUV-Licht zu reflektieren, wobei die Paare eine Anzahl von gleich oder kleiner als 15 haben; und • einer über der Vielzahl von alternierenden Paaren von Schichten ausgebildeten Absorberschicht, wobei die Absorberschicht ein darin gebildetes Loch aufweist, um einen Teil der darunterliegenden Vielzahl der abwechselnden Schichtpaare zu exponieren.
  22. Teststruktur nach Anspruch 21, wobei die Absorberschicht aus TaN, Chrom, Platin oder Nickel besteht.
  23. Teststruktur nach Anspruch 21, wobei die Absorberschicht eine Dicke hat, die gleich oder kleiner als etwa 100 nm ist.
  24. Ein Inspektionssystem umfasst: • ein oder mehrere Beleuchtungselemente, um einen einfallenden EUV-Strahl auf eine Teststruktur zu richten, die ein Substrat aus einem Material mit im wesentlichen keinen Reflexionsvermögen für EUV-Licht, und einen aus dem Substrat ausgebildeten Abschnitt eines Mehrschichtstapels, und eine Vielzahl von alternierenden Paaren von Schichten mit unterschiedlichen Brechungsindizes umfasst, um das EUV-Licht zu reflektieren, wobei die Anzahl der Paare gleich oder kleiner als 15 ist; • eine oder mehrere Abbildungselemente zum Erfassen eines Ausgangsstrahls von der Teststruktur und zum Erzeugen eines Bildes oder eines Signals auf der Basis des Ausgangsstrahls, wobei der Ausgangsstrahl von der Teststruktur in Reaktion auf den einfallenden Strahl auf die Teststruktur ausgeht; und • einen Prozessor, der zur Analyse des Bildes oder Signals ausgebildet ist, um eine Wellenfrontaberration im Wesentlichen quer über eine Pupille des Inspektionssystems zu messen.
  25. Inspektionssystem nach Anspruch 24, wobei eine numerische Apertur (NA) größer als 0,1 ist.
  26. Inspektionssystem nach Anspruch 24, wobei jedes Paar umfasst: (i) eine Molybdän(Mo)-Schicht und eine Silizium(Si)-Schicht; (ii) eine Ru-Schicht und eine Si-Schicht, oder (iii) eine Mo-Schicht und eine Si-Schicht, zwischen denen eine Kohlenstoff (C) basierte Sperrschicht vorgesehen ist.
  27. Inspektionssystem nach Anspruch 24, wobei die alternierenden Paare der Schichten des Abschnitts des Mehrschichtstapels nicht-periodisch sind und jedes alternierende Paar eine Dicke hat, die optimiert ist, so dass das von der Teststruktur gebeugte EUV-Licht im Wesentlichen einen Bereich der Eintrittspupille des Inspektionssystem ausfüllt und/oder ein optimiertes Spitzenreflexionsvermögen besitzt.
  28. Inspektionssystem nach Anspruch 24, wobei eine Anzahl der Paare gleich oder kleiner als 10 ist.
  29. Inspektionssystem nach Anspruch 24, wobei eine Anzahl der Paare gleich oder kleiner als 5 ist.
  30. Inspektionssystem nach Anspruch 24, wobei eine Periode des Abschnitts des Mehrschichtstapels zwischen etwa 7 und 7,5 nm beträgt.
  31. Inspektionssystem nach Anspruch 24, wobei der Abschnitt des Mehrschichtstapels eine Zusammensetzung aufweist, die einen hohen Kontrast zwischen dem Abschnitt des Mehrschichtstapels und dem Substrat besitzt, wenn dieser mit EUV-Licht abgebildet wird.
  32. Inspektionssystem nach Anspruch 24, wobei der Abschnitt des Mehrschichtstapels eine Dicke von gleich oder weniger als 75 nm aufweist.
  33. Inspektionssystem nach Anspruch 24, wobei das Substrat einen Brechungsindex besitzt, der zu einem Reflexionsvermögen des EUV-Lichts von weniger als 0,1% führt.
  34. Inspektionssystem nach Anspruch 24, wobei die Teststruktur ferner eine konforme Schicht über der oberen Wand und den Seitenwänden des Abschnitts des Mehrschichtstapels besitzt und die konforme Schicht eine geringe Diffusionsfähigkeit für Sauerstoff besitzt und im wesentlichen transparent ist, wobei die konforme Schicht aus Ru, Bor (B ), DLC, Si02 oder Si3N4 besteht.
  35. Inspektionssystem nach Anspruch 24, wobei der Abschnitt des Mehrschichtstapels eine Säule ist, die einen Durchmesser von weniger als 100 nm hat.
DE112013004235.5T 2012-08-30 2013-08-28 Metrologie der Wellenfrontaberation von Optiken von EUV-Maskeninspektionssystemen Pending DE112013004235T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261694919P 2012-08-30 2012-08-30
US61/694,919 2012-08-30
US14/010,484 2013-08-26
US14/010,484 US9335206B2 (en) 2012-08-30 2013-08-26 Wave front aberration metrology of optics of EUV mask inspection system
PCT/US2013/057147 WO2014036181A1 (en) 2012-08-30 2013-08-28 Wave front aberration metrology of optics of euv mask inspection system

Publications (1)

Publication Number Publication Date
DE112013004235T5 true DE112013004235T5 (de) 2015-06-03

Family

ID=50184327

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112013004235.5T Pending DE112013004235T5 (de) 2012-08-30 2013-08-28 Metrologie der Wellenfrontaberation von Optiken von EUV-Maskeninspektionssystemen

Country Status (7)

Country Link
US (1) US9335206B2 (de)
JP (2) JP6312682B2 (de)
KR (1) KR102100001B1 (de)
CN (1) CN104755909A (de)
DE (1) DE112013004235T5 (de)
TW (1) TWI586949B (de)
WO (1) WO2014036181A1 (de)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014216240A1 (de) * 2014-08-15 2016-02-18 Carl Zeiss Smt Gmbh Reflektives optisches Element
US9766536B2 (en) 2015-07-17 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mask with multilayer structure and manufacturing method by using the same
JP6555002B2 (ja) * 2015-08-19 2019-08-07 三星ダイヤモンド工業株式会社 スクライブラインの検査方法
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
JP6527808B2 (ja) * 2015-10-27 2019-06-05 株式会社ニューフレアテクノロジー 検査方法および検査装置
KR102469807B1 (ko) * 2015-10-28 2022-11-23 에스케이하이닉스 주식회사 반사형 포토마스크의 제조방법
CN105445822A (zh) * 2015-12-21 2016-03-30 中国科学院长春光学精密机械与物理研究所 一种具有光谱纯化功能的角宽带极紫外多层膜
US9791771B2 (en) * 2016-02-11 2017-10-17 Globalfoundries Inc. Photomask structure with an etch stop layer that enables repairs of detected defects therein and extreme ultraviolet(EUV) photolithograpy methods using the photomask structure
DE102016203442A1 (de) 2016-03-02 2017-09-07 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage und Verfahren zum Vermessen eines Projektionsobjektives
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
CN106094084A (zh) * 2016-06-02 2016-11-09 中国科学院微电子研究所 极紫外多层膜反射式单级衍射光栅
NL2018989A (en) 2016-06-03 2017-12-05 Asml Netherlands Bv Patterning device
TWI763686B (zh) * 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
CN106169416B (zh) * 2016-08-29 2019-11-12 复旦大学 一种极紫外掩模的制造方法
US10634623B2 (en) * 2016-10-07 2020-04-28 Kla-Tencor Corporation Phase contrast monitoring for extreme ultra-violet (EUV) masks defect inspection
CN106324740B (zh) * 2016-11-16 2018-12-18 天津津航技术物理研究所 一种宽带吸收薄膜及其制备方法
CN106768886B (zh) * 2016-12-16 2019-03-08 中国科学院光电研究院 一种深紫外光学系统波像差检测装置和方法
JP6685959B2 (ja) * 2017-03-22 2020-04-22 キオクシア株式会社 反射型露光マスク
US10761031B1 (en) 2018-03-20 2020-09-01 Kla-Tencor Corporation Arbitrary wavefront compensator for deep ultraviolet (DUV) optical imaging system
JP6990302B2 (ja) 2018-04-17 2022-01-14 本田技研工業株式会社 鞍乗型電動車両
US10777970B2 (en) * 2018-09-04 2020-09-15 Samsung Electronics Co., Ltd. Metamaterial-based reflector, optical cavity structure including the same and vertical cavity surface emitting laser
US20200379336A1 (en) * 2019-06-03 2020-12-03 Kla Corporation Wave-Front Aberration Metrology of Extreme Ultraviolet Mask Inspection Systems
WO2024091683A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Optical coating for eliminating ghost images in optical metrology tools

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614861B2 (ja) * 1987-06-26 1997-05-28 日本電信電話株式会社 反射型x線マスク
JP2979667B2 (ja) * 1991-01-23 1999-11-15 株式会社ニコン 反射型のx線露光用マスク
US20020014403A1 (en) 2000-04-07 2002-02-07 Eiichi Hoshino Method of fabricating reflective mask, and methods and apparatus of detecting wet etching end point and inspecting side etching amount
US20020171922A1 (en) * 2000-10-20 2002-11-21 Nikon Corporation Multilayer reflective mirrors for EUV, wavefront-aberration-correction methods for same, and EUV optical systems comprising same
CN100559273C (zh) * 2002-09-30 2009-11-11 Asml荷兰有限公司 光刻装置和测量系统
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
TWI329208B (en) 2003-06-03 2010-08-21 Oerlikon Trading Ag Optical substrate for enhanced detectability of fluorescence
JP2007234685A (ja) * 2006-02-28 2007-09-13 Canon Inc 測定装置、当該測定装置を有する露光装置及びデバイス製造方法
JP5292747B2 (ja) * 2007-09-14 2013-09-18 凸版印刷株式会社 極端紫外線用反射型フォトマスク
JP2009141177A (ja) * 2007-12-07 2009-06-25 Canon Inc Euv用ミラー及びそれを有するeuv露光装置
NL1036305A1 (nl) * 2007-12-21 2009-06-23 Asml Netherlands Bv Grating for EUV-radiation, method for manufacturing the grating and wavefront measurement system.
NL1036313A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Device manufacturing method and lithographic apparatus.
DE102008042212A1 (de) * 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
JP5239762B2 (ja) * 2008-11-13 2013-07-17 大日本印刷株式会社 反射型マスク、および、反射型マスク製造方法
JP2010206033A (ja) * 2009-03-04 2010-09-16 Nikon Corp 波面収差計測装置、該装置の校正方法、及び露光装置
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US20100301437A1 (en) 2009-06-01 2010-12-02 Kla-Tencor Corporation Anti-Reflective Coating For Sensors Suitable For High Throughput Inspection Systems
JP5381441B2 (ja) * 2009-07-16 2014-01-08 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクの製造方法
JP5532834B2 (ja) * 2009-11-10 2014-06-25 凸版印刷株式会社 反射型投影露光マスクブランク、及び反射型投影露光マスク
JP5533016B2 (ja) * 2010-02-24 2014-06-25 大日本印刷株式会社 反射型マスクの製造方法
JP5830089B2 (ja) * 2010-06-15 2015-12-09 カール・ツァイス・エスエムティー・ゲーエムベーハー Euvリソグラフィ用のマスク、euvリソグラフィシステム、及びマスクの結像を最適化する方法
DE102010030261A1 (de) * 2010-06-18 2011-12-22 Carl Zeiss Smt Gmbh Vorrichtung sowie Verfahren zum ortsaufgelösten Vermessen einer von einer Lithographie-Maske erzeugten Strahlungsverteilung
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask

Also Published As

Publication number Publication date
CN104755909A (zh) 2015-07-01
KR20150048226A (ko) 2015-05-06
TWI586949B (zh) 2017-06-11
JP2018028670A (ja) 2018-02-22
JP6452778B2 (ja) 2019-01-16
KR102100001B1 (ko) 2020-04-10
WO2014036181A1 (en) 2014-03-06
US9335206B2 (en) 2016-05-10
JP2015529855A (ja) 2015-10-08
TW201414996A (zh) 2014-04-16
US20140063490A1 (en) 2014-03-06
JP6312682B2 (ja) 2018-04-18

Similar Documents

Publication Publication Date Title
DE112013004235T5 (de) Metrologie der Wellenfrontaberation von Optiken von EUV-Maskeninspektionssystemen
DE60314484T2 (de) Untersuchungsverfahren und Verfahren zur Herstellung einer Vorrichtung
DE112016004012B4 (de) Techniken und systeme für modellbasierte messungen der kritischen dimension
DE112020004109T5 (de) Verfahren und Systeme für die Halbleitermetrologie auf Basis der weichen Röntgenreflektometrie mit Wellenlängenauflösung
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
DE102008048660B4 (de) Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
DE112017000384T5 (de) Systeme und Verfahren für erweiterte infrarotspektroskopische Ellipsometrie
DE102014217907B4 (de) Verfahren zum Herstellen einer Maske für den extrem ultra-violetten Wellenlängenbereich und Maske
DE102005035769A1 (de) EUV-Lithographiemaske mit magnetischem Kontrast und deren Herstellung
DE102014213198B4 (de) Verfahren zur Lokalisierung von Defekten auf Substraten
DE112013003491T5 (de) Modellbildungs- und Analyse-Maschine für eine kombinierte auf Röntgenstrahlung und optisch basierte Metrologie
DE112017002925T5 (de) Simultane Mehrwinkel-Spektroskopie
US10634623B2 (en) Phase contrast monitoring for extreme ultra-violet (EUV) masks defect inspection
DE112013005358T5 (de) Vorrichtung und Verfahren zur optischen Metrologie mit optimierten Systemparametern
DE102011006468B4 (de) Vermessung eines abbildenden optischen Systems durch Überlagerung von Mustern
DE102008015631A1 (de) Verfahren und Vorrichtung zur Vermessung von Masken für die Photolithographie
DE112017007551T5 (de) Vorrichtung und verfahren zur inspektion von retikeln
DE102016224690B4 (de) Verfahren und Vorrichtung zum Untersuchen eines Elements einer photolithographischen Maske für den EUV-Bereich
DE112016000853T5 (de) Optische Metrologie mit reduzierter Empfindlichkeit gegenüber Fokus-Fehlern
DE112017000464T5 (de) Einzelwellenlängen-Ellipsometrie mit verbesserter Spotgrößen-Fähigkeit
DE112016005450T5 (de) Berühungslose thermische Messungen von VUV-Optiken
DE102016206088A1 (de) Verfahren zum Bestimmen der Dicke einer kontaminierenden Schicht und/oder der Art eines kontaminierenden Materials, optisches Element und EUV-Lithographiesystem
DE102004010363B4 (de) Verfahren zur Bestimmung einer örtlichen Variation des Reflektions- oder Transmissionsverhaltens über die Oberfläche einer Maske
DE102004022595B4 (de) Verfahren und System zum Erkennen der Qualität einer alternierenden Phasenschiebermaske
DE10258371B4 (de) Verfahren zur Inspektion von periodischen Gitterstrukturen auf Lithographiemasken

Legal Events

Date Code Title Description
R012 Request for examination validly filed