DE112006003206T5 - Verfahren zur Herstellung eines Kontakts in einer Halbleiteranordnung - Google Patents

Verfahren zur Herstellung eines Kontakts in einer Halbleiteranordnung Download PDF

Info

Publication number
DE112006003206T5
DE112006003206T5 DE112006003206T DE112006003206T DE112006003206T5 DE 112006003206 T5 DE112006003206 T5 DE 112006003206T5 DE 112006003206 T DE112006003206 T DE 112006003206T DE 112006003206 T DE112006003206 T DE 112006003206T DE 112006003206 T5 DE112006003206 T5 DE 112006003206T5
Authority
DE
Germany
Prior art keywords
layer
forming
transfer layer
pattern transfer
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112006003206T
Other languages
English (en)
Other versions
DE112006003206B4 (de
Inventor
Veit Klee
Roman Knoefler
Uwe Paul Schroeder
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE112006003206T5 publication Critical patent/DE112006003206T5/de
Application granted granted Critical
Publication of DE112006003206B4 publication Critical patent/DE112006003206B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Abstract

Verfahren zum Ausbilden einer Halbleiteranordnung mit den Schritten:
Ausbilden einer Isolierschicht über einem leitenden Gebiet;
Ausbilden einer Strukturübertragungsschicht angrenzend an einen oberen Teilbereich der Isolierschicht, wobei die Strukturübertragungsschicht im Umkehrton eines Layouts von Vertiefungen strukturiert wird, die in der Isolierschicht ausgebildet werden sollen, so dass die Strukturübertragungsschicht über Gebieten verbleibt, wo die Vertiefungen auszubilden sind;
Ausbilden eines Maskenmaterials über der Isolierschicht, wobei das Maskenmaterial selbstjustiert mit der Strukturübertragungsschicht ist;
Entfernen verbleibender Teilbereiche der Strukturübertragungsschicht; und
Ätzen von Vertiefungen in die Isolierschicht unter Verwendung des Maskenmaterials als eine Maske.

Description

  • Technisches Gebiet
  • Diese Erfindung bezieht sich allgemein auf Halbleiteranordnungen und insbesondere auf ein Verfahren zur Herstellung eines Kontakts in einer Halbleiteranordnung.
  • Hintergrund
  • Halbleiter sind in integrierten Schaltungen für elektronische Anwendungen weit verbreitet, die beispielsweise Computer, Radios und Fernseher beinhalten. Solche integrierten Schaltungen verwenden typischerweise mehrere Transistoren, die in einkristallinem Silizium hergestellt sind. Es ist üblich, dass dort Millionen von Halbleiteranordnungen auf einem einzelnen Halbleiterprodukt sind. Um die notwendigen Signal- und Leistungsverbindungen für die Vielzahl von Halbleiteranordnungen bereitzustellen, beinhalten viele integrierte Schaltungen nun mehrere Metallisierungsniveaus.
  • Die Halbleiterindustrie strebt kontinuierlich danach, die Größe der Halbleiteranordnungen zu verringern, die sich auf integrierten Schaltungen befinden. Miniaturisierung ist allgemein notwendig, um der steigenden Dichte der Schaltungen Rechnung zu tragen, die für heutige fortgeschrittene Halbleiterprodukte notwendig ist. Eines der Gebiete, wo Miniaturisierung gebraucht wird, ist beim Ausbilden der Kontakte zwischen Leitern in einer integrierten Schaltung.
  • In der derzeitigen Technologie werden Kontaktlöcher in einem Dielektrikum hergestellt, das über dem Halbleitersubstrat liegt. Diese Kontaktlöcher werden unter Verwendung eines fotolithografischen Prozesses hergestellt. Beispielsweise wird, nachdem die Transistoren ausgebildet sind, ein Vor-Metall-Dielektrikum (PMD, pre-metal dielectric) über dem Substrat ab geschieden. Eine antireflektierende Beschichtung (ARC, antireflective coating) kann dann über dem Vor-Metall-Dielektrikum und einem aufgebrachten Fotoresist abgeschieden werden. Strahlung wird in Richtung des Fotoresists durch eine Maske gerichtet, so dass ausgewählte Teilbereiche des Fotoresists bestrahlt werden. Teilbereiche des Resists und der darunter liegenden ARC können dann zum Freilegen von Teilbereichen des Zwischenschichtdielektrikums entfernt werden. Diese Teilbereiche können anisotropisch geätzt werden, um die Kontaktlöcher zu erzeugen.
  • Die Kontaktlöcher werden mit einem Leiter zum Erzeugen eines Stopfens gefüllt. Beispielsweise wird ein Liner, wie z. B. Titan, gefolgt von Titannitrid entlang von Seitenwänden des Kontaktlochs ausgebildet. Das Loch kann dann mit einem Leiter, wie z. B. Wolfram, gefüllt werden. Das Wolfram kann dann bis auf das Niveau des Vor-Metall-Dielektrikums unter Verwendung eines chemisch-mechanischen Polier- oder Rückätzprozesses entfernt werden.
  • Zusammenfassung der Erfindung
  • In einem Ausführungsbeispiel wird eine Halbleiteranordnung ausgebildet. Eine Isolierschicht wird über einem leitenden Gebiet ausgebildet, und eine Strukturübertragungsschicht wird über der Isolierschicht ausgebildet. Die Strukturübertragungsschicht wird in dem Umkehrton (reverse tone) eines Layouts von in der Isolierschicht auszubildenden Vertiefungen strukturiert, so dass die Strukturübertragungsschicht über Gebieten verbleibt, wo die Vertiefungen auszubilden sind. Ein Maskenmaterial wird über der Isolierschicht ausgebildet und fluchtet mit der Strukturübertragungsschicht. Verbleibende Teilbereiche der Strukturübertragungsschicht werden entfernt und Vertiefungen werden in die Isolierschicht geätzt unter Verwendung des Maskenmaterials als eine Maske.
  • Die Details von einem oder mehreren Ausführungsbeispielen der Erfindung sind in den begleitenden Zeichnungen und der nachstehenden Beschreibung dargelegt. Verschiedene Merkmale und Vorteile der Erfindung sind aus der Beschreibung und den Zeichnungen und aus den Ansprüchen ersichtlich.
  • Kurzbeschreibung der Zeichnungen
  • Für ein vollständiges Verständnis der vorliegenden Erfindung und ihrer zugehörigen Vorteile wird nunmehr auf die nachfolgende Beschreibung in Verbindung mit den begleitenden Zeichnungen Bezug genommen, in denen:
  • 15, 6a und 713 Schnittansichten sind, die verschiedene Stadien der Herstellung einer Halbleiteranordnung unter Verwendung eines Verfahrens gemäß eines ersten Ausführungsbeispiels zeigen;
  • 6b eine Draufsicht der Struktur gemäß 6a zeigt; und
  • 14 bis 20 Schnittansichten sind, die verschiedene Stadien der Herstellung einer Halbleiteranordnung unter Verwendung eines Prozesses gemäß eines zweiten Ausführungsbeispiels zeigen.
  • Detaillierte Beschreibung beispielhafter Ausführungsbeispiele
  • Die Herstellung und Verwendung der derzeitig bevorzugten Ausführungsbeispiele wird nachstehend im Detail erläutert. Allerdings sollte wahrgenommen werden, dass die vorliegende Erfindung viele anwendbare erfindungsgemäße Ideen bereitstellt, die in breit gefächerten spezifischen Zusammenhängen ausgeführt werden können. Die vorgestellten spezifischen Ausführungsbeispiele dienen lediglich der Veranschaulichung typischer Methoden, die Erfindung herzustellen und zu benutzen und beschränken nicht den Umfang der Erfindung.
  • Die vorliegende Erfindung wird mit Bezug auf bevorzugte Ausführungsbeispiele in einem spezifischen Zusammenhang beschrieben, nämlich einem Kontaktloch für einen Stopfen (plug) in einer integrierten Schaltung. Die Erfindung kann jedoch ebenso für andere Prozesse angewandt werden, die ein Kontaktloch erfordern. Beispielsweise können Ideen der Erfindung ebenso zum Ausbilden von Vialöchern in den Metallisierungsschichten angewandt werden. Die vorliegende Erfindung kann ebenso zum Ausbilden anderer Vertiefungen, wie z. B. Gräben in einem Damasceneprozess, verwendet werden.
  • In verschiedenen Ausführungsbeispielen stellt die vorliegende Erfindung ein Verfahren zum Ausbilden kleiner Kontaktlöcher bereit. Weil die Technologieknoten kleiner werden, wird es zunehmend schwieriger, derzeitige Prozesse zu implementieren. Während die Abmessungen beispielsweise auf 45 nm und darunter sinken, werden die Lithografiefähigkeiten zum Drucken der erforderlichen winzigen Kontaktlöcher limitiert sein. Es ist gezeigt worden, dass es möglich ist, Linien und Resistinseln mit einem ausreichenden Prozessfenster zu drucken, die kleiner als 50 nm sind.
  • In einem Aspekt geht die vorliegende Erfindung den vorteilhaften Schritt, den Lithografie- und Maskenprozess zu invertieren, um nicht die Löcher, sondern vielmehr den Platz zwischen den Löchern zu drucken. In einem anderen Aspekt stellt die vorliegende Erfindung neue Integrationsschemen bereit, um die lithografisch gedruckte Struktur zurück zu invertieren.
  • Zunächst auf 1 Bezug nehmend, beinhaltet eine Halbleiterstruktur 10 ein leitendes Gebiet, in diesem Fall einen Transistor 12 und eine Verbindungsbahn (interconnect line) 14, die durch eine Isolierschicht 16 bedeckt sind. Diese bestimmte Struktur stellt lediglich eine Struktur dar, die Aspekte der vorliegenden Erfindung verwenden kann. Wie mit Bezug auf die nachfolgenden Figuren erläutert wird, werden Kon takte (als 54 und 56 in 13 bezeichnet) zu einem ersten Source/Drain-Gebiet 18 und der Verbindungsbahn 14 ausgebildet. Ein einseitig stufenförmig verjüngter (butted) Kontakt (als 58 in 13 bezeichnet) wird ebenso zwischen einem Gate 22 und einem zweiten Source/Drain-Gebiet 20 ausgebildet werden.
  • In der Figur wird der Transistor 12 in einem Halbleiterkörper 24 ausgebildet. Der Halbleiterkörper 24 kann aus einem Bulkhalbleitersubstrat, einer Schicht (z. B. einer epitaktischen Schicht), die über einem Substrat liegt, oder einem innerhalb eines Substrats oder einer Schicht ausgebildeten Gebiet ausgebildet werden. Beispielsweise sind Konzepte der vorliegenden Erfindung sehr gut für Silizium-auf-IsolatorAnwendungen geeignet, wo Transistoren in einer Schicht von Halbleitermaterial angeordnet werden, die über einer Isolierschicht (z. B. einem vergrabenen Oxid) liegt. Das bevorzugte Halbleitermaterial ist Silizium. Dennoch ist es selbstverständlich, dass Anordnungen, die in anderen elementaren Halbleitern (z. B. Ger-manium), Legierungshalbleitern (z. B. Siliziumgermanium) oder Verbundhalbleitern (z. B. Galliumarsenid) ausgebildet werden, ebenso von Aspekten der vorliegenden Erfindung profitieren können.
  • In dem dargestellten Ausführungsbeispiel wird der Transistor 12 in einem aktiven Bereich ausgebildet, der durch Isoliergebiete 26 definiert ist. In dem bevorzugten Ausführungsbeispiel sind die Isoliergebiete flache Grabenisolations- (STI, shallow trench isolation) Gebiete. In anderen Ausführungsbeispielen kann die Isolation unter Verwendung anderer Techniken bereitgestellt werden, wie z. B. Feldisolation oder tiefe Graben-Isolation. In dem bevorzugten Ausführungsbeispiel erstrecken sich die STI-Gebiete 26 um weniger als ungefähr 1.000 nm in den Halbleiterkörper 24 hinein.
  • Ein Wannengebiet 28 wird in dem aktiven Bereich ausgebildet. In einem beispielhaften Ausführungsbeispiel kann der Halblei terkörper 24 ein p-dotiertes Substrat sein. In diesem Fall würden p-Kanal-Transistoren in einer n-Wanne 28 ausgebildet. In dem Beispiel eines p-dotierten Substrats können die n-Kanal-Transistoren in dem Substrat (ohne eine Wanne) oder vorzugsweise in einer in dem Substrat ausgebildeten p-Wanne 28 ausgebildet werden. In anderen Ausführungsbeispielen kann ein n-dotierter Halbleiterkörper 24 mit p-Wannen und optionalen n-Wannen verwendet werden. Das Wannengebiet 24 erstreckt sich typischerweise bis in eine Tiefe von ungefähr 1.000 nm bis 3.000 nm.
  • Das Gate 22 wird aus einem Gatestapel ausgebildet, der über dem Halbleiterkörper 24 liegt. In dem beispielhaften Ausführungsbeispiel beinhaltet der Gatestapel ein Gatedielektrikum 29, einen Verbundschicht-Gateleiter, der Polysilizium 30 und Silizid 32 beinhaltet. Eine Hartmaske, welche nicht gezeigt ist, könnte ebenso beinhaltet sein. Zum Ausbilden des Gatestapels wird eine Gatedielektrikumsschicht abgeschieden. Eine Gateleiterschicht(-schichten) wird über der Gatedielektrikumsschicht abgeschieden und eine Hartmaskenschicht wird über der Gateleiterschicht abgeschieden. Eine (nicht gezeigte) Fotoresistschicht wird über der Hartmaskenschicht ausgebildet und wird unter Verwendung von Fotolithografietechniken zum Bedecken des Gatestapels strukturiert. Die Hartmaskenschicht, welche Siliziumnitrid sein kann, kann dann zum Ausbilden der (nicht gezeigten) Hartmaske geätzt werden. Die Hartmaske als eine Maske verwendend werden die Gateschicht(en) 30 (32) und möglicherweise die dielektrische Schicht 29 zum Erzeugen der gewünschten Gatestruktur geätzt.
  • Die Gatedielektrikumschicht 29 kann eine Oxidschicht sein, wie z. B. Siliziumdioxid, eine Nitridschicht, wie z. B. Siliziumnitrid (Si3N4) oder eine Kombination von Oxid und Nitrid, wie z. B. Siliziumoxynitrid oder mehrere Schichten von Oxiden und Nitriden. In anderen Ausführungsbeispielen kann das Gatedielektrikum 29 ein Dielektrikum mit hohem k sein (d. h. ein Dielektrikum mit einer dielektrischen Konstante, die größer ist als die dielektrische Konstante von Si3N4). Beispiele von Dielektrika mit hohem k, die als ein Gatedielektrikum verwendet werden können, beinhalten HfO2, (nitridiertes) Hf-Silikat, Al2O3, ZrO2, Zr-Al-O, Zr-Silikat.
  • In dem bevorzugten Ausführungsbeispiel beinhaltet der Gateleiter 30 zwei Schichten. Beispielsweise kann eine Silizidschicht 32 über einer Polysiliziumschicht 30 liegen. Wenn ein Polysiliziumgate 30 verwendet wird, ist es oft wünschenswert, dass es darüber hinaus ein Metall beinhaltet, um den Widerstand des Gebiets zu verringern. Beispiele von Siliziden, die für die Schicht verwendet werden können, beinhalten Titansilizid, Wolframsilizid, Cobaltsilizid, Platinsilizid und Nickelsilizid. In anderen Ausführungsbeispielen kann ein Metallgate verwendet werden (z. B. eine einzige oder mehrere Schichten von Metall). Das Metallgate kann ein Metall sein (z. B. Ir, Ru, RuTa, HfN, Ti, TiN, TaSiN, Mo), ein Metallsilizid (z. B. ein vollständig silizidiertes Gate), ein Metallnitrid oder anderes.
  • Schwach dotierte Source- und Draingebiete 36 werden in einer selbstjustierten Art und Weise mit Bezug auf den Gatestapel ausgebildet. In dem dargestellten Ausführungsbeispiel werden die schwach dotierten Source- and Draingebiete 36, manchmal als Erweiterungsgebiete bezeichnet, unter Verwendung von zwei Implantationsschritten ausgebildet. Eine erste Implantation kann durchgeführt werden, gefolgt von einer Ausbildung eines Seitenwandliners oder -spacers. Dann kann eine zweite Implantation durchgeführt werden. In anderen Ausführungsbeispielen können eine einzige Implantation oder mehr als zwei Implantationen durchgeführt werden. Beispielsweise kann eine Haloimplantierung durchgeführt werden.
  • Seitenwandspacer 34 werden entlang von Seitenwänden des Gatestapels 22 ausgebildet. Die Seitenwandspacer 34 können ausgebildet werden durch Abscheiden einer konformen Schicht von Dielektrikum, wie z. B. Siliziumoxid oder Siliziumnitrid, und anisotropes Ätzen der konformen Schicht, um die Spacer 34 entlang der Seitenwände zurückzulassen. Die vereinfachte Ansicht von 1 zeigt nur einen einzigen Liner und Spacer. Jedoch versteht es sich, dass dieser Prozess mehr als einmal wiederholt werden kann, um einen Spacer der gewünschten Dicke zu bekommen.
  • Nach dem Ausbilden der Seitenwandspacer 34, können die Source/Draingebiete 18 und 20 ausgebildet werden. Diese Gebiete können durch die Implantation von Dotierstoffen in einer Art und Weise ausgebildet werden, die selbstjustiert mit den Seitenwandspacern 34 ist. In einem Ausführungsbeispiel werden diese Gebiete vorzugsweise unter Verwendung eines Zwei-Implantationsschrittes ausgebildet, obwohl mehr oder weniger Implantationsschritte verwendet werden können. In dem Fall eines 45 nm Transistors (45 nm node transistor) werden die zwei Implantationen vorzugsweise ein Dotiergebiet mit einer Übergangstiefe von ungefähr 50 nm bis ungefähr 70 nm ausbilden. Diese Abmessung kann mit anderen Technologien variieren.
  • In dem bevorzugten Ausführungsbeispiel werden die Source/Drain-Gebiete 18 und 20 ebenfalls silizidiert. Tatsächlich können in einem Ausführungsbeispiel die Source/Drain-Gebiete 18 und 20 und das Gatepolysilizium 30 zur gleichen Zeit silizidiert werden. In dem Fall, wo die Verbindungsbahn 14 eine Polysiliziumbahn ist, kann dieses Merkmal zu dieser Zeit ebenfalls silizidiert werden. (Wenn die Polysiliziumbahnen vor dem Gatestrukturieren silizidiert wurden, brauchen diese Schichten nicht erneut silizidiert zu werden.) In einem bevorzugten Ausführungsbeispiel wird ein selbstjustierter Silizid (manchmal als ein Salizid bezeichnet)-Prozess verwendet. Eine Metallschicht kann auf dem Wafer abgeschieden und erhitzt werden, so dass sie mit dem Silizium der Source/Drain-Gebiete 18 und 20 und den Polysiliziumbahnen 30 und 14 eine Reaktion eingeht. Nicht-reagiertes Metall kann dann entfernt werden.
  • In dem dargestellten Ausführungsbeispiel liegt die Verbindungsbahn 14 über einem der Isoliergebiete 26. Diese Bahn wird zur gleichen Zeit ausgebildet, zu der der Gateleiter ausgebildet wird. Als Ergebnis werden die Seitenwandspacer 34 entlang der Seitenwände der Verbindungsbahn 14 ausgebildet. Während diese Spacer für die Herstellung der Anordnung notwendigerweise benötigt werden, ist es typischerweise zweckdienlicher, sie dort zu belassen. Die Verbindungsbahn 14 könnte Teil eines Gates eines Transistors sein, das entweder über oder unter der Zeichenebene liegt. In einem Ausführungsbeispiel kann die Halbleiterstruktur 10 eine Speicheranordnung sein und das Gate 22 und die Verbindungsbahn 14 können eine Zahl von Speicherzellen entlang einer Reihe eines Feldes koppeln (z. B. als ein Wortleitungsleiter dienen).
  • Eine Isolierschicht 38 wird über einem Transistor 12 und der Verbindungsbahn 14 ausgebildet. In einem Beispiel kann die Isolierschicht 38 als ein Kontaktätzstopp dienen. In einem Ausführungsbeispiel kann diese Schicht 38 in einer Art und Weise ausgebildet werden, die eine Beanspruchung (entweder Druck- oder Zug-) auf den Transistor 12 zum Erzeugen eines verspannten Kanaltransistors auferlegt. Die Spannung (strain) wird dabei helfen, die Mobilität von Ladungsträgern zu erhöhen. In einem bevorzugten Ausführungsbeispiel wird die Schicht 38 aus einem Nitrid, wie z. B. Siliziumnitrid (z. B. Si3N4) ausgebildet. In anderen Ausführungsbeispielen können andere Materialien verwendet werden.
  • Eine Isolierschicht 16 wird über der Isolierschicht 38 ausgebildet. In einem Ausführungsbeispiel wird die Isolierschicht 16 aus einem Oxid, wie z. B. Siliziumdioxid, ausgebildet. Beispielsweise kann eine Oxidschicht, manchmal als eine TEOS-Schicht bezeichnet, durch die Zersetzung von Tetraethyloxysilan (TEOS) ausgebildet werden. In anderen Ausführungsbeispielen können andere Abscheideverfahren (z. B. Hochtemperaturoxid) verwendet werden. Die Isolierschicht kann eine dotierte Glasschicht sein, wie z. B. Bor-Phosphor-Silikatglas (BPSG), Phosphor-Silikat-Glas (PSG), Fluor-Silikat-Glas (FSG) oder andere.
  • 2 zeigt die ersten Prozessschritte in dem bevorzugten Ausführungsbeispiel zum Ausbilden eines Kontakts. Eine Maskierungsschicht 40 wird über der Isolierschicht 16 ausgebildet. In dem bevorzugten Ausführungsbeispiel ist die Maskierungsschicht 40 Silizium, z. B. Polysilizium oder amorphes Silizium. Die Schicht kann dotiert oder undotiert sein. In anderen Ausführungsbeispielen können andere Materialien verwendet werden. Beispielsweise kann die Maskierungsschicht 40 jedes Material sein, das den Zustand oder die physikalischen Eigenschaften verändern kann (in einer Art und Weise, die ein selektives Ätzen von dem Originalzustand erlaubt), wenn es einem Prozess ausgesetzt wird. Wie nachfolgend erläutert werden wird, kann das Silizium den Zustand durch Eingehen einer Reaktion mit einem Metall zum Ausbilden eines Silizids ändern.
  • Eine Strukturübertragungsschicht 42 wird über der Maskierungsschicht 40 ausgebildet. Die Strukturübertragungsschicht 42 wird mit den Kontaktstrukturen (im Umkehrton (reverse tone)) strukturiert und verhindert, dass darunter liegende Teilbereiche der Maskierungsschicht 40 während des Selektivitäts-Erzeugungsprozesses reagieren. In dem bevorzugten Ausführungsbeispiel ist die Strukturübertragungsschicht 42 eine Siliziumdioxidschicht, die durch chemische Gasphasenabscheidung abgeschieden wird. In einem anderen Ausführungsbeispiel kann die darunter liegende Siliziumschicht 40 thermisch oxidiert sein. In diesem Fall sollte die Siliziumschicht 40 dick genug sein, um sicherzustellen, dass sie nicht vollständig oxidiert ist. In anderen Ausführungsbeispielen kann die Strukturübertragungsschicht 42 ein anderes Material sein, wie z. B. Siliziumnitrid, Siliziumoxynitrid.
  • Als Nächstes auf 3 Bezug nehmend kann der Lithografieprozess beginnen. Wie in 3 gezeigt, wird eine optionale antireflektierende Beschichtung (ARC) 44 über der Strukturübertragungsschicht 42 ausgebildet. Die ARC 44 kann beispielsweise ein organisches Material sein, entweder Polyimid- oder nicht Polyimid-basiert, oder ein anorganisches Material, wie z. B. SiOxNy, TiN, TaSi2, TiW oder amorphes Silizium. Diese Schicht ist beinhaltet, um den Lithografieprozess zu verbessern.
  • Eine Resistschicht 46 wird über der ARC 44 ausgebildet. Die Resistschicht 46 kann jeder Fotoresist sein, der in einem Lithografiesystem der geeigneten Abmessung verwendet wird. Das Resistmaterial ist typischerweise darauf zugeschnitten mit einer vorgegebenen Wellenlänge von Strahlung zu arbeiten. Diese Strahlung wird durch eine Maske 48 auf den Resist aufgebracht. Auf diese Art und Weise kann die Struktur der Kontaktlöcher ausgebildet werden.
  • In dem bevorzugten Ausführungsbeispiel wird der Fotoresist in einer Umkehrstruktur (reverse Pattern) strukturiert. In anderen Worten verbleiben Inseln von Fotoresist an den Stellen, wo die Kontaktlöcher ausgebildet werden. Dies ist entgegengesetzt zu herkömmlichen Lithografieschritten, wo der Resist entfernt wird, wo immer darunter liegendes Material entfernt werden wird. Ein Vorteil des Verwendens der Umkehrstruktur ist, dass der Platz zwischen Kontaktlöchern typischerweise größer (häufig viel größer) als die Kontaktlöcher selbst ist. Folglich ist die Umkehrstruktur leichter abzubilden.
  • Mit Bezug auf 4 werden freiliegende Teilbereiche der ARC 44 entfernt. Zu diesem Zeitpunkt können die verbleibenden Resistinseln 46 getrimmt werden. Trimmen ist ein Prozess, bei dem die lateralen Abmessungen des Resists verkürzt werden, um eine Struktur zu erzeugen, die kleiner ist als das, was mittels der Maske hätte gedruckt werden können. Der Trimmprozess ist optional und nicht immer notwendig.
  • Wie in 5 gezeigt, wird die Strukturübertragungsschicht 42 fluchtend mit den Resistinseln 46 geätzt. Während dieses Prozesses werden Teilbereiche der Strukturübertragungsschicht 42 zum Freilegen von Teilbereichen der Maskierungsschicht 40 entfernt. Andere Teilbereiche der Strukturübertragungsschicht 42 verbleiben, um über Teilbereichen der Isolierschicht 16 zu liegen, in denen Kontaktlöcher ausgebildet werden. In dem bevorzugten Ausführungsbeispiel können die freiliegenden Teilbereiche der Maskierungsschicht 40 prozessiert werden, um selektiv ätzbar mit Bezug auf die nicht freiliegenden Teilbereiche zu sein.
  • 6a stellt eine Schnittansicht der Struktur nach dem Entfernen des Resists 46 und der ARC 44 dar. 6b ist bereitgestellt, um eine vereinfachte Draufsicht der resultierenden Struktur zu zeigen. In dieser Ansicht werden die Inseln der verbleibenden Teilbereiche der Strukturübertragungsschicht 42 über verschiedenen Teilbereichen der darunter liegenden Struktur gezeigt, und zwar den Source/Drain-Gebieten 18 und 20, dem Gateleiter 30 und der Verbindungsbahn 14. Der Einfachheit halber ist die dazwischen liegende Maskierungsschicht 40 nicht gezeigt. Wie voranstehend erläutert, verbleiben die Inseln 42, wo die Kontakte ausgebildet werden.
  • Die freiliegenden Teilbereiche der Maskierungsschicht 40 können nun eine Reaktion eingehen, so dass die freiliegenden Teilbereiche von nicht freiliegenden Teilbereichen der Maskierungsschicht verschieden sind. 7 und 8 stellen das bevorzugte Verfahren zum Ausführen dieser Aufgabe dar. In 7 wird eine Metallschicht 50 über der Struktur abgeschieden. Das Metall ist vorzugsweise ein Metall, das fähig ist mit Silizium eine Reaktion einzugehen, z. B. zum Erzeugen eines Silizids. In dem bevorzugten Ausführungsbeispiel ist die Metallschicht 50 eine Nickelschicht. In anderen Ausführungsbeispielen können andere Metalle, wie beispielsweise Titan, Wolfram, Kobalt oder Platin, verwendet werden. Obwohl nicht notwendig, kann es zweckdienlich sein, das gleiche Material zu verwenden, das verwendet wurde, um die Source/Drain-Gebiete 18 und 20 und/oder die Leiter 30 und 14 zu silizidieren.
  • Die angrenzenden Teilbereiche von Metall 50 und Silizium 40 können dann, wie in 8 gezeigt, eine Reaktion eingehen. In dem bevorzugten Ausführungsbeispiel wird die Struktur ausgeheilt, so dass das Silizium 40 und Metall 50 zum Ausbilden eines Silizids reagieren. Das Metall 50, das über den Inseln 42 liegt, wird keine Reaktion eingehen und kann abgelöst werden. Wie in 9 gezeigt, können die verbleibenden Teilbereiche der Strukturübertragungsschicht 42 dann entfernt werden. Was zurückbleibt, ist eine Anzahl von Siliziuminseln 40, die durch Silizid 52 umgeben sind. (Die Lage der Siliziuminseln 40 ist die gleiche wie die der in 6a gezeigten Inseln 42.) Nun auf 10 Bezug nehmend können die keine Reaktion eingegangenen Teilbereiche der Maskierungsschicht 40 dann entfernt werden. In einem Ausführungsbeispiel werden diese Teilbereiche durch ein HF-Ätzen entfernt. Nach dem Entfernen der keine Reaktion eingegangenen Teilbereiche werden die Teilbereiche der Isolierschicht 16 freigelegt. Diese freiliegenden Teilbereiche können zusammen mit darunter liegenden Teilbereichen der Ätzstoppschicht 38 zum Ausbilden der Kontaktlöcher entfernt werden. Beispielsweise kann ein anisotropes reaktives Ionenätzen unter Verwendung der Silizidschicht 52 als eine Hartmaske durchgeführt werden. Als nur zwei Beispiele kann das Ätzen der Maskierungsschicht 40, des Isolators 16 und der Ätzstoppschicht 38 in einem einzigen Ätzschritt (wo z. B. die Chemikalien für die verschiedenen Schicht angepasst sind) oder in drei verschiedenen Ätzschritten durchgeführt werden.
  • Die Kontaktlöcher können dann mit einem Leiter zum Erzeugen der leitenden Stopfen gefüllt werden. In dem bevorzugten Ausführungsbeispiel wird zunächst ein (nicht ausdrücklich ge zeigter) Liner entlang der Seitenwände der Kontaktlöcher und entlang des Bodens des Kontaktlochs ausgebildet. Der Liner kann aus einer Schicht von Titan, gefolgt von einer Schicht von Titannitrid ausgebildet werden. In anderen Ausführungsbeispielen können andere Liner verwendet werden.
  • Der Leiter 54 ist vorzugsweise Wolfram, was durch chemische Gasphasenabscheidung abgeschieden werden kann. Wie in 11 gezeigt, wird das Wolfram vorzugsweise über der gesamten Struktur ausgebildet und dann, wie in 12 gezeigt, von der oberen Oberfläche der Anordnung entfernt. Die verbleibenden Teilbereiche von Wolfram bilden die Kontakte oder Stopfen. In dem bevorzugten Ausführungsbeispiel wird das Wolfram unter Verwendung eines chemisch mechanischen Polierprozesses planarisiert. In einem alternierenden Ausführungsbeispiel wird das Wolfram z. B. durch Durchführen eines reaktiven Ionenätzens (RIE, reactive ion etch) zurückgeätzt.
  • In diesem bestimmten Beispiel sind drei Kontakte dargestellt. Ein Kontakt 54 ist elektrisch an das Source/Drain-Gebiet 18 angeschlossen und ein Kontakt 56 ist elektrisch an die Verbindung 14 angeschlossen. Ein einseitig stufenförmig verjüngter Kontakt 58 ist elektrisch sowohl an das Gate 30 als auch an das Source/Drain-Gebiet 20 angeschlossen.
  • In der in 12 gezeigten Struktur verbleibt die Silizidhartmaske 52 und wird die drei Kontakte 54, 56 und 58 elektrisch kurzschließen. Deshalb zeigt 13, dass diese Schicht beispielsweise durch ein zusätzliches Ätzen (z. B. RIE) entfernt werden kann.
  • Alternativ kann der Polierschritt von 12 fortgesetzt werden, um ebenso durch die Silizidhartmaske 52 hindurch zu polieren. In anderen Ausführungsbeispielen könnte die Silizidhartmaske strukturiert werden und zusammen mit der (nicht gezeigten) ersten Metallisierungsebene geätzt werden. In dem bevorzugten Ausführungsbeispiel wird die erste Metallschicht durch einen Damasceneprozess ausgebildet (z. B. Kupferdamascene) und die Silizidhartmaske müsste als Folge dessen entfernt werden, bevor das erste (nicht gezeigte) Zwischendielektrikum ausgebildet wird.
  • Ein zweites Ausführungsbeispiel wird nun mit Bezug auf die 1420 beschrieben. Viele der voranstehend erläuterten Details beziehen sich ebenso auf das zweite Ausführungsbeispiel und werden der Einfachheit halber hierin nicht wiederholt. Desgleichen können sich Details, die mit Bezug auf das zweite Ausführungsbeispiel erläutert werden, ebenso auf das erste beziehen.
  • 14 beginnt mit der Ausbildung von Transistorstrukturen, wie in 1 dargestellt und voranstehend beschrieben. In diesem Ausführungsbeispiel wird eine Strukturübertragungsschicht 41 über der Zwischendielektrikumsschicht 16 abgeschieden. In dem bevorzugten Ausführungsbeispiel ist die Strukturübertragungsschicht 41 ein Nitrid, wie z. B. Siliziumnitrid (z. B. Si3N4). In anderen Ausführungsbeispielen können andere Materialien verwendet werden.
  • Der Lithografieprozess ist in 15 dargestellt. Wie voranstehend kann es beginnen. Wie in 3 gezeigt, kann eine optionale antireflektierende Beschichtung (ARC) 44 über der Strukturübertragungsschicht 42 ausgebildet werden und eine Resistschicht 46 wird über der ARC 44 ausgebildet. Strahlung wird auf den Resist durch eine Maske 48 in der Struktur der auszubildenden Kontaktlöcher aufgebracht. Wie zuvor wird der Fotoresist in eine Umkehrstruktur strukturiert.
  • Mit Bezug auf 16 werden freiliegende Teilbereiche der ARC 44 entfernt und die verbleibenden Resistinseln 46 können getrimmt werden. Wie in 17 gezeigt wird die Strukturübertragungsschicht 41 fluchtend mit den Resistinseln 46 geätzt. Während dieses Prozesses werden Teilbereiche der Übertragungsschicht 41 zum Freilegen der darunter liegenden die lektrischen Schicht 16 entfernt. In anderen Ausführungsbeispielen könnte eine Zwischenschicht (intervening layer) beinhaltet sein. In weiteren Ausführungsbeispielen könnte die Strukturübertragungsschicht eliminiert werden und die Struktur könnte in den oberen Teil der dielektrischen Schicht 16 mit einer bestimmten Ätztiefe übertragen werden (z. B. 100 nm mit einem zeitlich festgelegten anisotropen Ätzprozess). Die verbleibenden Teilbereiche der Strukturübertragungsschicht 41 verbleiben, um über Teilbereichen der Isolierschicht 16 zu liegen, in denen die Kontaktlöcher ausgebildet werden.
  • 18 stellt eine Schnittansicht der Struktur nach dem Entfernen des Resists 46 und der ARC 44 dar. Die Draufsicht von 6b kann ebenso verwendet werden, um ein Beispiel der resultierenden Struktur darzustellen.
  • Nun auf 19 Bezug nehmend, wird eine Maskierungsschicht 60 über der Struktur abgeschieden. Die Maskierungsschicht 60 füllt im Wesentlichen die Öffnungen zwischen den verbleibenden Teilbereichen der Strukturübertragungsschicht 41 und liegt in diesem Beispiel ebenso über den Teilbereichen von Schicht 41. In einem alternierenden Ausführungsbeispiel kann die Maskierungsschicht 60 selektiv abgeschieden werden, so dass Material die Öffnungen nur füllt, ohne die Inseln zu bedecken. Dieser selektive Abscheidungsprozess würde den Polierschritt eliminieren, der nachstehend mit Bezug auf 20 beschrieben wird.
  • In dem bevorzugten Ausführungsbeispiel wird die Maskierungsschicht 60 aus Wolfram ausgebildet. Beispielsweise kann Wolfram unter Verwendung eines chemischen Gasphasenabscheidungsprozesses abgeschieden werden. Obwohl nicht gezeigt, kann eine Adhäsionsschicht, wie z. B. Ti, TiN, TiW, Ta, TaN oder Kombinationen davon, vor dem Abscheiden des Wolframs ausgebildet werden. Langzeitzuverlässigkeit ist kein Thema, weil die Maskierungsschicht 60 eine Opfermaske ist (zumindest in dem Beispiel, wo ein Leiter verwendet wird) und entfernt wird. Ande re Materialien können ebenso als die Maskierungsschicht verwendet werden. Beispielsweise könnte die Maskierungsschicht Si, Cu, Ti, TiN, Ti/TiN, TiW, Ta, TaN oder Kombinationen davon sein. Im Allgemeinen kann jedes Material verwendet werden, solange wie die Strukturübertragungsschicht 41 und die dielektrische Schicht 16 selektiv mit Bezug auf dieses Material geätzt werden können.
  • 20 stellt die Struktur von 19 nach der Durchführung eines chemisch-mechanischen Polier (CMP, chemical mechanical polish)-Prozesses dar. Während dieses Prozesses werden jedwede Teilbereiche der Maskierungsschicht 60, die über der Strukturübertragungsschicht 41 liegen, entfernt. Die resultierende Struktur ist ähnlich zu der in 9 gezeigten, wobei das Silizid 50 durch das Maskierungsmaterial 60 ersetzt ist. Als solches kann der Prozess von diesem Punkt wie voranstehend beschrieben fortgesetzt werden.
  • Die vorliegende Erfindung ist voranstehend mit Bezug auf das Ausbilden von Kontaktlöchern für das Verbinden von Transistoren und anderen darunter liegenden Strukturen beschrieben worden. Ideen der Erfindung können ebenso für back-end-of-line (BEOL)-Prozesse angewandt werden. Beispielsweise könnten die Kontaktlöcher 5458 Vias zwischen Metallisierungsbahnen (z. B. in einem Einzeldamascene-Prozess) sein. Alternativ könnte der Prozess verwendet werden, um die Gräben in Metallisierungsschichten zu definieren, z. B. in einem Damasceneprozess. Beispielsweise könnte die Erfindung nützlich sein, wenn der Platz zwischen Leitern größer ist als die Breite der Leiter.
  • Verschiedene Ausführungsbeispiele der Erfindung haben eine Anzahl von Vorteilen. Beispielsweise ist das Lithografieprozessfenster nicht durch die Notwendigkeit zum Drucken von Löchern limitiert. Zusätzlich werden herkömmliche PMD-Füllungen aufrechterhalten, weil das Zurückinvertieren durch eine Hartmaske über dem PMD ausgeführt wird. Als ein weiterer Vorteil erfordert die vorliegende Erfindung nicht die Integration von irgendwelchen "exotischen" Materialien (obwohl solche Materialien verwendet werden können). Die Übertragungsschicht und die Hartmaske können aus Materialien ausgebildet werden, die in herkömmlichen Kontaktmodulen verwendet werden.
  • Als ein weiterer Vorteil stellen Ausführungsbeispiele der Erfindung eine Option bereit, die Lithografiemerkmale mit einem ARC- und/oder Hartmaskenätzprozess zu trimmen. Als eine Folge können die Lithografieanforderungen weiter gelockert werden. In einem anderen Ausführungsbeispiel können die Lithografiemerkmale durch Salizidwachstum getrimmt werden.
  • In verschiedenen Ausführungsbeispielen können die Hartmasken und Übertragungsschichten sehr dünn gemacht werden, weil keine zusätzlichen CMP-Schritte erforderlich sind. Darüber hinaus kann die Hartmaske durch herkömmliche Prozessschritte entfernt werden. Diese Merkmale dienen dazu, den Prozess zu vereinfachen und folglich die Kosten zu reduzieren.
  • Obwohl diese Erfindung mit Bezug auf erläuternde Ausführungsbeispiele beschrieben worden ist, ist es nicht beabsichtigt, dass diese Beschreibung in einem einschränkenden Sinne ausgelegt wird. Verschiedene Abänderungen und Kombinationen der erläuternden Ausführungsbeispiele, ebenso wie andere Ausführungsbeispiele der Erfindung, werden dem Fachmann unter Bezugnahme auf die Beschreibung offensichtlich sein. Es ist deshalb beabsichtigt, dass die beigefügten Ansprüche jede solchen Abänderungen oder Ausführungsbeispiele umfassen.
  • Zusammenfassung
  • Verfahren zur Herstellung eines Kontakts in einer Halbleiteranordnung
  • Zum Ausbilden einer Halbleiteranordnung wird eine Isolierschicht über einem leitenden Gebiet ausgebildet und eine Strukturübertragungsschicht wird über der Isolierschicht ausgebildet. Die Strukturübertragungsschicht wird im Umkehrton eines Layouts von in der Isolierschicht auszubildenden Vertiefungen so strukturiert, dass die Strukturübertragungsschicht über Gebieten verbleibt, wo die Vertiefungen auszubilden sind. Ein Maskenmaterial wird über der Isolierschicht ausgebildet und mit der Strukturübertragungsschicht justiert. Verbleibende Teilbereiche der Strukturübertragungsschicht werden entfernt und Vertiefungen werden in die Isolierschicht unter Verwendung des Maskenmaterials als eine Maske geätzt.

Claims (28)

  1. Verfahren zum Ausbilden einer Halbleiteranordnung mit den Schritten: Ausbilden einer Isolierschicht über einem leitenden Gebiet; Ausbilden einer Strukturübertragungsschicht angrenzend an einen oberen Teilbereich der Isolierschicht, wobei die Strukturübertragungsschicht im Umkehrton eines Layouts von Vertiefungen strukturiert wird, die in der Isolierschicht ausgebildet werden sollen, so dass die Strukturübertragungsschicht über Gebieten verbleibt, wo die Vertiefungen auszubilden sind; Ausbilden eines Maskenmaterials über der Isolierschicht, wobei das Maskenmaterial selbstjustiert mit der Strukturübertragungsschicht ist; Entfernen verbleibender Teilbereiche der Strukturübertragungsschicht; und Ätzen von Vertiefungen in die Isolierschicht unter Verwendung des Maskenmaterials als eine Maske.
  2. Verfahren nach Patentanspruch 1, wobei das Ausbilden einer Strukturübertragungsschicht ein Abscheiden einer Schicht über der Isolierschicht und ein Ätzen der abgeschiedenen Schicht umfasst.
  3. Verfahren nach Patentanspruch 2, wobei das Abscheiden einer Schicht ein Abscheiden einer Siliziumnitridschicht umfasst.
  4. Verfahren nach Patentanspruch 1, wobei das Ausbilden einer Strukturübertragungsschicht ein Ätzen eines oberen Teilbereichs der Isolierschicht umfasst.
  5. Verfahren nach Patentanspruch 1, wobei das Ausbilden eines Maskenmaterials umfasst: Abscheiden einer Schicht des Maskenmaterials; und Durchführen eines chemisch-mechanischen Polierschritts zum Entfernen jedweden Maskenmaterials oberhalb von Teilbereichen der Strukturübertragungsschicht.
  6. Verfahren nach Patentanspruch 5, wobei das Maskenmaterial Wolfram umfasst.
  7. Verfahren nach Patentanspruch 1, wobei das Maskenmaterial ein Silizid umfasst und wobei das Ausbilden eines Maskenmaterials ein Eingehen einer Reaktion mit einer darunter liegenden Siliziumschicht umfasst, wobei das Eingehen der Reaktion durch die verbleibenden Teilbereiche der Strukturübertragungsschicht maskiert ist.
  8. Verfahren nach Patentanspruch 1, wobei die Vertiefungen Kontaktlöcher umfassen mit dem weiteren Schritt: Füllen der Kontaktlöcher mit einem leitenden Material.
  9. Verfahren nach Patentanspruch 8 mit dem weiteren Schritt: Entfernen des Maskierungsmaterials nach dem Füllen der Kontaktlöcher mit dem leitenden Material.
  10. Verfahren nach Patentanspruch 1, wobei das leitende Gebiet dotiertes Silizium umfasst.
  11. Verfahren nach Patentanspruch 1, wobei das leitende Gebiet ein Metall umfasst.
  12. Verfahren zum Ausbilden einer Halbleiteranordnung mit den Schritten: Ausbilden einer Isolierschicht über einem leitenden Gebiet; Ausbilden einer Maskierungsschicht über der Isolierschicht; Ausbilden einer Strukturübertragungsschicht über der Maskierungsschicht; Entfernen von Teilbereichen der Strukturübertragungsschicht zum Freilegen von Teilbereichen der Maskierungsschicht, wobei die verbleibenden Teilbereiche der Strukturübertragungsschicht über Teilbereichen der Isolierschicht liegen, in welchen Kontaktlöcher ausgebildet werden; Ändern der freiliegenden Teilbereiche der Maskierungsschicht, so dass die freiliegenden Teilbereiche der Maskierungsschicht von nicht freiliegenden Teilbereichen der Maskierungsschicht verschieden sind; Entfernen der verbleibenden Teilbereiche der Strukturübertragungsschicht; Entfernen von Teilbereichen der Maskierungsschicht, die unter der Strukturübertragungsschicht lagen und während des Veränderungsschrittes nicht verändert wurden, wobei Teilbereiche der Isolierschicht freigelegt sind, nachdem die nicht reagierten Teilbereiche der Maskierungsschicht entfernt worden sind; und Ätzen der freiliegenden Teilbereiche der Isolierschicht.
  13. Verfahren nach Patentanspruch 12, wobei das Ausbilden einer Strukturübertragungsschicht ein Ausbilden einer Oxidschicht umfasst.
  14. Verfahren nach Patentanspruch 12, wobei das Entfernen von Teilbereichen der Strukturübertragungsschicht umfasst: Ausbilden einer Resistschicht über der Strukturübertragungsschicht; Strukturieren der Resistschicht zum Freilegen der Teilbereiche der Strukturübertragungsschicht, die entfernt werden; und Entfernen der freiliegenden Teilbereiche der Strukturübertragungsschicht.
  15. Verfahren nach Patentanspruch 14 mit dem weiteren Schritt: Ausbilden einer antireflektierenden Beschichtung über der Strukturübertragungsschicht, wobei die Resistschicht über der antireflektierenden Beschichtung ausgebildet wird.
  16. Verfahren nach Patentanspruch 14 mit dem weiteren Schritt: Trimmen der Strukturübertragungsschicht nach dem Entfernen der freiliegenden Teilbereiche der Strukturübertragungsschicht.
  17. Verfahren nach Patentanspruch 12, wobei die Maskierungsschicht eine Siliziumschicht umfasst.
  18. Verfahren nach Patentanspruch 17, wobei das Ändern freiliegender Teilbereiche der Maskierungsschicht umfasst: Ausbilden eines Metalls über den freiliegenden Teilbereichen der Maskierungsschicht; und Erhitzen der Maskierungsschicht und des Metalls zum Ausbilden eines Metallsilizids.
  19. Verfahren nach Patentanspruch 18, wobei das Metall Nickel umfasst.
  20. Verfahren zum Ausbilden einer Halbleiteranordnung mit den Schritten: Ausbilden eines Transistors in einem Halbleiterkörper; Ausbilden einer Isolierschicht über dem Halbleiterkörper einschließlich über dem Transistor; Abscheiden einer Siliziumschicht über der Isolierschicht; Abscheiden einer Strukturübertragungsschicht über der Siliziumschicht; Ausbilden einer Resistschicht über der Strukturübertragungsschicht; Strukturieren der Resistschicht zum Freilegen von Teilbereichen der Strukturübertragungsschicht; Entfernen der freiliegenden Teilbereiche der Strukturübertragungsschicht, wobei Teilbereiche der Siliziumschicht freigelegt werden, nachdem die freiliegenden Teilbereiche der Strukturübertragungsschicht entfernt sind; Abscheiden eines Metalls über den freiliegenden Teilbereichen der Siliziumschicht; Eingehen einer Reaktion des Metalls mit den freiliegenden Teilbereichen der Siliziumschicht zum Ausbilden einer Silizidmaskierungsschicht; Entfernen verbleibender Teilbereiche der Strukturübertragungsschicht; Entfernen nicht reagierter Teilbereiche der Siliziumschicht; Ätzen eines Kontaktlochs in die Isolierschicht unter Verwendung der Silizidmaskierungsschicht als eine Maske; Ausbilden eines Leiters innerhalb des Kontaktlochs, wobei der Leiter elektrisch an den Transistor gekoppelt ist; und Entfernen der Silizidmaskierungsschicht.
  21. Verfahren nach Patentanspruch 20, wobei das Abscheiden einer Strukturübertragungsschicht ein Abscheiden einer Siliziumoxidschicht umfasst.
  22. Verfahren nach Patentanspruch 20, wobei das Entfernen nicht reagierter Teilbereiche der Siliziumschicht ein Durchführen eines HF-Ätzens umfasst.
  23. Verfahren nach Patentanspruch 20, wobei das Abscheiden eines Metalls ein Abscheiden von Nickel umfasst.
  24. Verfahren nach Patentanspruch 20, wobei das Entfernen der Silizidmaskierungsschicht ein Durchführen eines chemisch-mechanischen Polierens umfasst.
  25. Verfahren nach Patentanspruch 20, wobei das Entfernen der Silizidmaskierungsschicht ein Durchführen eines reaktiven Ionenätzens umfasst.
  26. Verfahren nach Patentanspruch 20, wobei die Silizidmaskierungsschicht vor dem Ausbilden des Leiters in dem Kontaktloch entfernt wird.
  27. Verfahren nach Patentanspruch 20, wobei die Silizidmaskierungsschicht nach dem Ausbilden eines Leiters in dem Kontaktloch entfernt wird.
  28. Verfahren nach Patentanspruch 20, wobei das Ausbilden des Leiters in dem Kontaktloch ein Ausbilden eines einseitig stufenförmig verjüngten Kontakts umfasst, der sowohl ein Gate als auch ein Source/Drain-Gebiet des Transistors elektrisch koppelt.
DE112006003206.2T 2005-12-13 2006-12-13 Verfahren zum Ausbilden einer Halbleiteranordnung Expired - Fee Related DE112006003206B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/301,515 US7678704B2 (en) 2005-12-13 2005-12-13 Method of making a contact in a semiconductor device
US11/301,515 2005-12-13
PCT/EP2006/069648 WO2007068714A2 (en) 2005-12-13 2006-12-13 Method of making a contact in a semiconductor device

Publications (2)

Publication Number Publication Date
DE112006003206T5 true DE112006003206T5 (de) 2008-10-16
DE112006003206B4 DE112006003206B4 (de) 2015-08-06

Family

ID=38139957

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112006003206.2T Expired - Fee Related DE112006003206B4 (de) 2005-12-13 2006-12-13 Verfahren zum Ausbilden einer Halbleiteranordnung

Country Status (3)

Country Link
US (2) US7678704B2 (de)
DE (1) DE112006003206B4 (de)
WO (1) WO2007068714A2 (de)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2008108128A1 (ja) * 2007-03-08 2010-06-10 日本電気株式会社 誘電体、誘電体を用いたキャパシタ、誘電体を用いた半導体装置、及び誘電体の製造方法
US7504287B2 (en) * 2007-03-22 2009-03-17 Advanced Micro Devices, Inc. Methods for fabricating an integrated circuit
KR101429211B1 (ko) * 2008-01-30 2014-08-14 삼성전자주식회사 금속 실리사이드를 포함하는 트랜지스터 및 그 제조 방법,이를 이용한 반도체 소자 제조 방법.
US8754530B2 (en) * 2008-08-18 2014-06-17 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
US8541311B2 (en) * 2010-12-22 2013-09-24 GlobalFoundries, Inc. Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning
US8786026B2 (en) * 2011-02-17 2014-07-22 Samsung Electronics Co., Ltd. Optimized channel implant for a semiconductor device and method of forming the same
US8420542B2 (en) 2011-05-27 2013-04-16 International Business Machines Corporation Method of patterned image reversal
US8716124B2 (en) * 2011-11-14 2014-05-06 Advanced Micro Devices Trench silicide and gate open with local interconnect with replacement gate process
US8580628B2 (en) * 2012-02-02 2013-11-12 GlobalFoundries, Inc. Integrated circuit contact structure and method
US9059095B2 (en) 2013-04-22 2015-06-16 International Business Machines Corporation Self-aligned borderless contacts using a photo-patternable dielectric material as a replacement contact
CN104347485B (zh) * 2013-08-06 2018-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9472415B2 (en) * 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique
US9793204B2 (en) * 2015-11-17 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Method of manufacturing semiconductor structure comprising plurality of through holes using metal hard mask
US9923139B2 (en) * 2016-03-11 2018-03-20 Micron Technology, Inc. Conductive hard mask for memory device formation
US11139212B2 (en) * 2018-09-28 2021-10-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4470189A (en) * 1983-05-23 1984-09-11 International Business Machines Corporation Process for making polycide structures
US4799990A (en) 1987-04-30 1989-01-24 Ibm Corporation Method of self-aligning a trench isolation structure to an implanted well region
US5024971A (en) 1990-08-20 1991-06-18 Motorola, Inc. Method for patterning submicron openings using an image reversal layer of material
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5834159A (en) 1996-04-22 1998-11-10 Advanced Micro Devices, Inc. Image reversal technique for forming small structures in integrated circuits
US6448140B1 (en) * 1999-02-08 2002-09-10 Taiwan Semiconductor Manufacturing Company Laterally recessed tungsten silicide gate structure used with a self-aligned contact structure including a straight walled sidewall spacer while filling recess
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6177304B1 (en) * 1999-04-26 2001-01-23 Chartered Semiconductor Manufacturing Ltd. Self-aligned contact process using a poly-cap mask
US6277544B1 (en) 1999-06-09 2001-08-21 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor spaces
US6221777B1 (en) 1999-06-09 2001-04-24 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor vias
US6376298B1 (en) * 2000-01-31 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Layout method for scalable design of the aggressive RAM cells using a poly-cap mask
JP3664987B2 (ja) * 2001-03-14 2005-06-29 シャープ株式会社 電子顕微鏡観察用試料の作成方法及び半導体装置の解析方法
KR100526870B1 (ko) * 2003-06-04 2005-11-09 삼성전자주식회사 반도체 소자에서의 국부 상호연결배선 형성방법
US7077903B2 (en) * 2003-11-10 2006-07-18 International Business Machines Corporation Etch selectivity enhancement for tunable etch resistant anti-reflective layer
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
DE10355581B4 (de) * 2003-11-28 2010-01-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Technik zur Herstellung einer Gateelektrode unter Anwendung einer Hartmaske
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
US7557043B2 (en) * 2005-06-15 2009-07-07 United Microelectronics Corp. Method of fabricating the stacked structure and damascene process
US20070054486A1 (en) * 2005-09-05 2007-03-08 Ta-Hung Yang Method for forming opening

Also Published As

Publication number Publication date
US7985676B2 (en) 2011-07-26
WO2007068714A3 (en) 2007-09-20
US20070134909A1 (en) 2007-06-14
WO2007068714A2 (en) 2007-06-21
DE112006003206B4 (de) 2015-08-06
US20100124820A1 (en) 2010-05-20
US7678704B2 (en) 2010-03-16

Similar Documents

Publication Publication Date Title
DE112006003206B4 (de) Verfahren zum Ausbilden einer Halbleiteranordnung
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE60133214T2 (de) Gateherstellungsprozess für den dram-bereich und logikbauteile auf demselben chip
DE10107125B4 (de) Verfahren zum Ausbilden von Kontaktlöchern in einer integrierten Schaltungsvorrichtung durch selektives Ätzen einer Isolationsschicht, um die zu einem Halbleiterbereich benachbarte selbstausrichtende Kontaktfläche zu vergrößern, und dadurch ausgebildeter Kontakt in einer integrierten Schaltungsvorrichtung
DE102017118475B4 (de) Selbstjustierte abstandshalter und verfahren zu deren herstellung
DE102004003315B4 (de) Halbleitervorrichtung mit elektrischem Kontakt und Verfahren zur Herstellung derselben
DE69737783T2 (de) Verfahren zur Herstellung eines Halbleiterspeicherbauteils
DE60132748T2 (de) Herstellungsverfahren für ein Halbleiterbauelement unter Benutzung eines Dummy-Gates
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102019116730A1 (de) Teilweise barrierefreie durchkontaktierungen für kobaltbasierte verbindungen und verfahren zu deren herstellung
DE19728140C2 (de) Verfahren zur Herstellung einer Metall-Silicid-Schicht über einer Halbleiterstruktur
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102019216082B4 (de) Skalierter gate-kontakt und source/drain-kappe sowie verfahren zu dessen herstellung
DE112018000689T5 (de) Dual-kanal-cmos mit gemeinsamen gate-stapeln
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE19921110A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102021100877B4 (de) Mittellinien-Verbindungsstruktur mit Luftspalt und Verfahren zu deren Herstellung
DE102020119976A1 (de) Halbleitervorrichtung und verfahren
DE102017124072A1 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE19615692C2 (de) Halbleitervorrichtung und Herstellungsverfahren einer Halbleitereinrichtung
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE102006041006B4 (de) Verfahren zur Strukturierung von Kontaktätzstoppschichten unter Anwendung eines Planarisierungsprozesses
DE102021104817B4 (de) Halbleitervorrichtung und verfahren

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021027000

Ipc: H01L0021033000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021027000

Ipc: H01L0021033000

Effective date: 20150420

R082 Change of representative

Representative=s name: KINDERMANN, PETER, DIPL.-ING.UNIV., DE

R020 Patent grant now final
R082 Change of representative
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee