DE10355581B4 - Verfahren und Technik zur Herstellung einer Gateelektrode unter Anwendung einer Hartmaske - Google Patents

Verfahren und Technik zur Herstellung einer Gateelektrode unter Anwendung einer Hartmaske Download PDF

Info

Publication number
DE10355581B4
DE10355581B4 DE10355581A DE10355581A DE10355581B4 DE 10355581 B4 DE10355581 B4 DE 10355581B4 DE 10355581 A DE10355581 A DE 10355581A DE 10355581 A DE10355581 A DE 10355581A DE 10355581 B4 DE10355581 B4 DE 10355581B4
Authority
DE
Germany
Prior art keywords
layer
mask
etching
dimensions
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10355581A
Other languages
English (en)
Other versions
DE10355581A1 (de
Inventor
Massud-A. Austin Aminpur
Kay Hellig
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10355581A priority Critical patent/DE10355581B4/de
Priority to US10/974,119 priority patent/US7151055B2/en
Publication of DE10355581A1 publication Critical patent/DE10355581A1/de
Application granted granted Critical
Publication of DE10355581B4 publication Critical patent/DE10355581B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

Verfahren mit:
Bilden einer Schicht über einem Substrat;
Bilden einer Maskenschicht über der Schicht;
Bilden einer Öffnung in der Maskenschicht mit ersten Abmessungen;
Verringern der Abmessungen der Öffnung auf kleinere zweite Abmessungen, die einem in der Schicht zu bildenden Schaltungselement entsprechen;
Bilden einer Ätzmaske mit im wesentlichen den verringerten zweiten Abmessungen in der Öffnung;
Entfernen der Maskenschicht; und
Ätzen der Schicht unter Anwendung der Ätzmaske, um das Schaltungselement zu bilden.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Die vorliegende Erfindung betrifft im Allgemeinen die Herstellung integrierter Schaltungen und betrifft dabei die Herstellung kleiner Schaltungselemente, etwa einer Gateelektrode eines Feldeffekttransistors, auf einem Substrat, wobei die Abmessungen der Schaltungselemente deutlich kleiner als das Auflösungsvermögen der beteiligten Lithographietechnik sind.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • Die Tendenz in der jüngeren Vergangenheit, die Strukturgrößen von Elementen in Mikrostrukturen, etwa von Schaltungselementen in integrierten Schaltungen, stetig zu reduzieren, wird sich auch in der näheren Zukunft fortsetzen, wobei reproduzierbare und stabile Prozesse zu entwickeln sind, die die Herstellung einer großen Anzahl von integrierten Schaltungen in einer kosteneffizienten Weise ermöglichen. Gegenwärtig beinhalten technisch weit entwickelte integrierte Schaltungen, die als Massenprodukte verfügbar sind, Elemente mit Abmessungen, die deutlich unter dem optischen Auflösungsvermögen der Lithographievorrichtungen liegen, die zum Übertragen eines Musters von einer Maske in eine auf einem Substrat ausgebildete Materialschicht benutzt werden. Minimale Abmessungen von Schaltungselementen liegen gegenwärtig bei 100 nm und darunter, wobei die Wellenlänge der Strahlung, die zum optischen Übertragen von Mustern von der Maske auf die Substratoberfläche verwendet werden, im tiefen Ultraviolettbereich bei einer Wellenlänge von beispielsweise 248 nm und entsprechend neueren Entwicklungen bei ungefähr 193 nm liegen. In diesen Wellenlängenbereichen ist die Absorption optisch durchlässiger Elemente, etwa von Linsen, beträchtlich und steigt ferner bei einer weiteren Reduzierung der Wellenlänge dramatisch an. Somit ist das bloße Reduzieren der Wellenlänge von Lichtquellen für Lithographievorrichtungen keine naheliegende Entwicklung und kann nicht in einfacher Weise bei der Massenproduktion von Schaltungselementen mit Strukturgrößen von 50 nm und darunter implementiert werden.
  • Die Gesamtauflösung des zuverlässigen Übertragens von Schaltungsmustern von einer Maske auf ein Substrat ist einerseits von dem intrinsischen optischen Auflösungsvermögen der Photolithographievorrichtung, den Eigenschaften der bei dem photolithographischen Strukturierungsprozess verwendeten Materialien, etwa dem Photolack und etwaiger antireflektierender Beschichtungen (ARC), die zum Minimieren der störenden Streuung und der Effekte stehender Wellen in dem Photolack vorgesehen werden, und andererseits durch die Abscheide- und Ätzprozeduren, die bei der Herstellung der Lack- und ARC-Schichten und beim Ätzen dieser Schichten nach der Belichtung beteiligt sind, bestimmt. Insbesondere das äußerst nicht lineare Verhalten des Photolacks in Verbindung mit technisch fortgeschrittenen ARC-Schichten und Lithographie-Maskentechniken ermöglicht die Herstellung von Lackmustern mit Abmessungen, die deutlich unterhalb des intrinsischen optischen Auflösungsvermögens der Photolithographievorrichtung liegen. Ferner wird ein weiterer der Lithographie nachgeschalteter Schrumpfätzprozess ausgeführt, um die Strukturgrößen des Lackmusters noch weiter zu verringern, die dann als eine Ätzmaske in nachfolgenden anisotropen Schritten zum Übertragen des Lackmusters in die darunter liegende Materialschicht dient. Somit ermöglicht es dieser Lackschrumpfprozess, dass die kritische Abmessung der Gateelektrode auf eine Größe verringert wird, die deutlich unterhalb der Wellenlänge der Photolithographie liegt.
  • Es ist jedoch von großer Bedeutung, den Lackschrumpfprozess genau zu steuern, um eine präzise definierte Maske für den nachfolgenden anisotropen Ätzprozess zur Strukturierung des Gateschichtstapels zu bilden, da eine beliebige Fluktuation der Gatelänge sich direkt als eine entsprechende Fluktuation in der Betriebsgeschwindigkeit des fertigen Bauteils auswirkt.
  • Mit Bezug zu den 1a bis 1c wird nunmehr ein typischer konventioneller Prozessablauf zur Herstellung einer Gateelektrode eines Feldeffekttransistors einschließlich eines Steuerungsablaufes für den Lackschrumpfätzvorgang detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 während eines anfänglichen Stadiums bei der Herstellung eines leitungsähnlichen Schaltungselements, etwa der Gateelektrode eines Feldeffekttransistors. Das Halbleiterbauelement 100 umfasst ein Substrat 101, beispielsweise ein Siliziumsubstrat, oder ein beliebiges anderes geeignetes Substrat mit einer darauf gebildeten geeigneten Halbleiterschicht. Ein Schichtstapel 102, der beispielsweise geeignet ausgebildet ist, um die Herstellung einer Gateelektrode zu ermöglichen, ist auf dem Substrat 101 ausgebildet und kann eine Gateisolierschicht 103 und eine Gatematerialschicht 104, etwa eine Polysiliziumschicht, enthalten. Eine antireflektierende Beschichtung 105 mit geeigneter Dicke und Materialzusammensetzung, die beispielsweise aus siliziumangereichertem Siliziumnitrid, Siliziumoxynitrid, und dergleichen aufgebaut ist, ist auf dem Schichtstapel 102 ausgebildet, woran sich ein Lackmaskenstrukturelement 106 mit einer anfänglichen lateralen Größe, die auch als Dinitial bezeichnet ist, anschließt, wobei die laterale Größe Dinitial entlang einer Längenrichtung, d. h. der horizontalen Richtung in der Zeichenebene aus 1a, einer Gateelektrode orientiert ist, die aus dem Schichtstapel 102 herzustellen ist.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 100, wie es in 1a gezeigt ist, kann die folgenden Prozesse aufweisen. Zunächst wird der Schichtstapel 102 gebildet, indem beispielsweise die Gateisolierschicht 103 unter Anwendung fortschrittlicher Oxidations- und/oder Abscheideverfahren hergestellt wird, wie sie im Stand der Technik gut bekannt sind. Nachfolgend wird die Polysiliziumschicht 104 durch chemische Dampfabscheidung (CVD) abgeschieden werden, worauf sich die Abscheidung der antireflektierenden Schicht 105 anschließt, deren Dicke und Materialzusammensetzung so gewählt werden, um eine Rückreflektion von Strahlung während eines nachfolgenden Lithographieprozesses deutlich zu reduzieren. Die Dicke kann typischerweise im Bereich von 30 bis 150 nm für eine siliziumangereicherte Nitridschicht liegen, die durch thermisches CVD, plasmaunterstütztes CVD, und dergleichen gebildet werden kann, wobei die Materialzusammensetzung während des Abscheidevorganges gesteuert wird. Anschließend wird eine Lackschicht mit einem Material, das im tiefen UV-Bereich empfindlich ist, beispielsweise durch Aufschleuderverfahren abgeschieden, und wird nachfolgend mit Strahlung im tiefen UV-Bereich mit einer Wellenlänge von beispielsweise 248 nm oder 193 nm belichtet. Nach der Entwicklung der Lackschicht, worin beliebige bekannte, der Entwicklung vor und nachgeschaltete Prozeduren enthalten sein können, etwa das Ausbacken und dergleichen, wird das Lackmaskenstrukturelement 106 mit der lateralen Abmessung Dinital gebildet, die deutlicher größer sein kann als eine gewünschte Gatelänge Dtarget der noch zu bildenden Gateelektrode. Wie zuvor erläutert ist, ist selbst für ein äußerst nicht lineares Verhalten des verwendeten Photolackes die laterale Größe Dinitial des Lackmaskenstrukturelements 106 noch deutlich größer, um ungefähr 30 bis 50%, als der erforderliche Sollwert, insbesondere wenn die kritische Strukturgröße Dtarget in der Größenordnung von 100 nm und deutlich darunter liegt. Aus diesem Grunde enthält der Ätzvorgang zur Herstellung der Gateelektrode einen ersten Schritt zum Reduzieren der Größe des Lackmaskenstrukturelements 106 in einer gut gesteuerten Weise, d. h. in Form eines sogenannten Photolackschrumpfätzprozesses. Danach wird ein Ätzprozess aufgeführt, um die antireflektierende Beschichtung 105 und die Polysiliziumschicht 104 unter Anwendung des geschrumpften Lackmaskenstrukturelements 106 mit der reduzierten Größe als eine Ätzmaske zu ätzen. Dazu wird eine geeignet ausgewählte Ätzchemie auf der Basis eines sauerstoffenthaltenden Plasmas in einer Gateätzanlage bereitgestellt, wobei der Materialabtrag des Lackmaskenstrukturelements 106, d. h. die Reduzierung der lateralen Größe Dinitial und selbstverständlich der Höhe des Lackmaskenstrukturelements 106 im Wesentlichen linear von der Ätzzeit für eine Vielzahl verfügbarer Photolacke abhängt.
  • 1b zeigt schematisch das Halbleiterbauelement 100 nach Beendigung des Schrumpfätzprozesses, wobei die laterale Größe Dinitial um einen Betrag von 2 × ΔD reduziert ist, wobei ΔD in Prinzip durch entsprechendes Einstellen der Ätzzeit gesteuert werden kann.
  • Danach wird die reaktive Atmosphäre in der Gateätzkammer entsprechend so geändert, um eine äußerst anisotrope Ätzumgebung bereitzustellen, die das Ätzen der antireflektierenden Beschichtung 105 und der Polysiliziumschicht 104 ermöglicht, wobei eine hohe Ätzselektivität zu der Gateisolierschicht 103 erforderlich ist, um damit nicht unnötig das darunter liegende Substrat 101 zu schädigen, wenn die Ätzfront in der dünnen Gateisolierschicht 103 gestoppt wird.
  • 1c zeigt schematisch das Halbleiterbauelement 100 nach Beendigung des anisotropen Ätzprozesses, wodurch eine Gateelektrode 104a gebildet ist, wobei der Rest 105a der antireflektierenden Beschichtung 105 und der Rest des Lackmaskenstrukturelements 106a die obere Fläche der Gateelektrode 104a bedecken. Die laterale Abmessung der Gateelektrode 104a, d. h. die Gatelänge, ist als Dfinal bezeichnet, die im Wesentlichen mit der gewünschten kritischen Abmessung Dtarget übereinstimmen sollte, da eine deutliche Abweichung davon zu einem signifikant anderen Bauteilverhalten führen kann. In sehr hochentwickelten integrierten Schaltungen kann die gewünschte kritische Abmessung der Gatelänge deutlich kleiner als 100 nm mit einer Toleranz von ± 1 nm sein.
  • In dem zuvor beschriebenen konventionellen Prozessablauf ist jedoch das Schrumpfen des Lackmaskenstrukturelements 106 durch diverse Faktoren begrenzt. Beispielsweise kann eine deutliche Verschlechterung des Profils des Lackmaskenstrukturelements 106 auftreten, wenn die erforderliche Gatelänge deutlich kleiner als ungefähr 50% der Anfangslänge des Strukturelements 106 ist. Ferner erfordert im Allgemeinen die Anwendung hoch entwickelter Photolacke, die auf kleine Wellenlängen, etwa bei 193 nm, sensitiv sind, eine reduzierte Dicke der Lackschicht, wodurch ebenso die maskierende Wirkung des Strukturelements 106a in dem nachfolgenden Ätzprozess beschränkt wird. Selbst für eine herstellbare Dicke der Lackschicht steigt das Aspektverhältnis, d. h. Lackdicke zu kritischer Abmessung, bei weiterer Größenreduzierung des Bauteils an und kann zu einem Zusammenbruch des Musters während des Schrumpfätzprozesses für die Ausbildung des Strukturelements 106a führen. Aus diesen Gründen kann die schließlich erhaltene Gateelektrode 104a Abweichungen von dem gewünschten Entwurfssollwert auf Grund der Beeinträchtigungen des Lackstrukturelements 106a aufweisen. Noch wichtiger dabei ist, dass die weitere Reduzierung der kritischen Abmessungen mittels der konventionellen Technik aus den zuvor dargelegten Gründen nur sehr schwer erreichbar scheint.
  • Angesichts dieser Sachlage besteht ein Bedarf für eine verbesserte Technik, die das Steuern einer kritischen Abmessung eines Schaltungselements, etwa einer Gateelektrode, mit erhöhter Genauigkeit ermöglicht, selbst wenn die Abmessungen weiter in der Größe zu reduzieren sind.
  • Die US 2002/0177280 A1 zeigt ein Verfahren zur Herstellung eines selbst ausgerichteten Transistorgates. Dazu wird in einer maskierenden Schicht mittels Photolithographie eine Öffnung gebildet. Ein darunterliegendes Gatematerial reagiert in der gebildeten Öffnung mit einem Metall zur Bildung von Metallsilizid. Das Metallsilizid dient dann als positive Ätzmaske zur Bildung der Gatestruktur.
  • Die US 6,156,632 A betrifft ein konventionelles Verfahren zur Herstellung von Polyzidstrukturen.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Die vorliegende Erfindung schafft ein Verfahren mit den Merkmalen gemäß Anspruch 1.
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die die Herstellung eines Schaltungselements, etwa einer Gateelektrode eines Feldeffekttransistors, ermöglicht, indem eine Hartmaske anstelle einer Lackmaske verwendet wird. Ferner können die Abmessungen der Hartmaske durch einen Abscheideprozess anstelle eines Lackschrumpfätzprozesses, der in konventionellem Prozessablauf angewendet wird, definiert werden. Da Abscheidetechniken im Stand der Technik gut etabliert sind, können Unzulänglichkeiten, die mit dem Lackschrumpfprozess im Stand der Technik bei der Herstellung einer Ätzmaske mit Abmessungen, die deutlich unter dem Auflösungsvermögen der entsprechenden Photolithographie liegen, einhergehen, eliminiert oder zumindest deutlich reduziert werden. In einer anschaulichen Ausführungsform wird eine Maskenschicht durch Photolithographie strukturiert, wobei modernste Photolithographie angewendet werden kann oder bereits gut bewährte Lithographietechniken eingesetzt werden können, abhängig von den Prozesserfordernissen, um eine Öffnung zu bilden, in der wiederum eine Ätzmaske für einen nachfolgenden anisotropen Ätzprozess gebildet wird. In einer weiteren Variante kann die eigentliche Größe der Öffnung letztlich durch Seitenwandabstandselemente bestimmt werden, die an Seitenwänden der Öffnung gebildet werden, wobei eine Breite der Abstandselemente im Wesentlichen durch eine Dicke einer abgeschiedenen Materialschicht definiert ist, aus der die Abstandselemente durch anisotropes Ätzen gebildet werden.
  • Gemäß dem Prinzip der vorliegenden Erfindung umfasst ein Verfahren das Bilden einer Schicht über einem Substrat und das Bilden einer Maskenschicht über der Schicht. Ferner wird eine Öffnung in der Maskenschicht mit Abmessungen geformt, die einem herzustellenden Schaltungsstrukturelement entsprechen. Es wird dann eine Ätzmaske in der Öffnung gebildet und die Maskenschicht wird entfernt. Schließlich wird die Schicht geätzt, wobei die Ätzmaske angewendet wird, wodurch das Schaltungsstrukturelement gebildet wird.
  • In speziellen Ausführungsformen kann die Schicht ein Gateelektrodenschichtstapel für einen spezifizierten Feldeffekttransistor repräsentieren.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen auch deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird.
  • Es zeigen:
  • 1a bis 1c schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Herstellungsstadien bei der Bildung eines Schaltungselements mit einer lateralen Größe, die im Wesentlichen durch einen Lackschrumpfprozess gesteuert ist, gemäß einer konventionellen Prozesstechnik;
  • 2a bis 2g schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Herstellungsstadien bei der Ausbildung eines Schaltungselements, dessen Abmessungen während eines anisotropen Ätzprozesses mittels einer Hartmaske gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung gesteuert werden; und
  • 3a bis 3c schematisch Querschnittsansichten eines Halbleiterbauelements, wobei die Abmessungen eines Schaltungselementes mittels einer Ätzmaske definiert werden, die durch Oxidieren eines Oberflächenbereichs der darunter liegenden, zu ätzenden Materialschicht erhalten wird.
  • DETAILLIERTE BESCHREIBUNG
  • Wie zuvor dargelegt ist, beruht die vorliegende Erfindung auf dem Konzept, dass ein Lackschrumpfprozess mit den oben dargelegten Nachteilen zu vermeiden ist, um damit Prozessfluktuationen zu verringern und auch die Möglichkeit zu bieten, die kritischen Abmessungen deutlich weiter zu reduzieren, ohne auf das Auflösungsvermögen der beteiligen Photolithographie beschränkt zu sein. Somit ist die vorliegende Erfindung besonders vorteilhaft, wenn diese auf Halbleiterbauelemente mit Schaltungsstrukturelementen, etwa die Gateelektrode eines Feldeffekttransistors, angewendet wird, die Abmessungen von 100 nm und deutlich darunter aufweisen. Z. B. ermöglicht die vorliegende Erfindung die Herstellung von Feldeffekttransistoren mit einer Gatelänge von 50 nm oder sogar weniger, wobei weiterhin die gut etablierte 248 nm-Photolithographie anwendbar ist, wodurch die Produktionskosten relativ gering gehalten werden können. In anderen Fällen kann es als vorteilhaft erachtet werden, die Anforderungen an die Photolithographie zu verringern. Daher kann ein unkritischerer und kostengünstigerer Abbildungsprozess in Verbindung mit der vorliegen den Erfindung abgewendet werden, um Schaltungselemente mit Strukturgrößen zu bilden, die größer als 100 nm sind, wodurch ebenso die Produktionsausbeute erhöht und die Produktionskosten gesenkt werden können.
  • Mit Bezug zu den 2a bis 2g und 3a bis 3c werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • In 2a umfasst ein Halbleiterbauelement 200 ein Substrat 201, das ein SOI-(Silizium auf Isolator)-Substrat für hochentwickelte Halbleiterbauelemente auf Siliziumbasis sein kann. In anderen Ausführungsformen kann das Substrat 201 ein beliebiges Substrat, beispielsweise ein Halbleitervollsubstrat sein, das zur Ausbildung von integrierten Schaltungen darauf geeignet ist. Ein Schichtstapel 202 ist über dem Substrat 201 gebildet, wobei der Schichtstapel 202 in einer speziellen Ausführungsform eine Gateisolierschicht 203 und eine Schicht 204 aus Gateelektrodenmaterial, etwa Polysilizium, aufweist. Wie zuvor mit Bezug zu 1a ausgeführt ist, ist der Schichtstapel 202 so konfiguriert, um die Herstellung eines spezifizierten Schaltungselementes zu ermöglichen, wobei in modernen CMOS-Technolgien auf Siliziumbasis die Gateisolierschicht 203 aus Stickstoff angereichertem Siliziumdioxid, Siliziumnitrid, oder dergleichen aufgebaut sein kann oder ein dielektrisches Material mit großem ε mit einer Dicke in Übereinstimmung mit dem Bauteilerfordernissen aufweisen kann. Beispielsweise kann eine Gateisolierschicht auf Siliziumdioxidbasis modernster Feldeffekttransistoren eine Dicke im Bereich von ungefähr 1.5 bis 3.0 nm aufweisen. Ferner wird im Folgenden die Gateelektrodenmaterialschicht 204 als eine polykristalline Siliziumschicht bezeichnet, da der Hauptanteil logischer Schaltungen mit diversen Architekturen Feldeffekttransistoren aufweist, die eine auf der Basis von Silizium ausgebildete Gateelektrode besitzen. Es sollte jedoch beachtet werden, dass ein beliebiges anderes geeignetes Gateelektrodenmaterial oder ein anderes Material, das für die Ausbildung eines spezifizierten Schaltungselements als geeignet erachtet wird, für die Schicht 204 verwendet werden kann. Das Halbleiterbauteilelement 200 umfasst ferner eine Maskenschicht 206, die beispielsweise ein dielektrisches Material, etwa Siliziumdioxid, Siliziumnitrid, und dergleichen aufweist, wenn Halbleiterbauelemente auf Siliziumbasis betrachtet werden.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 200, wie es in 2a gezeigt ist, kann im Wesentlichen die gleichen Prozesse umfassen, wie sie auch mit Bezug zu 1a beschrieben sind, wobei zusätzlich die Maskenschicht 206 durch ein be liebiges geeignetes Abscheideverfahren, etwa plasmaunterstützte chemische Dampfabscheidung, und dergleichen, gebildet wird. Es sollte beachtet werden, dass die Dicke der Maskenschicht 206 für die weitere Bearbeitung nicht kritisch ist, und somit in Übereinstimmung mit den Prozesserfordernissen ausgewählt werden kann. Beispielsweise kann die Dicke der Maskenschicht 206 im Bereich von ungefähr 50 bis 200 nm für einen Prozess zur Strukturierung des Schichtstapels 202 in ein Schaltungsstrukturelement mit einer lateralen Abmessung von 100 nm und weniger liegen.
  • 2b zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium. Das Bauelement 200 umfasst eine Lackschicht 207 mit einer darin ausgebildeten Öffnung 208 mit Abmessungen 209, die deutlich größer sein können als die Abmessungen eines in dem Schichtstapel 202 herzustellenden Schaltungselements. In anderen Ausführungsformen können jedoch die Abmessungen 209 so festgelegt sein, dass diese im Wesentlichen dem Entwurfssollwert eines betrachteten Schaltungselements entsprechen, wenn die gewünschte kritische Abmessung innerhalb des Leistungsvermögens der bei der Herstellung der Öffnung 208 beteiligten Photolithographietechnik liegt. Ferner kann das Bauelement 200 eine unten liegende antireflektierende Beschichtung 205 aufweisen, die im Wesentlichen die gleichen Eigenschaften besitzen kann, wie sie zuvor mit Bezug zu der Schicht 105 in 1a erläutert sind.
  • Das Bauelement 200, wie es in 2b gezeigt ist, kann durch die folgenden Prozesse erhalten werden. Nach dem Abscheiden der antireflektierenden Beschichtung 205 wird die Lackschicht 207 durch geeignete Aufschleudertechniken abgeschieden. Danach wird die Lackschicht 207 mit einer geeigneten Belichtungswellenlänge belichtet, wobei im Gegensatz zum konventionellen Vorgehen eine im Wesentlichen inverse Photolithographiemaske benutzt wird, um die Öffnung 208 anstelle eines Lackstrukturelements nach dem Entwickeln der belichteten Lackschicht 207 zu erhalten. Mit Ausnahme der inversen Lithographiemaske gelten im Wesentlichen die gleichen Kriterien für die Bildung der Öffnung 208, wie sie zuvor mit Bezug zu dem Lackstrukturelement 106 dargelegt sind. Wie man jedoch im Folgenden erkennen wird, wird die weitere Reduzierung der Abmessung 209, falls dies erforderlich ist, durch Abscheide- und anisotrope Ätztechniken anstatt durch ein Schrumpfen eines Lackstrukturelements wie im konventionellen Vorgehen erreicht.
  • 2 zeigt schematisch das Halbleiterbauelement 200 nach der Herstellung einer Öffnung 210 in der Maskenschicht 206, die im Wesentlichen die gleichen Abmessungen 209 in Bezug auf die Öffnung 208 aufweist, die in der Lackschicht 207 gebildet ist. Die Öffnung 210 kann durch gut etablierte anisotrope Ätztechniken beispielsweise auf der Basis eines fluorenthaltenden Plasmaätzrezeptes hergestellt werden, wenn die Maskenschicht 206 aus Siliziumdioxid aufgebaut ist. Während des anisotropen Ätzprozesses kann die antireflektierende Beschichtung 205 ebenso geöffnet werden, wobei abhängig von den Prozesserfordernissen die gleiche Ätzanlage mit einem anderen oder mit dem gleichen Ätzrezept verwendet werden kann. Der anisotrope Ätzprozess kann dann bei Freilegen eines Oberflächenbereichs 204a des Schichtstapels 202, d. h. der Schicht 204, gestoppt werden. Wenn beispielsweise die Schicht 204 Polysilizium aufweist und die Maskenschicht 206 im Wesentlichen aus Siliziumdioxid aufgebaut ist, zeigt das oben erwähnte Ätzrezept eine ausreichende Ätzselektivität, um einen Materialabtrag der Schicht 204 gering zu halten, wenn die Ätzfront die Oberfläche 204a erreicht. In anderen Ausführungsformen kann eine Ätzstoppschicht (nicht gezeigt) zwischen dem Schichtstapel 202 und der Maskenschicht 206 gebildet werden, die beispielsweise aus Siliziumnitrid aufgebaut ist, wodurch sichergestellt ist, dass der Ätzvorgang zuverlässig auf oder in der optionalen Ätzstoppschicht anhält. Die optionale freigelegte Ätzstoppschicht in der Öffnung 210 kann dann durch einen selektiven nass-chemischen Ätzprozess beispielsweise auf der Grundlage heißer Phosphorsäure entfernt werden, wenn Siliziumnitrid als das Ätzstoppmaterial verwendet wird, wodurch die Oberfläche 204 freigelegt wird.
  • In einer weiteren Alternative kann die Maskenschicht 206 aus Siliziumnitrid aufgebaut sein, und es kann eine siliziumdioxidenthaltende Ätzstoppschicht vorgesehen werden, um einen entsprechenden Nitridätzprozess anzuhalten, um damit die Öffnung 210 auf oder in der entsprechenden Siliziumdioxidschicht zu bilden. Es können jedoch auch beliebige andere geeignete Materialien und Ätzschemata angewendet werden, solange diese Alternativen das zuverlässige Übertragen der Öffnung 208 der Lackschicht 207 in die darunter liegende Maskenschicht 206 ermöglichen, um damit im Wesentlichen die Abmessung 209 beizubehalten.
  • 2d zeigt schematisch das Bauelement 200 mit Abstandselementen 211, die an den Seitenwänden der Öffnung 210 ausgebildet sind, um damit eine verkleinerte Öffnung 210a mit einer reduzierten Abmessung 209a zu bilden. Die reduzierte Abmessung 209a kann den Entwurfssollwert einer kritischen Abmessung, etwa die Gatelänge eines Feldeffekttransistors, repräsentieren, wobei die Abmessung 209a durch die Abmessung 209 bestimmt ist, wie dies durch die 2c und 2d verdeutlicht wird, d. h. durch das Leistungsvermögen der beteiligten Photolithographie und durch die Breite der Abstandselemente 211. Die Ausbildung der Abstandselemente 211 kann das Abscheiden einer Abstandsschicht mit einer vordefinierten Dicke in einer äußerst konformen Weise beinhalten, wobei dann ein anisotroper Ätzprozess so ausgeführt wird, um das Material der Abstandsschicht von den horizontalen Bereichen des Bauelements 200, etwa der Unterseite der Öffnung 210a, zu entfernen, wodurch die Abstandselemente 211 mit einer Breite gebildet werden, die durch die anfänglich abgeschiedene Schichtdicke definiert ist. Beispielsweise können die Abstandselemente 211 im Wesentlichen aus dem gleichen Material wie die Maskenschicht 206 aufgebaut sein und können durch entsprechende gut etablierte und gut steuerbare Abscheideverfahren aufgebracht werden, um damit eine Dicke der abgeschiedenen Schicht zu erhalten, die über die gesamte Substratoberfläche des Substrats 201 hinweg um weniger als ungefähr 2 bis 3% variiert. Die abgeschiedene Schicht kann dann anisotrop geätzt werden, beispielsweise bis das Ende des Ätzvorganges durch das Freilegen der Unterseite der Schicht 210a angezeigt wird. in anderen Ausführungsformen können die Abstandselemente 211 aus einem anderen Material als dem Material der Maskenschicht 206 gebildet werden. Wenn beispielsweise die Maskenschicht 206 Siliziumdioxid aufweist, kann Siliziumnitrid abgeschieden werden, wobei möglicherweise das Abscheiden einer dünnen Siliziumdioxidschicht vorausgehen kann, und ein geeigneter ansisotroper Ätzprozess wird dann ausgeführt. Wenn die dünne Siliziumdioxidschicht als eine Ätzstoppschicht vorgesehen wird, kann der anisotrope Ätzprozess zuverlässig vor dem Abtragen von Material aus den darunter liegenden Oberflächenbereich 204a gestoppt werden. Wenn die optionale Ätzstoppschicht nicht vorgesehen ist, kann der geringe Materialabtrag an der Oberfläche 204a während der abschließenden Phase des Ätzvorganges tolerierbar sein oder kann berücksichtigt werden, wenn eine anfängliche Dicke der Schicht 204 festgelegt wird. Danach kann die optionale Ätzstoppschicht, falls diese vorgesehen ist, mittels eines geeigneten selektiven Ätzprozesses, etwa einem nass-chemischen Prozess auf der Grundlage von Fluorwasserstoff (HF), wenn Siliziumdioxid als die Ätzstoppschicht verwendet wird, entfernt werden.
  • Es sollte beachtet werden, dass selbst für sehr anspruchsvolle Anwendungen äußerst konforme Abscheidetechniken verfügbar sind, die das Herstellen einer Schicht mit im Wesentlicher gleichförmiger Dicke über einem strukturierten Substrat ermöglichen. Obwohl bei der Atomlagenabscheidung (ALD) typischerweise die Abscheiderate im Vergleich zu beispielsweise plasmaunterstützen CVD-Techniken reduziert ist, kann dieses Verfahren angewendet werden, wenn eine verbesserte Dickengleichförmigkeit und eine erhöhte Steuerbarkeit erforderlich ist. Somit können selbst bei Anwendung modernster Photolithographie bei der Herstellung der Öffnung 210 die Abstandselemente 211 dennoch mit exakt gesteuerter Breite hergestellt werden.
  • Nach dem Freilegen des Oberflächenbereichs 204a wird gemäß einer speziellen Ausführungsform eine Schicht eines hochschmelzenden Metalls, etwa Kobalt, Titan, Nickel, Platin oder eine beliebige Verbindung davon oder eine Legierung davon auf dem Bauelement 200 und in der Öffnung 210a abgeschieden, wobei beliebige Reinigungsprozesse, wie sie für das Entfernen von Materialresten von dem Oberflächenbereich 204a erforderlich sind, vor der Abscheidung des hochschmelzenden Metalls ausgeführt worden sein können. Entsprechende Reinigungsprozesse und Abscheideprozesse für hochschmelzende Metalle sind im Stand der Technik gut etabliert, da diese Prozesssequenzen auch während der Herstellung von Metallsilizidgebieten auf Gateelektroden und Source- und Draingebieten moderner Feldeffekttransistoren angewendet werden. Nach der Abscheidung wird eine Wärmebehandlung so ausgeführt, um eine selektive Modifizierung des Oberflächenbereichs 204a in Form einer Metallsilizidbildung 212 an der Unterseite der Öffnung 210a und in der Schicht 204 zu bewirken. Da die verbleibenden Bereiche des Bauelements 200 von der Maskenschicht 206 und den Abstandselementen 211 bedeckt sind, ist eine chemische Reaktion, die durch die Wärmebehandlung in Gang gesetzt wird, zwischen dem abgeschiedenen hochschmelzenden Metall und einem darunter liegenden Material im Wesentlichen auf den Oberflächenbereich 204a begrenzt. In einer Ausführungsform kann Kobalt als das hochschmelzende Metall abgeschieden und kann mittels eines schnellen thermischen Ausheizzyklusses bei einer Temperatur im Bereich von ungefähr 600°C bis 700°C wärmebehandelt werden, wodurch sich Kobaltmonosilizid und Kobaltdisilizid an und in der Nähe des Oberflächenbereichs 204a bilden. Abhängig von den Prozessgegebenheiten kann die Wärmebehandlung so ausgeführt werden, dass im Wesentlichen das gesamte hochschmelzende Metall, das in der Öffnung 210a abgeschieden wurde, in Kobaltdisilizid umgewandelt wird, wobei der Prozess abgeschlossen ist, sobald das gesamte Kobalt in der Öffnung 210a aufgebraucht ist. Somit kann die Menge des verbrauchten Siliziums durch die anfänglich abgeschiedene Schichtdicke und die Ausheizparameter, durch die das Verhältnis von Kobaltdisilizid zu Kobaltmonosilizid eingestellt werden kann, bestimmt werden. Das hochschmel zende Metall, etwa das Kobalt und dergleichen, können durch gut bewährte physikalische oder chemische Dampfabscheidetechniken aufgebracht werden, die ein hohes Maß an Gleichförmigkeit für die Schichtdicke ergeben. Folglich wird die chemische Reaktion, die durch die Wärmebehandlung in Gang gesetzt wird, von einer im Wesentlichen gleichförmigen Metallschicht gespeist, was zu einem im Wesentlichen gleichförmigen Metallsilizidbereich in der Nähe des Oberflächenbereichs 204a führt.
  • In anderen Ausführungsformen kann die Menge des durch die chemische Reaktion geschaffenen Metallsilizids lediglich durch die Ausheizparameter gesteuert werden, indem das hochschmelzende Metall mit Überschuss abgeschieden wird und der Silizidierungsprozess durch die Ausheiztemperatur und die Dauer des Prozesses gesteuert wird. Auf diese Weise können Ungleichförmigkeiten der Schichtdicke des hochschmelzenden Metalls als vernachlässigbar erachtet werden, solange die minimale Dicke des hochschmelzenden Metalls ausreichend ist, um die chemische Reaktion entsprechend der gewünschten Dauer des Ausheizprozesses zu speisen. Nachfolgend kann nicht reagiertes hochschmelzendes Metall, d. h. Metall, das auf der Maskenschicht 206 und den Abstandselementen 211 abgeschieden wurde, und möglicherweise hochschmelzendes Metall, das auf den Oberflächenbereich 204a abgeschieden und von der chemischen Reaktion nicht aufgebraucht wurde, durch gut etablierte nass-chemische Ätzprozesse entfernt werden. In anderen Ausführungsformen kann es vorteilhaft sein, eine erste Wärmebehandlung bei einer lediglich moderat hohen Temperatur durchzuführen, um zwar eine chemische Reaktion zwischen dem hochschmelzenden Metall und dem Material der Schicht 204 in Gang zu setzen, um aber dennoch im Wesentlichen eine chemische Reaktion zwischen der Maskenschicht 206 und dem hochschmelzenden Metall zu vermeiden, die – obwohl nur in einem sehr begrenzten Umfang im Vergleich zu der Reaktion des Siliziums und des hochschmelzenden Metalls in der Schicht 204 – bei erhöhten Temperaturen auftreten könnte. Nach der ersten Wärmebehandlung kann das nicht reagierte hochschmelzende Metall entfernt werden und es kann eine zweite Wärmebehandlung ausgeführt werden, um ein Metallsilizid, das sich in der Nähe des Oberflächenbereichs 204a gebildet hat, zu stabilisieren. Wenn beispielsweise Kobalt verwendet wird, wird in einem ersten Schritt im Wesentlichen Kobaltmonosilizid bei Temperaturen im Bereich von 500°C bis 600°C erzeugt, wodurch eine Reaktion des Kobalts mit Nichtsiliziumbereichen minimiert wird, wohingegen das Kobaltmonosilizid im Wesentlichen vollständig in das stabilere Kobaltdisilizid bei erhöhten Temperaturen von 600°C bis 700°C in der zweiten Wärmebehandlung umgewandelt wird. Es sollte jedoch beachtet werden, dass die Eigenschaften der Wärmebehandlung auf der Grundlage der Eigenschaften des hochschmelzenden Metalls und des darauf gebildeten Silizids festzulegen sind. Entsprechende Messungen dazu können in einfacher Weise durchgeführt werden, oder experimentelle und theoretische Daten einer Vielzahl von hochschmelzenden Metallsiliziden sind im Stand der Technik bekannt und können damit verwendet werden, wenn geeignete Prozessrezepte für die Herstellung eines Metallsilizids an dem Oberflächenbereich 204a aufzustellen.
  • 2e zeigt schematisch das Bauelement 200 nach Abschluss des oben beschriebenen Prozesses zur Modifizierung des Oberflächenbereichs 204a durch Ausbilden eines Metallsilizidbereichs 212 als eine Ätzmaske mit einer Dicke 213. Wie zuvor erläutert ist, kann die Dicke 213 durch die Schichtdicke des hochschmelzenden Metalls und/oder durch die Parameter der Wärmebehandlung beim in Gang setzen des Silizidierungsprozesses festgelegt werden. In einigen Ausführungsformen können ein oder mehrere geeignete Parametersätze bei der Herstellung der Metallsilizidätzmaske 212 bestimmt werden, indem ein oder mehrere Testsubstrate verwendet werden, die einer Messprozedur unterzogen werden, um in präziser Weise die Dicke 213 und möglicherweise das laterale Profil der Ätzmaske 212 zu ermitteln. D. h., die Modifizierung des Oberflächenbereichs 204a (siehe 2d) kann zu einer lateralen Ausdehnung an Seitenbereichen 212a führen, die durch die Diffusion der Atome während der chemischen Reaktion bei der Herstellung der Metallsilizidätzmaske 212 hervorgerufen werden. Da die laterale Abmessung 209b der Metallsilizidätzmaske 212 im Wesentlichen die laterale Abmessung des aus dem Schichtstapel 202 zu bildenden Schaltungselements bestimmt, kann es vorteilhaft sein, das Querschnittsprofil der Metallsilizidätzmaske 212 durch geeignete Messverfahren, etwa durch Rasterelektronenmikroskopie, zu messen. Aus entsprechenden Messergebnissen kann eine Relation zwischen mindestens einem Prozessparameter bei der Herstellung der Metallsilizidätzmaske 212 und der schließlich erhaltenen Dicke 213 und der lateralen Ausdehnung 209b erstellt werden. Auf der Grundlage dieser Relation kann dann ein entsprechender Wert oder Werte für den mindestens einen Prozessparameter so ausgewählt werden, um die Metallsilizidätzmaske 212 mit den erforderlichen Abmessungen zu bilden. Es sollte beachtet werden, dass in einigen Ausführungsformen die Dicke 213 der Metallsilizidätzmaske 212 so gewählt werden kann, um die erforderliche Maskenwirkung während eines nachfolgenden anisotropen Ätzprozesses bei der Strukturierung des Schichtstapels 202 zu bieten. Somit kann die Dicke 213 deutlich von der Ätzselektivität, die von der Metallsilizidätzmaske 212 im Vergleich zu dem Material des Schichtstapels 202 bereitgestellt wird, abhängen. Somit kann in einigen Fällen eine relativ moderate Dicke 213 im Bereich von ungefähr 10 bis 30 nm für den Strukturierungsprozess ausreichend sein. Somit kann die Modifizierung der lateralen Abmessung 209b im Vergleich zu der Abmessung 209a als vernachlässigbar betrachtet werden.
  • In einer weiteren Ausführungsform kann jedoch eine Differenz zwischen den Abmessungen 209a und 209b berücksichtigt werden, indem entsprechend die Breite der Abstandselemente 211 in dem vorhergehenden Herstellungsprozess angepasst wird. D. h., die Abstandselemente 211 können so ausgebildet werden, um die Abmessung 209a zu erzeugen, die kleiner als die gewünschte Abmessung des herzustellenden Schaltungselements ist, während die laterale Ausdehnung der Metallsilizidätzmaske 212 während des Modifizierungsprozesses bei der Herstellung der Metallsilizidätzmaske 212 dann zu der gewünschten kritischen Abmessung 209b führt. In anderen Ausführungsformen können die Stabilität und die elektrischen Eigenschaften der Metallsilizidätzmaske 212 als für die weitere Bearbeitung des Bauelements, ohne Entfernung der Metallsilizidätzmaske 212, geeignet erachtet werden. In diesem Falle wird die Dicke 213 in Übereinstimmung mit den Erfordernissen ausgewählt, die durch die fertiggestellten Schaltungselemente vorgegeben sind, etwa eine fertiggestellte Gateelektrode mit einem darauf ausgebildeten Metallsilizidbereich. Somit können die Abstandselemente 211 und die Metallsilizidätzmaske 212 dann in Übereinstimmung mit den Prozessparametern so gebildet werden, dass eine Übereinstimmung mit diesen Erfordernissen auftritt und ferner die gewünschte laterale Abmessung 209bb erreicht wird. Die entsprechenden Relationen zum Bestimmen dieser Prozessparameter können ebenso auf der Grundlage von Messungen in der oben beschriebenen Weise ermittelt werden.
  • 2f zeigt schematisch das Bauelement 200 nach dem Entfernen der Maskenschicht 206 und der Abstandselemente 211. In einer Ausführungsform kann die Maskenschicht 206, wenn diese aus Siliziumdioxid aufgebaut ist, durch einen selektiven nass-chemischen Ätzprozess auf der Grundlage von HF entfernt werden. Die Maskenschicht 206, die aus Siliziumnitrid aufgebaut ist, kann mittels heißer Phosphorsäure entfernt werden. Das gleiche gilt für die Abstandselemente 211. Typischerweise sind die Abstandselemente 211 und die Maskenschicht 206 aus unterschiedlichen Materialien aufgebaut, wodurch die Anwendung unterschiedlicher Ätzprozeduren erforderlich ist. Auf Grund der hohen Selektivität des nasschemischen Ätzprozesses ist ein Materialabtrag der Metallsilizidätzmaske 212 im Wesentlichen vernachlässigbar. Wenn eine Ätzchemie verwendet wird, die keine hohe Selektivität zu der Metallsilizidätzmaske 212 aufweist, kann ein entsprechender Materialabtrag im Vor aus durch entsprechendes Auswählen der Abmessungen 213 und 209b während der Herstellung der Metallsilizidätzmaske 212 kompensiert werden. In anspruchsvollen Anwendungen ist es jedoch vorteilhaft, eine hochselektive Ätzchemie anzuwenden, um im Wesentlichen die laterale Abmessung 209b zu bewahren, da dann diese Abmessung im Wesentlichen durch einen äußerst präzisen Abscheideprozess anstatt durch einen Ätzprozess definiert ist.
  • Nachfolgend wird das Substrat 201 einem anisotropen Ätzprozess auf der Grundlage einer Chlor/Brom-enthaltenden Plasmaätzatmosphäre unterzogen, um den Schichtstapel 202 zu strukturieren.
  • 2g zeigt schematisch das Bauelement 200 nach Abschluss des anisotropen Ätzprozesses, wodurch ein Schaltungselement 214 mit der Metallsilizidätzmaske 212 und den Resten der Schicht 204 und 203 gebildet wird. Insbesondere kann das Schaltungselement 214 eine Gateelektrodenstruktur für einen noch herzustellenden Feldeffekttransistor repräsentieren. Auf Grund der Metallsilizidätzmaske 212 besitzt das Schaltungselement 214 eine laterale Abmessung, die im Wesentlichen der Abmessung 209b entspricht. Folglich kann die laterale Abmessung 209b des Schaltungselementes 214 durch einen Abscheideprozess gesteuert werden, wobei verfügbare und gut bewährte Photolithographietechniken angewendet werden, während dennoch die Möglichkeit für das Erreichen kritischer Abmessungen gegeben ist, die deutlich außerhalb der Möglichkeiten des konventionellen Prozessablaufs liegen.
  • Das Halbleiterbauelement 200 kann dann weiter bearbeitet werden, beispielsweise durch das Bilden von Drain- und Sourcegebieten benachbart zu dem Schaltungselement 214, wobei abhängig von den Prozesserfordernissen die Ätzmaske 212 bewahrt werden kann, um auch als eine Implantationsmaske zu dienen. In einigen Ausführungsformen kann die Metallsilizidätzmaske 212 als Metallsilizid für die fertiggestellte Gateelektrode beibehalten werden, um damit deren Leitfähigkeit zu verbessern. Dies ermöglicht die Herstellung von Metallsilizidbereichen in Drain- und Sourcegebieten unabhängig von dem Metallsilizid in der Gateelektrodenstruktur, d. h. unabhängig von der Metallsilizidätzmaske 212. Somit kann eine Dicke und die Art des Materials, das für die in den Drain- und Sourcegebieten einerseits und in der Gateelektrodenstruktur in Form der Metallsilizidätzmaske 212 andererseits gebildeten Metallsiliziden verwendet wird, unabhängig voneinander ausgewählt werden. In anderen Ausführungsformen kann die Metallsilizidätzmaske 212 entfernt werden, um den weiteren Herstellungsprozess gemäß den konventionellen CMOS-Prozesstechnologien fortzusetzen.
  • Mit Bezug zu den 3a und 3b werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung beschrieben.
  • In 3a umfasst ein Halbleiterbauelement 300 ein Substrat 301, einen Schichtstapel 302, beispielsweise einen Gateelektrodenschichtstapel und eine Maskenschicht 306, die auf dem Schichtstapel 202 ausgebildet ist und eine Öffnung 310 enthält. Die Maskenschicht 206 kann beispielsweise aus Siliziumnitrid oder einem anderen nicht oxidierbaren dielektrischen Material aufgebaut sein. Eine optionale Ätzstoppschicht (nicht gezeigt) kann zwischen dem Schichtstapel 302 und der Maskenschicht 306 vorgesehen sein, die beispielsweise aus Siliziumdioxid aufgebaut sein kann.
  • Ein Prozess zur Herstellung des Halbleiterbauelements 300 kann im Wesentlichen die gleichen Schritte enthalten, wie sie zuvor mit Bezug zu 2a beschrieben sind.
  • 3b zeigt schematisch das Halbleiterbauelement 300 mit Abstandselementen 311, die an den Seitenwänden der Öffnung 310 ausgebildet sind. Des weiteren ist eine Ätzmaske 312 in der Nähe eines Oberflächenbereichs 304a des Schichtstapels 302 gebildet. Die Ätzmaske 312 kann ein modifiziertes Material, beispielsweise ein Oxid des Materials, das die Schicht 304 bildet, etwa Siliziumdioxid, aufweisen, wenn die Schicht 304 in Form einer Polysiliziumschicht vorgesehen ist.
  • Hinsichtlich der Ausbildung der Abstandselemente 311 gelten im Prinzip die gleichen Kriterien, wie sie zuvor mit Bezug den Abstandselementen 211 dargelegt sind. Jedoch kann es in diesen Ausführungsformen vorteilhaft sein, die Abstandselemente 311 aus einem im Wesentlichen nicht oxidierbaren Material, etwa Siliziumnitrid, herzustellen, um damit eine ungewünschte Reaktion während der Modifizierung des Oberflächenbereichs 304a durch eine Oxidation zu vermeiden. Danach wird die Ätzmaske 312 durch selektives Oxidieren des Oberflächenbereichs 304a gebildet, wobei, wenn eine optionale Ätzstoppschicht während der Bildung der Seitenwandabstandselemente 311 vorgesehen wurde, diese Ätzstoppschicht vor dem Oxidieren entfernt werden kann, oder wenn diese Siliziumdioxid aufweist, die Ätzstoppschicht während der weiteren Oxidation des Oberflächenbereichs 304a beibehalten werden kann. Insbesondere ist der Oxidationsmechanismus von Silizium ein gut bekannter Prozess und damit sind die Abmessungen der Ätzmaske 312 durch die entsprechenden Oxidationsparameter gut steuerbar. Ferner gelten in Bezug auf die schließlich erreichten Abmessungen der Ätzmaske 312, d. h. die Ausbildung der Abstandselemente 311 in Verbindung mit dem Prozess des Oxidierens des Oberflächenbereichs 304a die gleichen Kriterien, wie sie zuvor dargelegt sind. Beispielsweise kann die laterale Oxidierung für eine gewünschte Dicke der Ätzmaske 312 durch entsprechendes Festlegen der Breite der Abstandselemente 311 Berücksichtigung finden.
  • Die weitere Prozessierung kann dann fortgesetzt werden, wie dies mit Bezug zu 2e beschrieben ist. D. h., die Maskenschicht 306 und die Abstandselemente 311 können durch einen selektiven Ätzprozess entfernt werden, beispielsweise unter Anwendung heißer Phosphorsäure, wenn die Maskenschicht 206 und die Abstandselemente 311 Siliziumnitrid aufweisen. Danach wird der Schichtstapel 302 durch einen anisotropen Ätzprozess unter Anwendung der Ätzmaske 312 strukturiert, wodurch ein Schaltungselement mit der gewünschten lateralen Abmessung gebildet wird. Anschließend wird die Ätzmaske 312 selektiv unter Anwendung beispielsweise von Fluorwasserstoff entfernt.
  • Es gilt also: die vorliegende Erfindung ermöglicht die Herstellung von Schaltungselementen mit kritischen Abmessungen, die deutlich außerhalb des Auflösungsvermögens gegenwärtig verfügbarer Lithographietechniken liegen. Da komplexe und schwierige Lackschrumpfprozesse durch das Bilden einer stabilen Hartmaske für die Strukturierung des Schaltungselements ersetzt werden, kann eine deutlichere Verbesserung in der Produktionsausbeute und eine Kostenreduzierung erreicht werden. Ferner ist in einigen Ausführungsformen die kritische Abmessung des Schaltungselements im Wesentlichen durch einen Abscheideprozess anstelle der Kombination aus Photolithographie und einem Lackschrumpfätzprozess definiert, wodurch die Möglichkeit geboten wird, in zuverlässiger Weise eine Größenreduzierung auf der Grundlage gegenwärtig verfügbarer Photolithographietechniken auszuführen.

Claims (19)

  1. Verfahren mit: Bilden einer Schicht über einem Substrat; Bilden einer Maskenschicht über der Schicht; Bilden einer Öffnung in der Maskenschicht mit ersten Abmessungen; Verringern der Abmessungen der Öffnung auf kleinere zweite Abmessungen, die einem in der Schicht zu bildenden Schaltungselement entsprechen; Bilden einer Ätzmaske mit im wesentlichen den verringerten zweiten Abmessungen in der Öffnung; Entfernen der Maskenschicht; und Ätzen der Schicht unter Anwendung der Ätzmaske, um das Schaltungselement zu bilden.
  2. Das Verfahren nach Anspruch 1, wobei die Schicht mindestens eine Gateisolierschicht und eine Schicht aus Gateelektrodenmaterial aufweist.
  3. Das Verfahren nach Anspruch 1, wobei das Verringern der Abmessungen der Öffnung umfasst: Bilden einer Anfangsöffnung in der Maskenschicht mit den ersten Abmessungen und Bilden von Abstandselementen an Seitenwänden der anfänglichen Öffnung, um die ersten Abmessungen an die zweiten Abmessungen, die dem Schaltungselement entsprechen, einzustellen.
  4. Das Verfahren nach Anspruch 1, wobei das Bilden einer Ätzmaske in der Öffnung umfasst: Freilegen einer Oberfläche der Schicht in der Öffnung und Modifizieren mittels der freigelegten Oberfläche das Material der Schicht, um ein Ätzverhalten des modifizierten Materials im Vergleich zu nicht modifiziertem Material in Bezug auf ein vordefiniertes Ätzrezept zu ändern.
  5. Das Verfahren nach Anspruch 4, wobei das Modifizieren des Materials der Schicht umfasst: Abscheiden eines hochschmelzenden Metalls und Wärmebehandeln des Substrats, um eine chemische Reaktion mittels der freigelegten Oberfläche zwischen dem Material der Schicht und dem hochschmelzenden Metall in Gang zu setzen.
  6. Das Verfahren nach Anspruch 5, das ferner Entfernen von nicht reagiertem hochschmelzenden Metall von dem Substrat umfasst.
  7. Das Verfahren nach Anspruch 5, wobei das hochschmelzende Metall Kobalt und/oder Titan und/oder Nickel und/oder Platin und/oder Legierungen davon umfasst.
  8. Das Verfahren nach Anspruch 1, wobei die Maskenschicht Siliziumdioxid aufweist.
  9. Das Verfahren nach Anspruch 3, wobei die Abstandselemente Siliziumnitrid aufweisen.
  10. Das Verfahren nach Anspruch 1, wobei der Ätzprozess in einem Chlor/Brom-enthaltenden Plasma ausgeführt wird.
  11. Das Verfahren nach Anspruch 3, wobei das Bilden der Abstandselemente umfasst: Abscheiden einer Ätzstoppschicht, Abscheiden einer Abstandsschicht mit vordefinierter Dicke und anisotropes Ätzen der Abstandsschicht selektiv zu der Ätzstoppschicht.
  12. Das Verfahren nach Anspruch 3, wobei Bilden der Abstandselemente umfasst: Abscheiden einer Abstandsschicht über der Maskenschicht, die die Anfangsöffnung enthält, und anisotropes Ätzen der Abstandsschicht.
  13. Das Verfahren nach Anspruch 1, das ferner das Entfernen der Ätzmaske umfasst.
  14. Das Verfahren nach Anspruch 13, wobei die Ätzmaske vor dem Herstellen von Drain- und Sourcegebieten benachbart zu dem Schaltungselement entfernt wird.
  15. Das Verfahren nach Anspruch 1, das ferner umfasst: Bestimmen einer Relation zwischen einer Tiefe des Modifizierens der Schicht, die auf einem zweiten Substrat gebildet ist, und mindestens einem Modifizierungsprozessparameter, bevor die Schicht, die auf dem Substrat ausgebildet ist, modifiziert wird.
  16. Das Verfahren nach Anspruch 15, das ferner umfasst: Festlegen eines Wertes des mindestens einen Parameters auf der Grundlage der Relation, um eine spezifizierte Modifizierungstiefe zu erhalten, und Anwenden des Wertes, um die Schicht des Substrats zu modifizieren.
  17. Das Verfahren nach Anspruch 16, wobei die Oberfläche der Schicht durch Bilden eines Metallsilizids modifiziert wird, wobei der Wert so festgelegt wird, um eine Modifizierungstiefe zu erreichen, die im Wesentlichen einer Entwurfsdicke des Metallsilizids für eine Gateelektrode eines spezifizierten Feldeffekttransistors entspricht.
  18. Das Verfahren nach Anspruch 1, wobei Modifizieren der Oberfläche das Oxidieren der Oberfläche umfasst.
  19. Das Verfahren nach Anspruch 18, wobei die Maskenschicht ein im Wesentlichen nicht oxidierbares Material aufweist.
DE10355581A 2003-11-28 2003-11-28 Verfahren und Technik zur Herstellung einer Gateelektrode unter Anwendung einer Hartmaske Expired - Fee Related DE10355581B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10355581A DE10355581B4 (de) 2003-11-28 2003-11-28 Verfahren und Technik zur Herstellung einer Gateelektrode unter Anwendung einer Hartmaske
US10/974,119 US7151055B2 (en) 2003-11-28 2004-10-27 Technique for forming a gate electrode by using a hard mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10355581A DE10355581B4 (de) 2003-11-28 2003-11-28 Verfahren und Technik zur Herstellung einer Gateelektrode unter Anwendung einer Hartmaske

Publications (2)

Publication Number Publication Date
DE10355581A1 DE10355581A1 (de) 2005-06-30
DE10355581B4 true DE10355581B4 (de) 2010-01-14

Family

ID=34609364

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10355581A Expired - Fee Related DE10355581B4 (de) 2003-11-28 2003-11-28 Verfahren und Technik zur Herstellung einer Gateelektrode unter Anwendung einer Hartmaske

Country Status (2)

Country Link
US (1) US7151055B2 (de)
DE (1) DE10355581B4 (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100685903B1 (ko) * 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7678704B2 (en) * 2005-12-13 2010-03-16 Infineon Technologies Ag Method of making a contact in a semiconductor device
EP2234182B1 (de) * 2007-12-28 2016-11-09 Nichia Corporation Halbleiter-leuchtelement und verfahren zu seiner herstellung
US8415238B2 (en) * 2010-01-14 2013-04-09 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
US8399180B2 (en) * 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
DE102021116587B3 (de) 2021-06-28 2022-07-07 Jenoptik Optical Systems Gmbh Verfahren zum Herstellen einer Ätzmaske, Verfahren zum Ätzen einer Struktur in ein Substrat, Verwendung einer Tetrelschicht

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6156632A (en) * 1997-08-15 2000-12-05 Micron Technology, Inc. Method of forming polycide structures
US20020177280A1 (en) * 2001-05-25 2002-11-28 Philippe Schoenborn Self aligned gate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5817562A (en) * 1997-01-24 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US5946579A (en) * 1997-12-09 1999-08-31 Advanced Micro Devices, Inc. Stacked mask integration technique for advanced CMOS transistor formation
US6130132A (en) * 1998-04-06 2000-10-10 Taiwan Semiconductor Manufacturing Company Clean process for manufacturing of split-gate flash memory device having floating gate electrode with sharp peak
US6207503B1 (en) * 1998-08-14 2001-03-27 Taiwan Semiconductor Manufacturing Company Method for shrinking array dimensions of split gate flash memory device using multilayer etching to define cell and source line
JP2001093888A (ja) * 1999-09-27 2001-04-06 Toshiba Corp 半導体装置の製造方法
US6107140A (en) * 1999-12-20 2000-08-22 Chartered Semiconductor Manufacturing Ltd. Method of patterning gate electrode conductor with ultra-thin gate oxide
DE10126579C2 (de) * 2001-05-31 2003-12-11 Infineon Technologies Ag Verfahren zur Herstellung eines Gatestapels in ultrahochintegrierten Halbleiterspeichern

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6156632A (en) * 1997-08-15 2000-12-05 Micron Technology, Inc. Method of forming polycide structures
US20020177280A1 (en) * 2001-05-25 2002-11-28 Philippe Schoenborn Self aligned gate

Also Published As

Publication number Publication date
US7151055B2 (en) 2006-12-19
DE10355581A1 (de) 2005-06-30
US20050118801A1 (en) 2005-06-02

Similar Documents

Publication Publication Date Title
DE10339989B4 (de) Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
DE102006046374B4 (de) Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement
EP1444724B1 (de) Photolithographisches strukturierungsverfahren mit einer durch ein plasmaverfahren abgeschiedenen kohlenstoff-hartmaskenschicht mit diamantartiger härte
DE10335101B4 (de) Verfahren zur Herstellung einer Polysiliziumleitung mit einem Metallsilizidgebiet, das eine Linienbreitenreduzierung ermöglicht
DE10335100B4 (de) Verfahren zur Herstellung verkürzter Seitenwandabstandselemente für eine Polysiliziumleitung und Verfahren zur Herstellung eines Feldeffekttransistors
DE10355575B4 (de) Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102010064288B4 (de) Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten
DE112005002158T5 (de) Verfahren zur Herstellung einer Halbleitervorrichtung, die eine dielektrische Gateschicht mit hohem K und eine Gateelektrode aus Metall aufweist
DE112004000699T5 (de) Verfahren zur Herstellung einer Metallgatestruktur durch Abgleichen einer Austrittsarbeitsfunktion durch Siliziumeinbau
DE69531472T2 (de) Mustererzeugung in der Herstellung von mikroelektronischen Anordnungen
DE10228807B4 (de) Verfahren zur Herstellung von Mikrostrukturelementen
DE10252337A1 (de) Verfahren zum Herstellen eines Halbleiterbauteils
DE112006000811T5 (de) Ätzprozess für CD-Reduzierung eines ARC-Materials
DE102010040066A1 (de) Gateelektroden eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung in Verbindung mit einem Größenreduzierungsabstandshalter hergestellt sind
DE10355581B4 (de) Verfahren und Technik zur Herstellung einer Gateelektrode unter Anwendung einer Hartmaske
DE10335102B4 (de) Verfahren zur Herstellung einer epitaxialen Schicht für erhöhte Drain- und Sourcegebiete durch Entfernen von Kontaminationsstoffen
DE10250902B4 (de) Verfahren zur Entfernung von Strukturelementen unter Verwendung eines verbesserten Abtragungsprozess bei der Herstellung eines Halbleiterbauteils
DE102009035438B4 (de) Verwendung von Dielektrika mit großem ε als sehr selektive Ätzstoppmaterialien in Halbleiterbauelementen, sowie Halbleiterbauelemente
DE102005014749B4 (de) Verfahren zur Herstellung eines Transistors mit einem erhöhten Drain- und Sourcegebiet mittels einer Drei-Schicht-Hartmaske für die Gatestrukturierung
DE10345374A1 (de) Halbleiterbauteil mit einem Nickel/Kobaltsilizidgebiet, das in einem Siliziumgebiet gebildet ist
DE10339988B4 (de) Verfahren zur Herstellung einer antireflektierenden Schicht
DE10250899B4 (de) Verfahren zum Entfernen von Seitenwandabstandselementen eines Halbleiterelements unter Anwendung eines verbesserten Ätzprozesses
DE10240422B4 (de) Verfahren zur Herstellung eines Halbleiterelements mit einer Leitungsstruktur mit vergrößertem Metallsilizidbereich
DE102009046259B4 (de) Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20140603