DE102021121174A1 - Barriereschichten für metallleitungen und durchkontaktierungen und durchkontaktierungsprofile für eine fortschrittliche fertigung einer integrierten schaltkreisstruktur - Google Patents

Barriereschichten für metallleitungen und durchkontaktierungen und durchkontaktierungsprofile für eine fortschrittliche fertigung einer integrierten schaltkreisstruktur Download PDF

Info

Publication number
DE102021121174A1
DE102021121174A1 DE102021121174.3A DE102021121174A DE102021121174A1 DE 102021121174 A1 DE102021121174 A1 DE 102021121174A1 DE 102021121174 A DE102021121174 A DE 102021121174A DE 102021121174 A1 DE102021121174 A1 DE 102021121174A1
Authority
DE
Germany
Prior art keywords
conductive
layer
ild
integrated circuit
barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021121174.3A
Other languages
English (en)
Inventor
Atul MADHAVAN
Gokul Malyavanatham
Philip Yashar
Mark KOEPER
Bharath Bangalore Rajeeva
Krishna T. MARLA
Umang DESAI
Harry B. RUSSELL
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102021121174A1 publication Critical patent/DE102021121174A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Human Computer Interaction (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Die Ausführungsformen der Offenbarung gehören zu dem Gebiet der Fertigung einer integrierten Schaltkreisstruktur. Bei einem Beispiel beinhaltet eine integrierte Schaltkreisstruktur eine erste leitfähige Zwischenverbindungsleitung in einer ersten dielektrischen Zwischenschicht (ILD-Schicht) oberhalb eines Substrats, eine zweite leitfähige Zwischenverbindungsleitung in einer zweiten ILD-Schicht oberhalb der ersten ILD-Schicht, und eine leitfähige Durchkontaktierung, welche die erste leitfähige Zwischenverbindungsleitung und die zweite leitfähige Zwischenverbindungsleitung miteinander koppelt, wobei die leitfähige Durchkontaktierung eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) aufweist. Bei einem anderen Beispiel beinhaltet ein Verfahren zum Fertigen einer integrierten Schaltkreisstruktur: Bilden eines Teilgrabens in einer dielektrischen Zwischenschicht (ILD-Schicht), wobei sich die ILD-Schicht auf einer Ätzstoppschicht befindet; Ätzen einer hängenden Durchkontaktierung, die auf der Ätzstoppschicht endet; und Durchführen einer Durchbruchätzung durch die Ätzstoppschicht, um eine Graben- und Durchkontaktierungsöffnung in der ILD-Schicht und der Ätzstoppschicht zu bilden.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/072,811 mit dem Titel „METAL LINE AND VIA BARRIER LAYERS FOR ADVANCED INTEGRATED CIRCUIT STRUCTURE FABRICATION“, eingereicht am 31 August 2020, und beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/072,826 mit dem Titel „VIA PROFILE FOR ADVANCED INTEGRATED CIRCUIT STRUCTURE FABRICATION“, eingereicht am 31 August 2020, deren gesamter Inhalt hiermit durch Bezugnahme aufgenommen wird.
  • TECHNISCHES GEBIET
  • Ausführungsformen der Offenbarung liegen auf dem Gebiet einer fortschrittlichen Fertigung einer integrierten Schaltkreisstruktur und insbesondere einer Fertigung von integrierten Schaltkreisstrukturen für den 10-Nanometer-Knoten und kleiner und der daraus resultierenden Strukturen.
  • HINTERGRUND
  • Im Verlauf der vergangenen Jahrzehnte war die Skalierung von Merkmalen in integrierten Schaltungen eine treibende Kraft hinter einer stetig wachsenden Halbleiterindustrie. Die Skalierung zu immer kleineren Merkmalen ermöglicht größere Dichten funktionaler Einheiten auf der begrenzten Nutzfläche von Halbleiterchips. Zum Beispiel ermöglicht das Schrumpfen der Transistorgröße den Einbau einer größeren Anzahl von Speicher- oder Logikvorrichtungen auf einem Chip, was der Fertigung von Produkten eine größere Kapazität verleiht. Der Drang zu immer größeren Kapazitäten birgt jedoch auch Probleme. Die Notwendigkeit zur Optimierung der Leistungsfähigkeit jeder Vorrichtung gewinnt zunehmend an Bedeutung.
  • Die Variabilität bei herkömmlichen und momentan bekannten Fertigungsprozessen kann die Möglichkeit begrenzen, sie in dem 10-Nanometer-Knotenbereich oder dem Sub-10-Nanometer-Knotenbereich weiter zu entwickeln. Folglich kann die Fertigung funktionaler Komponenten, die für zukünftige Technologieknoten benötigt werden, die Einführung neuer Methodologien oder die Integration neuer Technologien in aktuelle Fertigungsprozesse oder anstelle aktueller Fertigungsprozesse erfordern.
  • Figurenliste
    • 1A veranschaulicht eine Querschnittsansicht einer typischen Zwischenverbindung mit Barriere- und Kupferschichten.
    • 1B veranschaulicht eine Querschnittsansicht einer typischen Kupfer- und TaN/Ta-Barriere in einer dualen Damascene-Zwischenverbindung.
    • 2 veranschaulicht Querschnittsansichten einer Struktur, die unter Verwendung von TaN/Ta, das durch eine PVD abgeschieden wird (linke Seite), und einem anschließenden Sputterätzen gebildet wird, um die untere Barriere (rechte Seite) zu reduzieren.
    • 3 beinhaltet Querschnittsbilder einer Struktur, die unter Verwendung von Ta, das durch PVD abgeschieden wird (linke Seite), und einem anschließenden Sputterätzen gebildet wird, um die Ta-Dicke (rechte Seite), gemäß einer Ausführungsform der vorliegenden Offenbarung zu reduzieren.
    • 4 ist eine grafische Darstellung, die einen Kelvin-Durchkontaktierungswiderstand zeigt, der gemäß einer Ausführungsform der vorliegenden Offenbarung bei einer dünneren Barriere um etwa 30% reduziert wird.
    • 5A veranschaulicht Querschnittsansichten, die verschiedene Operationen in einem Prozessschema für Vollgraben plus Volldurchkontaktierung repräsentieren.
    • 5B veranschaulicht Querschnittsansichten, die gemäß einer Ausführungsform der vorliegenden Offenbarung verschiedene Operationen in einem Ätzprozessschema für Teilgraben plus hängende Durchkontaktierung plus Durchbruch (BT: Breakthrough) repräsentieren.
    • 6 ist ein Schaubild eines Rastermaßviertelungsansatzes, der zum Fertigen von Gräben für Zwischenverbindungsstrukturen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet wird.
    • 7A veranschaulicht eine Querschnittsansicht einer Metallisierungsschicht, die gemäß einer Ausführungsform der vorliegenden Offenbarung unter Verwendung eines Rastermaßviertelungsschemas gefertigt wird.
    • 7B veranschaulicht eine Querschnittsansicht einer Metallisierungsschicht, die gemäß einer Ausführungsform der vorliegenden Offenbarung unter Verwendung eines Rastermaßhalbierungsschemas oberhalb einer Metallisierungsschicht gefertigt ist, die unter Verwendung eines Rastermaßviertelungsschemas gefertigt wird.
    • 8A veranschaulicht eine Querschnittsansicht einer integrierten Schaltkreisstruktur, die gemäß einer Ausführungsform der vorliegenden Offenbarung eine Metallisierungsschicht mit einer Metallleitungszusammensetzung oberhalb einer Metallisierungsschicht mit einer anderen Metallleitungszusammensetzung aufweist.
    • 8B veranschaulicht eine Querschnittsansicht einer integrierten Schaltkreisstruktur, die gemäß einer Ausführungsform der vorliegenden Offenbarung eine Metallisierungsschicht mit einer Metallleitungszusammensetzung aufweist, die mit einer Metallisierungsschicht mit einer anderen Metallleitungszusammensetzung gekoppelt ist.
    • Die 9A bis 9C veranschaulichen Querschnittsansichten einzelner Zwischenverbindungsleitungen, die gemäß einer Ausführungsform der vorliegenden Offenbarung verschiedene Auskleidungs- und leitfähige Deckstrukturanordnungen aufweisen.
    • 10 veranschaulicht eine Querschnittsansicht einer integrierten Schaltkreisstruktur, die gemäß einer Ausführungsform der vorliegenden Offenbarung vier Metallisierungsschichten mit einer Metallleitungszusammensetzung und einem Rastermaß oberhalb von zwei Metallisierungsschichten mit einer unterschiedlichen Metallleitungszusammensetzung und einem kleineren Rastermaß aufweist.
    • 11A veranschaulicht eine Draufsicht und eine entsprechende Querschnittsansicht entlang der a-a'-Achse der Draufsicht einer Metallisierungsschicht gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 11B veranschaulicht eine Querschnittsansicht eines Leitungsendes oder Stopfens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 11C veranschaulicht eine andere Querschnittsansicht eines Leitungsendes oder eines Stopfens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 12A bis 12F veranschaulichen Draufsichten und entsprechende Querschnittsansichten, die gemäß einer Ausführungsform der vorliegenden Offenbarung verschiedene Operationen in einem Verarbeitungsschema für einen letztendlichen Stopfen repräsentiert.
    • 13A veranschaulicht eine Querschnittsansicht eines leitfähigen Leitungsstopfens, der gemäß einer Ausführungsform der vorliegenden Offenbarung im Inneren eine Naht aufweist.
    • 13B veranschaulicht eine Querschnittsansicht eines Stapels aus Metallisierungsschichten, die gemäß einer Ausführungsform der vorliegenden Offenbarung einen leitfähigen Leitungsstopfen an einem unteren Metallleitungsort beinhaltet.
    • 14 veranschaulicht eine Rechenvorrichtung gemäß einer Implementierung der Offenbarung.
    • 15 veranschaulicht einen Interposer, der in einer oder mehreren Ausführungsformen der Offenbarung enthalten ist.
    • 16 ist eine isometrische Ansicht einer mobilen Rechenplattform, die gemäß einer Ausführungsform der vorliegenden Offenbarung einen IC einsetzt, der gemäß einem oder mehreren der hier beschriebenen Prozessen gefertigt ist oder der ein oder mehrere hier beschriebene Merkmale beinhaltet.
    • 17 veranschaulicht eine Querschnittsansicht eines Flip-Chipmontierten ungehäusten Chips gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • BESCHREIBUNG DER AUSFÜHRUNGSFORMEN
  • Es ist eine fortschrittliche Fertigung einer integrierten Schaltkreisstruktur beschrieben. In der folgenden Beschreibung werden zahlreiche spezielle Einzelheiten, wie etwa spezielle Integrations- und Materialregime, dargelegt, um ein umfassendes Verständnis für die Ausführungsformen der vorliegenden Offenbarung zu vermitteln. Es versteht sich für einen Fachmann, dass Ausführungsformen der vorliegenden Offenbarung ohne diese speziellen Einzelheiten in die Praxis umgesetzt werden können. In anderen Fällen werden bekannte Merkmale, wie etwa Gestaltungslayouts integrierter Schaltkreise, nicht ausführlich beschrieben, um Ausführungsformen der vorliegenden Offenbarung nicht unnötigerweise schwerer verständlich zu machen. Außerdem versteht es sich, dass die verschiedenen Ausführungsformen, die in den Figuren gezeigt werden, veranschaulichende Repräsentationen sind und nicht notwendigerweise maßstabsgetreu gezeichnet sind.
  • Die folgende ausführliche Beschreibung ist lediglich von anschaulicher Natur und ist nicht so zu verstehen, dass sie die Ausführungsformen des Erfindungsgegenstands oder der Anmeldung und das Verwenden derartiger Ausführungsformen nicht beschränken. So wie das Wort „beispielhaft“ hier verwendet, bedeutet es „als ein Beispiel, eine Instanz oder Veranschaulichung dienend“. Jede hier als beispielhaft beschriebene Implementierung ist nicht notwendigerweise als bevorzugt oder vorteilhaft gegenüber anderen Implementierungen aufzufassen. Des Weiteren besteht nicht die Absicht, an irgendeine ausgedrückte oder implizierte Theorie gebunden zu sein, die in dem vorhergehenden Technischen Gebiet, dem vorhergehenden Hintergrund, der vorhergehenden Kurzdarstellung oder der folgenden ausführlichen Beschreibung präsentiert wird.
  • Diese Beschreibung beinhaltet Bezugnahmen auf „genau eine Ausführungsform“ oder „eine Ausführungsform“. Das Auftreten der Ausdrücke „bei genau einer Ausführungsform“ oder „bei einer Ausführungsform“ verweist nicht notwendigerweise auf dieselbe Ausführungsform. Bestimmte Merkmale, Strukturen oder Eigenschaften können auf eine beliebige geeignete Weise kombiniert werden, die mit dieser Offenbarung konsistent ist.
  • Terminologie. Die folgenden Paragrafen stellen Definitionen oder einen Zusammenhang für Ausdrücke bereit, die in dieser Offenbarung (einschließlich der angehängten Ansprüche) vorkommen:
  • „Umfassend“ Dieser Ausdruck ist als offen zu verstehen. Wie in den angehängten Ansprüchen verwendet, schließt dieser Ausdruck zusätzliche Strukturen oder Operationen nicht aus.
  • „Ausgelegt zu“ Verschiedene Einheiten oder Komponenten können als „dazu ausgelegt“ beschrieben oder beansprucht werden, um eine Aufgabe oder mehrere Aufgaben durchzuführen. Bei diesen Kontexten wird „dazu ausgelegt“ verwendet, um eine Struktur zu implizieren, indem angegeben wird, dass die Einheiten oder Komponenten eine Struktur beinhalten, die jene Aufgabe oder Aufgaben während des Betriebs durchführt. Von daher kann gesagt werden, dass die Einheit oder Komponente dazu ausgelegt ist, die Aufgabe durchzuführen, selbst wenn die spezifizierte Einheit oder Komponente momentan nicht im Einsatz ist (z.B. nicht eingeschaltet oder aktiv ist). Das Darlegen, dass eine Einheit oder eine Schaltung oder eine Komponente „dazu ausgelegt“ ist, eine oder mehrere Aufgaben durchzuführen, ist ausdrücklich so zu verstehen, dass sich für diese Einheit oder Komponente nicht auf 35 U.S.C. §112, sechster Absatz berufen wird.
  • So wie „Erstes“, „Zweites“ „usw.“ hier verwendet werden, werden diese Begriffe als Bezeichnungen für Substantive verwendet, denen sie vorangehen, implizieren aber keine Art von Reihenfolge (z.B. räumlich, zeitlich, logisch usw.).
  • „Gekoppelt“ - Die folgende Beschreibung verweist auf Elemente oder Knoten oder Merkmale, die miteinander „gekoppelt“ sind. So wie „gekoppelt“ hier verwendet wird, bedeutet es, sofern nicht ausdrücklich anderes angegeben ist, dass ein Element oder ein Knoten oder ein Merkmal direkt oder indirekt, aber nicht notwendigerweise mechanisch, mit einem anderen Element oder einem anderen Knoten oder einem anderen Merkmal verbunden ist (oder direkt oder indirekt mit diesem kommuniziert).
  • Außerdem kann in der folgenden Beschreibung gewisse Terminologie auch lediglich zum Zweck der Bezugnahme verwendet werden und ist dementsprechend als nicht beschränkend zu verstehen. Zum Beispiel beziehen sich die Begriffe wie „oberer“, „unterer“, „oberhalb“ und „unterhalb“ auf Richtungen in den Zeichnungen, auf die Bezug genommen wird. Begriffe wie etwa „vorne“, „rückwärtig“, „hinten“, „seitlich“, „außen“ und „innen“ beschreiben die Orientierung oder Lage oder beides von Teilen der Komponente innerhalb eines konsistenten, aber beliebigen Bezugsrahmens, der durch Bezugnahme auf den Text und die zugehörigen Zeichnungen, welche die erörterte Komponente beschreiben, erläutert wird. Diese Terminologie kann die oben speziell erwähnten Wörter, Ableitungen davon und Wörter mit ähnlicher Bedeutung beinhalten.
  • „Hemmen“ - So wie „hemmen“ hier verwendet wird, wird es verwendet, um einen Reduzierungs- oder Minimierungseffekt zu beschreiben. Wenn eine Komponente oder ein Merkmal so beschrieben wird, dass sie/es eine Aktion, eine Bewegung oder eine Bedingung hemmt, kann sie/es das Ergebnis oder das Resultat oder den zukünftigen Zustand vollständig hemmen. Außerdem kann sich „hemmen“ auch auf eine Reduzierung oder Verringerung des Resultats, der Leistungsfähigkeit oder die Wirkung beziehen, der/die ansonsten auftreten könnte. Entsprechend muss, wenn eine Komponente, ein Element oder ein Merkmal als ein Ergebnis oder einen Zustand hemmend bezeichnet wird, sie/es das Ergebnis oder den Zustand nicht vollständig verhindern oder beseitigen.
  • Hier beschriebene Ausführungsformen können sich auf FEOL-Halbleiterverarbeitungen und -Strukturen (FEOL: Front-End-Of-Line) beziehen. FEOL ist der erste Teil einer Fertigung von integrierten Schaltkreisen (IC: Integrated Circuit), wobei die einzelnen Vorrichtungen (z.B. Transistoren, Kondensatoren, Widerstände usw.) in dem Halbleitersubstrat oder der Halbleiterschicht strukturiert werden. FEOL deckt allgemein alles ab bis zu (aber nicht einschließlich) der Abscheidung von Metallzwischenverbindungsschichten. Anschließend an die letzte FEOL-Operation ist das Ergebnis typischerweise ein Wafer mit isolierten Transistoren (z.B. ohne irgendwelche Drähte).
  • Hier beschriebene Ausführungsformen können sich auf BEOL-Halbleiterverarbeitung und -Strukturen (BEOL: Back-End-Of-Line) beziehen. BEOL ist der zweite Teil einer IC-Fertigung, wobei die einzelnen Vorrichtungen (z.B. Transistoren, Kondensatoren, Widerstände usw.) mit einer Verdrahtung auf dem Wafer, z.B. der Metallisierungsschicht oder den Metallisierungsschichten, miteinander verbunden werden. BEOL beinhaltet Kontakte, Isolationsschichten (Dielektrika), Metallebenen und Bondstellen für Chip-Gehäuse-Verbindungen. In dem BEOL-Teil der Fertigungsphase werden Kontakte (Kontaktflächen), Zwischenverbindungsdrähte, Durchkontaktierungen und dielektrische Strukturen gebildet. Für moderne IC-Prozesse können mehr als 10 Metallschichten in dem BEOL hinzugefügt werden.
  • Unten beschriebene Ausführungsformen können auf FEOL-Verarbeitung und -Strukturen, BEOL-Verarbeitung und -Strukturen oder sowohl FEOL- als auch BEOL-Verarbeitung und -Strukturen anwendbar sein. Obwohl ein beispielhaftes Verarbeitungsschema unter Verwendung eines FEOL-Verarbeitungsszenarios veranschaulicht sein kann, können diese Ansätze insbesondere auch auf eine BEOL-Verarbeitung anwendbar sein. Obwohl ein beispielhaftes Verarbeitungsschema unter Verwendung eines BEOL-Verarbeitungsszenarios veranschaulicht sein kann, können diese Ansätze in der gleichen Weise auch auf eine FEOL-Verarbeitung anwendbar sein.
  • Es versteht sich, dass FEOL ein Technologietreiber für einen gegebenen Prozess ist. Bei einer anderen Ausführungsform werden die FEOL-Betrachtungen durch Anforderungen an eine BEOL 10-Nanometer- oder Sub-10 Nanometerverarbeitung vorangetrieben. Für die Materialauswahl und Layouts für FEOL-Schichten und - Vorrichtungen kann es zum Beispiel erforderlich sein, eine BEOL-Verarbeitung zu übernehmen. Bei einer derartigen Ausführungsform werden eine Materialauswahl und Gate-Stapel-Architekturen so gewählt, dass eine Metallisierung mit hoher Dichte der BEOL-Schichten unterstützt wird, um z.B. eine Streukapazität in Transistorstrukturen zu reduzieren, die in den FEOL-Schichten gebildet wurden, aber durch eine Metallisierung mit hoher Dichte der BEOL-Schichten miteinander gekoppelt sind.
  • Back-End-Of-Line-Schichten (BEOL-Schichten) von integrierten Schaltkreisen umfassen üblicherweise elektrisch leitende mikroelektronische Strukturen, die in dem Stand der Technik als Durchkontaktierungen bekannt sind, um Metallleitungen oder anderen Zwischenverbindungen oberhalb der Durchkontaktierungen mit Metallleitungen oder anderen Zwischenverbindungen unterhalb der Durchkontaktierungen elektrisch zu verbinden. Die Durchkontaktierungen können durch einen lithografischen Prozess gebildet werden. Stellvertretend kann eine Fotolackschicht auf einer dielektrischen Schicht durch eine Rotationsbeschichtung abgeschieden werden, wobei die Fotolackschicht mit einer strukturierten aktinischen Strahlung durch eine strukturierte Maske belichtet werden kann, und die belichtete Schicht danach entwickelt werden kann, um eine Öffnung in der Fotolackschicht zu bilden. Als Nächstes kann für die Durchkontaktierung unter Verwendung der Öffnung in der Fotolackschicht als Ätzmaske eine Öffnung in die dielektrische Schicht geätzt werden. Diese Öffnung wird als eine Durchkontaktierungsöffnung bezeichnet. Schließlich kann die Durchkontaktierungsöffnung mit einem oder mehreren Metallen oder anderen leitfähigen Materialien gefüllt werden, um die Durchkontaktierung zu bilden.
  • Die Größen und die Abstände von Durchkontaktierungen haben fortschreitend abgenommen und es ist zu erwarten, dass die Größen und die Abstände der Durchkontaktierungen mindestens für einige Typen von integrierten Schaltkreisen (z.B. fortschrittliche Mikroprozessoren, Chipsatzkomponenten, Grafikchips usw.) auch in Zukunft weiterhin fortschreitend abnehmen werden. Wenn extrem kleine Durchkontaktierungen mit extrem kleinen Rastermaßen durch derartige lithografische Prozesse strukturiert werden, ergeben sich von selbst zahlreiche Herausforderungen. Eine derartige Herausforderung besteht darin, dass die Überlagerung zwischen den Durchkontaktierungen und den darüber liegenden Zwischenverbindungen und die Überlagerung zwischen den Durchkontaktierungen und den darunter liegenden Anschlusszwischenverbindungen im Allgemeinen hinsichtlich hoher Toleranzen in der Größenordnung eines Viertels des Durchkontaktierungsrastermaßes gesteuert werden müssen. Da die Durchkontaktierungsrastermaße mit der Zeit immer kleiner skaliert werden, weisen damit auch die Überlagerungstoleranzen eine Tendenz auf, dass sie mit einer noch höheren Rate herabskaliert werden als die lithografische Ausrüstung anbieten kann.
  • Eine andere derartige Herausforderung besteht darin, dass die kritischen Abmessungen der Durchkontaktierungsöffnungen im Allgemeinen dazu neigen, schneller als die Auflösungsfähigkeiten der Lithografie-Scanner herabskaliert zu werden. Es existieren Verkleinerungstechnologien zum Verkleinern der kritischen Abmessungen der Durchkontaktierungsöffnungen. Jedoch neigt das Ausmaß der Verkleinerung dazu, sowohl durch das minimale Durchkontaktierungsrastermaß als auch durch die Fähigkeit des Verkleinerungsprozesses begrenzt zu werden, um ausreichend OPC-neutral (OPC: Optical Proximity Correction - optische Nähenkorrektur) zu sein und um eine Linienbreitenrauheit (LWR: Line Width Roughness) oder eine Gleichmäßigkeit der kritischen Abmessungen (CDU: Critical Dimension Uniformity) oder beide nicht wesentlich zu beeinträchtigen. Noch eine weitere derartige Herausforderung besteht darin, dass LWR- und/oder CDU-Charakteristiken von Fotolacken im Allgemeinen verbessert werden müssen, wenn die kritischen Abmessungen der Durchkontaktierungsöffnungen abnehmen, um den gleichen Gesamtbruchteil des Budgets der kritischen Abmessungen beizubehalten.
  • Die obigen Faktoren sind auch relevant für die Betrachtung der Platzierung und Skalierung nichtleitender Räume oder Unterbrechungen zwischen Metallleitungen (auf die als „Stopfen“, „dielektrische Stopfen“ oder „Metallleitungsenden“ Bezug genommen wird) bei den Metallleitungen von Metallzwischenverbindungsstrukturen in dem Back-End of Line (BEOL). Dementsprechend werden Verbesserungen in dem Bereich einer Back-End-Metallisierungsfertigungstechnologie zum Fertigen von Metallleitungen, Metalldurchkontaktierungen und dielektrischen Stopfen benötigt.
  • Bei einem ersten Aspekt wird ein Prozess zum Ermöglichen einer dünnen und stickstofffreien Tantal-Barriere (Ta-Barriere) für eine Widerstandsreduktion der Durchkontaktierung beschrieben.
  • Zum besseren Verständnis hat im Back-End eine Zwischenverbindungsskalierung für eine höhere Dichte und eine bessere Leistungsfähigkeit den RC- und den Durchkontaktierungswiderstand in den Fokus gebracht, da sie sich auf die Signalverzögerung auswirken und zu Leistungsfähigkeitsverlusten führen. Das Reduzieren des Durchkontaktierungswiderstands, bei einem gleichzeitigen Beibehalten des Kurzschlussspielraums unterstützt die Leistungsverbesserung ohne Gestaltungsregeländerungen zu erzwingen.
  • Eine oder mehrere hier offenbarte Ausführungsformen betreffen einen Prozess, der sich auf eine Widerstandsreduktion einer Durchkontaktierung bezieht, indem die Barrieredicke herabskaliert wird, und der auch eine Lösung durch ein Entfernen der Nitridkomponente (TaN: spezifischer Widerstand von ungefähr 200 Mikroohm-cm) aus der Doppelschichtbarriere bereitstellt, während er mit einem Prozessstapel integriert wird, ohne irgendeine Zuverlässigkeit oder ein Ertragsrisiko hinzuzufügen.
  • Zum weiteren besseren Verständnis ist bei den Standardprozesslösungen eine Doppelschichtbarriere (TaN-Barriere plus Ta-Barriere) enthalten, um Kupfer-Diffusion (Cu-Diffusion) in eine dielektrische Zwischenschicht zu verhindern und um einem Mikroprozessor eine Zuverlässigkeit bereitzustellen. Zum Reduzieren der Dicke wird in einigen Fällen nach der Barrierefilmabscheidung eine Ätzoperation hinzugefügt. Zum Verhindern einer Cu- und TaN-Wechselwirkung wird jedoch typischerweise ein sehr dünner abschließender Ta-Schritt hinzugefügt. Ein derartiger Doppelschichtbarriereprozess weist Grenzen für die Herabskalierung auf, da für die Barriere zwei Filme abgeschieden werden müssen und zusätzliche Vorkehrungen getroffen werden müssen, um eine TaN-Cu-Wechselwirkung als Cu-Agglomerate auf TaN zu verhindern.
  • Als eine Referenz veranschaulicht 1A eine Querschnittsansicht einer typischen Zwischenverbindung mit Barriere- und Kupferschichten. Unter Bezugnahme auf 1A beinhaltet eine integrierte Schaltkreisstruktur 100 eine untere Metallisierungsschicht 102 und eine obere Metallisierungsschicht 106, wobei Letztere eine Ätzstoppschicht 104 beinhalten kann. Die untere Metallisierungsschicht 102 weist eine Zwischenverbindungsleitung oder einen Zwischenverbindungsgraben 108 einschließlich einer Kupferfüllung 112 auf einer Ta-Schicht 114 auf einer TaN-Schicht 116 auf. Die obere Metallisierungsschicht 106 beinhaltet eine Zwischenverbindungsleitung oder einen Zwischenverbindungsgraben 120 und eine Zwischenverbindungsleitung oder einen Zwischenverbindungsgraben mit entsprechender Durchkontaktierung (die gemeinsam als 122 gezeigt werden). Sowohl 120 als auch 122 weisen eine Kupferfüllung 112 auf einer Ta-Schicht 114 auf einer TaN-Schicht 116 auf. Wie dargestellt ist, versteht es sich, dass die Leitungsrichtung der oberen Metallisierungsschicht 106 orthogonal zu der Leitungsrichtung der unteren Metallisierungsschicht 102 sein kann.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird für leistungsfähigkeitskritische Zwischenverbindungs-Cu-Schichten näher an dem Transistor eine dünne Nur-Ta-Barriereschicht gefertigt. Ein dünneres Ta und ein Eliminieren von TaN können den Durchkontaktierungswiderstand für diese kritischen Zwischenverbindungsschichten reduzieren.
  • Die Vorteile des Implementierens der hier beschriebener Ausführungsformen können, ohne auf diese beschränkt zu sein, beinhalten: (1) eine einzige Barriereschicht mit einer Ar-Ätzung, um die Durchkontaktierungsbodendicke zu steuern; wobei ein Umschalten von einer Doppelschicht (TaN + Ta) zu einer Einzelschicht (Ta) ermöglicht, dass der Barrierefilm dünner wird, und eine weitere Argon-Ätzung verwendet werden kann, um die minimale Bodendicke so zu gestalten, dass sie die Zuverlässigkeitsziele erfüllt; (2) einen niedrigeren Durchkontaktierungswiderstand; wobei eine dünnere Barriere den Durchkontaktierungswiderstand um bis zu 30% reduziert und den Kettenwiderstand um bis zu etwa 10% reduziert. Der Nachweis kann ein Fehlen von Stickstoff in der mit TEM nachgewiesenen Barriereschicht beinhalten. Die Querschnitte von Zwischenverbindungsmerkmalen mit einer Analyse der Zusammensetzung können die Abwesenheit von Stickstoff in dem Merkmal anzeigen.
  • Zum besseren Verständnis wird in den BEOL-Zwischenverbindungen typischerweise eine PVD-TaN/Ta-Barriere verwendet, die an der Unterseite der Durchkontaktierung dick sein kann. Als ein Beispiel veranschaulicht 1B eine Querschnittsansicht einer typischen Kupfer- und TaN/Ta-Barriere in einer dualen Damascene-Zwischenverbindung. Unter Bezugnahme auf 1B beinhaltet eine integrierte Schaltkreisstruktur 150 eine untere Metallisierungsschicht 152 und eine obere Metallisierungsschicht 156, wobei Letztere eine Ätzstoppschicht 154 beinhalten kann. Die untere Metallisierungsschicht 152 weist Zwischenverbindungsleitungen oder Gräben 158 einschließlich einer Kupferfüllung 162 auf einer Ta-Schicht 164 auf einer TaN-Schicht 166 auf. Die obere Metallisierungsschicht 156 beinhaltet eine Zwischenverbindungsleitung oder einen Zwischenverbindungsgraben 172A mit einer entsprechenden Durchkontaktierung 172B (die gemeinsam als 172 gezeigt werden). Eine Zwischenverbindungsleitung oder ein Graben mit einer entsprechenden Durchkontaktierung 172 beinhaltet eine Kupferfüllung 162 auf einer Ta-Schicht 164 auf einer TaN-Schicht 166. Wie dargestellt ist, kann die Unterseite des Durchkontaktierungsabschnitts von 172 im Vergleich zu anderen Stellen des Films relativ dick sein und kann zu einem erhöhten Durchkontaktierungswiderstand führen. Wie dargestellt ist, versteht es sich, dass die Leitungsrichtung der oberen Metallisierungsschicht 156 orthogonal zu der Leitungsrichtung der unteren Metallisierungsschicht 152 sein kann.
  • Der Durchkontaktierungswiderstand für eine Zwischenverbindung ist die Summe der Widerstände von Cu und dem entsprechenden TaN/Ta-Barrieredünnfilm. Da der spezifische Widerstand der Barrierefilme um einige Größenordnungen größer derjenige von als Kupfer sein kann, wird der Durchkontaktierungswiderstand typischerweise durch die Barrierefilmdicke dominiert, wobei der Durchkontaktierungswiderstand = (TaN/Ta-Widerstand * Barrieredicke)/Fläche des Durchkontaktierungsbodens ist. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung kann zum Erlangen einer Verbesserung des Durchkontaktierungswiderstands eine Kombination von Änderungen implementiert werden: (1) Reduzieren der Barrieredicke; (2) Eliminieren von TaN; und/oder (3) Abscheiden des Ta mit einer höheren Einfallsenergie, um eine stabile Bindung mit der ILD zu bilden.
  • Zum weiteren besseren Verständnis für vorherige Ansätze weist das Reduzieren der Barrieredicke mit einer Sputterätzung Einschränkungen auf, da Cu mit TaN direkt an der Graben-Durchkontaktierungs-Grenzfläche wechselwirken kann, was zu einer Cu-Agglomeration und einem Entleeren der Zwischenverbindung führt. Dies kann ein weiteres Verdünnen der Barriere verhindern oder erfordert nach dem Durchführen des Ätzens eine Wiederholung einer Ta-Abscheidung. Als ein Beispiel veranschaulicht 2 Querschnittsansichten einer Struktur, die unter Verwendung von TaN/Ta, das durch PVD (linke Seite) abgeschieden wird, und ein anschließendes Sputterätzen gebildet wird, um die untere Barriere (rechte Seite) zu reduzieren.
  • Unter Bezugnahme auf die linke Seite der 2 weist eine herkömmliche Startstruktur 200 einen Graben 204 in einer dielektrischen Zwischenschicht (ILD-Schicht) 202 auf. Der Graben 204 wird mit einer TaN-Schicht 206 ausgekleidet. Auf der TaN-Schicht 206 ist eine Ta-Schicht 208 angeordnet. Unter Bezugnahme auf die rechte Seite der 2 wird die Struktur 200 einem Ätzprozess, wie etwa einem AR-Prozess, unterzogen, um eine modifizierte Struktur 250 mit einer geätzten Ta-Schicht 208A zu bilden. Die Ätzung kann eine Dicke der Ta-Schicht 208 an der Unterseite der Durchkontaktierung z.B. auf eine Dicke 208D reduzieren, was einen Durchkontaktierungswiderstand an dieser Stelle reduzieren kann. Jedoch kann ein derartiger Ätzprozess zu einer Verdickung (z.B. durch eine lokale Sputterakkumulation) führen, wie etwa an der Stelle 208B, oder kann zu einer gesamten Entfernung führen, wie etwa an der Stelle 208C. In einigen Fällen wird die TaN-Barriereschicht 206 auch durch das Ätzen modifiziert, um eine TaN-Schicht 206A zu bilden, die abgetragene Gebiete 206B beinhalten kann. Diese Folgen des Ätzens können das Skalieren behindern und können das Ausmaß der Widerstandsreduktion begrenzen, die durch das Durchführen des Ätzens angestrebt wird.
  • Gemäß einer oder mehreren Ausführungsformen kann die Barrieredicke weiter reduziert werden, falls nur eine einzige Schicht Ta als eine Barriere anstelle einer zweischichtigen TaN/Ta-Kombination verwendet wird. Bei einer Ausführungsform beinhaltet ein Prozess das Abscheiden von Ta unter Verwendung einer höheren kinetischen Energie, um direkt auf die ILD abgeschieden zu werden und dennoch Zuverlässigkeits- und Ertragskriterien zu erfüllen. Dies kann ein weiteres Verdünnen der Nur-TA-Barriere ermöglichen, was zu Verstärkungen des Durchkontaktierungswiderstands führt.
  • Als ein Beispiel beinhaltet 3 Querschnittsbilder einer Struktur, die gemäß einer Ausführungsform der vorliegenden Offenbarung unter Verwendung von Ta, das durch eine PVD abgeschieden wird (linke Seite), und ein anschließendes Sputterätzen gebildet wird, um die Ta-Dicke zu reduzieren (rechte Seite).
  • Unter Bezugnahme auf die linke Seite der 3 werden ein Lichtfeldbild A und ein Dunkelfeldbild B für eine integrierte Schaltkreisstruktur 300 bereitgestellt, die Zwischenverbindungsleitungen/Durchkontaktierungen mit einer Kupferfüllung 304 auf einer Nur-Ta-Sperrschicht 302 in einer ILD-Schicht 306 beinhaltet. Bei einer Ausführungsform wird die Nur-Ta-Sperrschicht 302 durch eine physikalische Gasphasenabscheidung (PVD: Physical Vapor Deposition) abgeschieden. Es versteht sich, dass die Nur-Ta-Sperrschicht 302 der integrierten Schaltkreisstruktur 300 als abgeschieden verwendet werden kann. Bei einer anderen Ausführungsform kann die Nur-Ta-Sperrschicht 302 jedoch verdünnt sein. Zum Beispiel werden unter Bezugnahme auf die rechte Seite der 3 ein Lichtfeldbild A und ein Dunkelfeldbild B für eine integrierte Schaltkreisstruktur 350 bereitgestellt, die Zwischenverbindungsleitungen/Durchkontaktierungen beinhaltet, die eine Kupferfüllung 354 auf einer verdünnten Nur-TA-Sperrschicht 352 in einer ILD-Schicht 356 aufweisen. Bei einer Ausführungsform wird die Nur-Ta-Barriereschicht 352 durch eine physikalische Gasphasenabscheidung (PVD) abgeschieden und dann unter Verwendung einer Sputterätzung, wie etwa einer Argon-Sputterätzung, verdünnt.
  • Wieder unter Bezugnahme auf 3 beinhaltet eine integrierte Schaltkreisstruktur 300 oder 350 gemäß einer Ausführungsform der vorliegenden Offenbarung oberhalb eines Substrats eine erste leitfähige Zwischenverbindungsleitung 310 oder 360 in einer ersten dielektrischen Zwischenschicht (ILD-Schicht) 312 oder 362. Eine zweite leitfähige Zwischenverbindungsleitung 308 oder 358 befindet sich in einer zweiten ILD-Schicht 306 oder 356 oberhalb der ersten ILD-Schicht 312 oder 362. Eine leitfähige Durchkontaktierung 309 oder 359 koppelt die erste leitfähige Zwischenverbindungsleitung 310 oder 360 und die zweite leitfähige Zwischenverbindungsleitung 308 oder 358 miteinander. Bei einer Ausführungsform weist die leitfähige Durchkontaktierung 309 oder 359 eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) 302 oder 352 auf.
  • Bei einer Ausführungsform weist die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) 302 oder 352 eine Dicke in einem Bereich von 1 bis 5 Nanometer auf. Wie dargestellt ist, erstreckt sich die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) 302 oder 352 bei einer Ausführungsform von der leitfähigen Durchkontaktierung 309 oder 359 zu der zweiten leitfähigen Zwischenverbindungsleitung 308 oder 358.
  • Bei einer Ausführungsform beinhaltet die integrierte Schaltkreisstruktur 300 oder 350 ferner eine leitfähige Füllung 304 oder 354 innerhalb der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) 302 oder 352 in der leitfähigen Durchkontaktierung 309 oder 359 und in der zweiten leitfähigen Zwischenverbindungsleitung 308 oder 358. Bei einer derartigen Ausführungsform beinhaltet die leitfähige Füllung 304 oder 354 Kupfer direkt auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) 302 oder 352.
  • Bei einer Ausführungsform befindet sich die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) 302 oder 352 direkt auf einer leitfähigen Füllung der ersten leitfähigen Zwischenverbindungsleitung 310 oder 360. Bei einer Ausführungsform ist die leitfähige Füllung der ersten leitfähigen Zwischenverbindungsleitung 310 oder 360 eine Kupferfüllung oder eine Kobaltfüllung.
  • Das verbesserte Verfahren kann im Vergleich zum Standardverfahren durch ein Eliminieren von TaN und ein auf diese Weise erfolgreiches Reduzieren der Dicke des Nur-Ta-Durchkontaktierungsbodens um ungefähr die Hälfte zu einer Reduzierung des Durchkontaktierungswiderstands von etwa 30% führen. 4 ist eine grafische Darstellung 400, die zeigt, dass sich der Kelvin-Durchkontaktierungswiderstand mit einer dünneren Nur-Ta-Barriere (Testprobe B im Vergleich zu den Testproben A, C und D) gemäß einer Ausführungsform der vorliegenden Offenbarung um etwa 30% verringert.
  • In einem zweiten Aspekt wird für einen Prozessablauf einer Rastermaßteilung ein Prozessablauf für Teilgräben, hängende Durchkontaktierungen und endgültige Gräben beschrieben.
  • Zum besseren Verständnis wird bei einer aggressiven Herabskalierung eine Kupfer-Spaltfüllung (Cu-Spaltfüllung) in dualen Damascene-Prozessabläufen immer mehr infrage gestellt. Obwohl das Verwenden eines Prozessablaufs für Vollgräben und Volldurchkontaktierungen hinsichtlich des Strukturierens einfacher ist, wird die Lückenfüllung aufgrund des Auftretens von nahezu 90-Grad-Ecken bei der Cu-Lückenfüllung in hohem Maße infrage gestellt. Bei einer Ausführungsform führt ein Prozessablauf für Teilgräben, hängende Durchkontaktierungen und endgültige Gräben zu wenigen bis keinen Defekten und zu einer guten Lückenfüllung. Vorherige Lösungen haben entweder einen Prozessablauf für Vollgräben und Volldurchkontaktierungen oder für einen wirklich flachen ersten Graben gefolgt von einem Aufhängen einer Durchkontaktierung und einem Großteil des verbleibenden Grabens eingesetzt. Diese Ansätze haben zu Defekten geführt, entweder beim Strukturieren oder beim Lückenfüllen.
  • Die hier offenbarten Ausführungsformen können zum Bereitstellen einer kostengünstigen und risikoarmen Methodik implementiert werden, um einen robusten Strukturierungs- und Lückenfüllprozess zu erreichen. Die Erkennbarkeit kann das Vorhandensein von verjüngten Durchkontaktierungen beinhalten, die eine robuste Lückenfüllung ermöglichen, die unter Verwendung einer Reverse-Engineering-Technologie (z.B. SEM, TEM) beobachtbar ist.
  • Bei einer Ausführungsform wird ein erster Graben bis zu mehr als 75% des Fertigungswegs strukturiert. Dies ermöglicht einen minimalen Defekt, der durch die nachfolgende Durchkontaktierungsschleife hinzugefügt wird. Anschließend wird der Durchkontaktierung entwickelt, um selektiv auf einer Ätzstoppschicht (ES-Schicht) anzuhalten. Abschließend ätzt die letzte Operation, die als eine Durchbruchätzung bezeichnet wird, selektiv einen größeren Teil der Ätzstoppschicht im Vergleich zu dem Graben-ILD-Material, um ein zusätzliches Prozessfenster sowie ein robustes Profil bereitzustellen, um eine Cu-Lückenfüllung zu ermöglichen. Verschiedene ES-Schemata können verwendet werden: entweder dielektrische Ätzstoppschemata oder MetalloxidÄtzstoppschemata.
  • Als ein Vergleichsbeispiel veranschaulicht 5A Querschnittsansichten, die verschiedene Operationen in einem Prozessschema für Vollgräben plus Volldurchkontaktierungen repräsentieren. Unter Bezugnahme auf Teil (a) der 5A wird eine dielektrische Zwischenschicht (ILD-Schicht) 504 oberhalb einer Ätzstoppschicht (ES-Schicht) 502 gebildet. Eine Hartmaskenschicht (HM-Schicht) 506 wird oberhalb der ILD-Schicht 504 gebildet. Eine Ätzung wird durchgeführt, um einen Vollgraben 508 durch die Hartmaskenschicht 506 und die ILD-Schicht 504 zu bilden. Unter Bezugnahme auf Teil (b) der 5A wird eine Volldurchkontaktierung 510 geätzt, wodurch die strukturierte ILD-Schicht 504A und die strukturierte Ätzstoppschicht 502A gebildet werden.
  • Im Gegensatz zu 5A veranschaulicht 5B Querschnittsansichten, die gemäß einer Ausführungsform der vorliegenden Offenbarung verschiedene Operationen in einem Prozessschema für Teilgräben plus hängende Durchkontaktierungen plus Durchbruchätzungen (BT-Ätzungen) repräsentieren. Unter Bezugnahme auf Teil (a) der 5B wird eine dielektrische Zwischenschicht (ILD-Schicht) 554 oberhalb einer Ätzstoppschicht (ES-Schicht) 552 gebildet. Eine erste Hartmaskenschicht (HM1-Schicht) 556 wird oberhalb der ILD-Schicht 554 gebildet. Eine zweite Hartmaskenschicht (HM2-Schicht) 557 wird oberhalb der ersten Hartmaskenschicht 556 gebildet. Eine Ätzung wird durchgeführt, um einen Teilgraben 558 durch die zweite Hartmaskenschicht 557, die erste Hartmaskenschicht 556 und die ILD-Schicht 554 zu bilden. Eine Zielgrabentiefe 558A wird durch eine gestrichelte Linie gezeigt. Unter Bezugnahme auf Teil (b) der 5B wird eine Ätzung einer hängenden Durchkontaktierung durchgeführt, um auf der Ätzstoppschicht 552 zu enden, wodurch eine strukturierte ILD-Schicht 554A mit der Durchkontaktierung 560 gebildet wird. Unter Bezugnahme auf Teil (c) der 5B wird eine Ätzung durchgeführt, sodass sich der Durchkontaktierung 560 in die Ätzstoppschicht 552 erstreckt, die strukturierte Ätzstoppschicht 552A gebildet wird und der Graben 558B und die Durchkontaktierung 560B in einer zweimal strukturierten ILD-Schicht 554B gebildet werden.
  • Wieder unter Bezugnahme auf 5B beinhaltet ein Verfahren zum Fertigen einer integrierten Schaltkreisstruktur gemäß einer Ausführungsform der vorliegenden Offenbarung ein Bilden eines Teilgrabens 558 in einer dielektrischen Zwischenschicht (ILD-Schicht) 554, der ILD-Schicht 554, auf einer Ätzstoppschicht 552. Das Verfahren beinhaltet auch ein Ätzen einer hängenden Durchkontaktierung 560, die auf der Ätzstoppschicht 552 endet. Das Verfahren beinhaltet auch ein Durchführen einer Durchbruchätzung durch die Ätzstoppschicht 552, um eine Graben- 558B und eine Durchkontaktierungsöffnung 560B in der ILD-Schicht 554B und der Ätzstoppschicht 552A zu bilden. Bei einer Ausführungsform verlängert das Durchführen der Durchbruchätzung den Teilgraben 558A tiefer in die ILD-Schicht 554B, um den Graben 558B zu bilden.
  • Bei einer Ausführungsform beinhaltet das Verfahren ferner ein Bilden einer einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) entlang der Oberflächen der Öffnung des Grabens 558B und der Durchkontaktierung 560B. Bei einer derartigen Ausführungsform beinhaltet das Verfahren ferner ein Bilden einer leitfähigen Füllung auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht). Bei einer speziellen derartigen Ausführungsform beinhaltet die leitfähige Füllung Kupfer direkt auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht). Bei einer Ausführungsform beinhaltet das Verfahren ferner ein Reduzieren einer Dicke der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) vor dem Bilden der leitfähigen Füllung, wie etwa oben beschrieben wurde.
  • Bei einem anderen Aspekt wird ein Rastermaßviertelungsansatz zum Strukturieren von Gräben in einer dielektrischen Schicht zum Bilden von BEOL-Zwischenverbindungsstrukturen implementiert. Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine Rastermaßteilung zur Fertigung von Metallleitungen in einem BEOL-Fertigungsschema angewandt. Diese Ausführungsformen können eine fortgesetzte Herabskalierung des Rastermaßes von Metallschichten jenseits der Auflösungsfähigkeit von modernen Lithografieausrüstungen ermöglichen.
  • 6 ist ein Schaubild eines Rastermaßviertelungsansatzes 600, der gemäß einer Ausführungsform der vorliegenden Offenbarung zum Fertigen von Zwischenverbindungsstrukturen verwendet wird.
  • Unter Bezugnahme auf 6 werden in der Operation (a) Backbone-Merkmale 602 unter Verwendung einer direkten Lithografie gebildet. Zum Beispiel kann eine Fotolackschicht oder ein Fotolackstapel strukturiert werden und die Strukturierung kann in ein Hartmaskenmaterial transferiert werden, um abschließend die Backbone-Merkmale 602 zu bilden. Die Fotolackschicht oder der Fotolackstapel, die/der zum Bilden von Backbone-Merkmalen 602 verwendet wird, kann unter Verwendung von standardmäßigen lithografischen Verarbeitungstechniken, wie etwa einer 193-nm-Immersionslithografie, strukturiert werden. Erste Abstandshaltermerkmale 604 werden dann neben den Seitenwänden der Backbone-Merkmale 602 gebildet.
  • In der Operation (b) werden die Backbone-Merkmale 602 entfernt, um nur die ersten Abstandshaltermerkmale 604 übrig zu lassen. In dieser Phase sind die ersten Abstandshaltermerkmale 604 tatsächlich eine Halbrastermaßmaske, die z.B. einen Rastermaßhalbierungsprozess repräsentiert. Die ersten Abstandshaltermerkmale 604 können entweder direkt für einen Rastermaßviertelungsprozess verwendet werden oder die Strukturierung der ersten Abstandshaltermerkmale 604 kann zuerst in ein neues Hartmaskenmaterial transferiert werden, wobei der letztere Ansatz dargestellt ist.
  • In der Operation (c) wird die Strukturierung der ersten Abstandshaltermerkmale 604 in ein neues Hartmaskenmaterial transferiert, um erste Abstandshaltermerkmale 604' zu bilden. Zweite Abstandshaltermerkmale 606 werden dann neben den Seitenwänden der ersten Abstandshaltermerkmale 604' gebildet.
  • In der Operation (d) werden die ersten Abstandshaltermerkmale 604' entfernt, um nur die zweiten Abstandshaltermerkmale 606 übrig zu lassen. In dieser Phase sind die zweiten Abstandshaltermerkmale 606 tatsächlich eine Viertelrastermaßmaske, die z.B. einen Rastermaßviertelungsprozess repräsentiert.
  • In der Operation (e) werden die zweiten Abstandshaltermerkmale 606 als eine Maske zum Strukturieren einer Vielzahl von Gräben 608 in einer dielektrischen Schicht oder einer Hartmaskenschicht verwendet. Die Gräben können abschließend mit einem leitfähigen Material gefüllt werden, um leitfähige Zwischenverbindungen in den Metallisierungsschichten eines integrierten Schaltkreises zu bilden. Die Gräben 608 mit der Beschriftung „B“ entsprechen Backbone-Merkmalen 602. Die Gräben 608 mit der Beschriftung „S“ entsprechen ersten Abstandshaltermerkmalen 604 oder 604'. Die Gräben 608 mit der Beschriftung „C“ entsprechen einem komplementären Gebiet 607 zwischen den Backbone-Merkmalen 602.
  • Da einzelne der Gräben 608 der 6 einen Strukturierungsursprung aufweisen, der einem der Backbone-Merkmale 602, ersten Abstandshaltermerkmalen 604 oder 604' oder einem komplementären Gebiet 607 der 6 entspricht, versteht es sich, dass Unterschiede bei der Breite und/oder dem Rastermaß dieser Merkmale als Artefakte eines Rastermaßviertelungsprozesses in abschließend gebildeten leitfähigen Zwischenverbindungen in den Metallisierungsschichten eines integrierten Schaltkreises erscheinen können. Als ein Beispiel veranschaulicht 7A eine Querschnittsansicht einer Metallisierungsschicht, die gemäß einer Ausführungsform der vorliegenden Offenbarung unter Verwendung eines Rastermaßviertelungsschemas gefertigt wurde.
  • Unter Bezugnahme auf 7A beinhaltet eine integrierte Schaltkreisstruktur 700 eine dielektrische Zwischenschicht (ILD-Schicht) 704 oberhalb eines Substrats 702. Mehrere leitfähige Zwischenverbindungsleitungen 706 befinden sich in der ILD-Schicht 704 und einzelne der Vielzahl von leitfähigen Zwischenverbindungsleitungen 706 sind durch Abschnitte der ILD-Schicht 704 voneinander beabstandet. Einzelne der Vielzahl von leitfähigen Zwischenverbindungsleitungen 706 beinhalten eine leitfähige Barriereschicht 708 und ein leitfähiges Füllmaterial 710.
  • Unter Bezugnahme sowohl auf 6 als auch auf 7A werden leitfähige Zwischenverbindungsleitungen 706B in Gräben mit einer Strukturierung gebildet, die von den Backbone-Merkmalen 602 stammt. Die leitfähigen Zwischenverbindungsleitungen 706S werden in Gräben mit einer Strukturierung gebildet, die von den ersten Abstandshaltermerkmalen 604 oder 604' stammt. Die leitfähigen Zwischenverbindungsleitungen 706C werden in Gräben mit einer Strukturierung gebildet, die von dem komplementären Gebiet 607 zwischen den Backbone-Merkmalen 602 stammt.
  • Wieder unter Bezugnahme auf 7A beinhalten bei einer Ausführungsform die Vielzahl von leitfähigen Zwischenverbindungsleitungen 706 eine erste Zwischenverbindungsleitung 706B, die eine Breite (W1) aufweist. Eine zweite Zwischenverbindungsleitung 706S befindet sich in unmittelbarer Nähe der ersten Zwischenverbindungsleitung 706B, wobei die zweite Zwischenverbindungsleitung 706S eine Breite (W2) aufweist, die verschieden von der Breite (W1) der ersten Zwischenverbindungsleitung 706B ist. Eine dritte Zwischenverbindungsleitung 706C befindet sich in unmittelbarer Nähe der zweiten Zwischenverbindungsleitung 706S, wobei die dritte Zwischenverbindungsleitung 706C eine Breite (W3) aufweist. Eine vierte Zwischenverbindungsleitung (zweite 706S) befindet sich in unmittelbarer Nähe der dritten Zwischenverbindungsleitung 706C, wobei die vierte Zwischenverbindungsleitung eine Breite (W2) aufweist, die gleich der Breite (W2) der zweiten Zwischenverbindungsleitung 706S ist. Eine fünfte Zwischenverbindungsleitung (zweite 706B) befindet sich in unmittelbarer Nähe der vierten Zwischenverbindungsleitung (zweite 706S), wobei die fünfte Zwischenverbindungsleitung (zweite 706B) eine Breite (W1) aufweist, die gleich der Breite (W1) der ersten Zwischenverbindungsleitung 706B ist.
  • Bei einer Ausführungsform ist die Breite (W3) der dritten Zwischenverbindungsleitung 706C verschieden von der Breite (W1) der ersten Zwischenverbindungsleitung 706B. Bei einer derartigen Ausführungsform ist die Breite (W3) der dritten Zwischenverbindungsleitung 706C verschieden von der Breite (W2) der zweiten Zwischenverbindungsleitung 706S. Bei einer anderen derartigen Ausführungsform ist die Breite (W3) der dritten Zwischenverbindungsleitung 706C gleich der Breite (W2) der zweiten Zwischenverbindungsleitung 706S. Bei einer anderen Ausführungsform ist die Breite (W3) der dritten Zwischenverbindungsleitung 706C gleich der Breite (W1) der ersten Zwischenverbindungsleitung 706B.
  • Bei einer Ausführungsform ist ein Rastermaß (P1) zwischen der ersten Zwischenverbindungsleitung 706B und der dritten Zwischenverbindungsleitung 706C gleich einem Rastermaß (P2) zwischen der zweiten Zwischenverbindungsleitung 706S und der vierten Zwischenverbindungsleitung (zweite 706S). Bei einer anderen Ausführungsform ist ein Rastermaß (P1) zwischen der ersten Zwischenverbindungsleitung 706B und der dritten Zwischenverbindungsleitung 706C verschieden von einem Rastermaß (P2) zwischen der zweiten Zwischenverbindungsleitung 706S und der vierten Zwischenverbindungsleitung (zweite 706S).
  • Wieder unter Bezugnahme auf 7A beinhaltet bei einer anderen Ausführungsform die Vielzahl von leitfähigen Zwischenverbindungsleitungen 706 eine erste Zwischenverbindungsleitung 706B, die eine Breite (W1) aufweist. Eine zweite Zwischenverbindungsleitung 706S befindet sich in unmittelbarer Nähe der ersten Zwischenverbindungsleitung 706B, wobei die zweite Zwischenverbindungsleitung 706S eine Breite (W2) aufweist. Eine dritte Zwischenverbindungsleitung 706C befindet sich in unmittelbarer Nähe der zweiten Zwischenverbindungsleitung 706S, wobei die dritte Zwischenverbindungsleitung 706S eine Breite (W3) aufweist, die verschieden von der Breite (W1) der ersten Zwischenverbindungsleitung 706B ist. Eine vierte Zwischenverbindungsleitung (zweite 706S) befindet sich in unmittelbarer Nähe der dritten Zwischenverbindungsleitung 706C, wobei die vierte Zwischenverbindungsleitung eine Breite (W2) aufweist, die gleich der Breite (W2) der zweiten Zwischenverbindungsleitung 706S ist. Eine fünfte Zwischenverbindungsleitung (zweite 706B) befindet sich in unmittelbarer Nähe der vierten Zwischenverbindungsleitung (zweite 706S), wobei die fünfte Zwischenverbindungsleitung (zweite 706B) eine Breite (W1) aufweist, die gleich der Breite (W1) der ersten Zwischenverbindungsleitung 706B ist.
  • Bei einer Ausführungsform ist die Breite (W2) der zweiten Zwischenverbindungsleitung 706S verschieden von der Breite (W1) der ersten Zwischenverbindungsleitung 706B. Bei einer derartigen Ausführungsform ist die Breite (W3) der dritten Zwischenverbindungsleitung 706C verschieden von der Breite (W2) der zweiten Zwischenverbindungsleitung 706S. Bei einer anderen derartigen Ausführungsform ist die Breite (W3) der dritten Zwischenverbindungsleitung 706C gleich der Breite (W2) der zweiten Zwischenverbindungsleitung 706S.
  • Bei einer Ausführungsform ist die Breite (W2) der zweiten Zwischenverbindungsleitung 706S gleich der Breite (W1) der ersten Zwischenverbindungsleitung 706B. Bei einer Ausführungsform ist ein Rastermaß (P1) zwischen der ersten Zwischenverbindungsleitung 706B und der dritten Zwischenverbindungsleitung 706C das gleiche wie ein Rastermaß (P2) zwischen der zweiten Zwischenverbindungsleitung 706S und der vierten Zwischenverbindungsleitung (zweiten 706S). Bei einer Ausführungsform ist ein Rastermaß (P1) zwischen der ersten Zwischenverbindungsleitung 706B und der dritten Zwischenverbindungsleitung 706C verschieden von einem Rastermaß (P2) zwischen der zweiten Zwischenverbindungsleitung 706S und der vierten Zwischenverbindungsleitung (zweite 706S).
  • 7B veranschaulicht eine Querschnittsansicht einer Metallisierungsschicht, die gemäß einer Ausführungsform der vorliegenden Offenbarung unter Verwendung eines Rastermaßhalbierungsschemas oberhalb einer Metallisierungsschicht gefertigt ist, die unter Verwendung eines Rastermaßviertelungsschemas gefertigt wird.
  • Unter Bezugnahme auf 7B beinhaltet eine integrierte Schaltkreisstruktur 750 eine erste dielektrische Zwischenschicht (ILD-Schicht) 754 oberhalb eines Substrats 752. Eine erste Vielzahl von leitfähigen Zwischenverbindungsleitungen 756 befindet sich in der ersten ILD-Schicht 754 und einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 756 sind durch Abschnitte der ersten ILD-Schicht 754 voneinander beabstandet. Einzelne der Vielzahl von leitfähigen Zwischenverbindungsleitungen 756 beinhalten eine leitfähige Barriereschicht 758 und ein leitfähiges Füllmaterial 760. Die integrierte Schaltkreisstruktur 750 beinhaltet ferner eine zweite dielektrische Zwischenschicht (ILD-Schicht) 774 oberhalb des Substrats 752. Eine zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 776 befindet sich in der zweiten ILD-Schicht 774 und einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 776 sind durch Abschnitte der zweiten ILD-Schicht 774 voneinander beabstandet. Einzelne der Vielzahl von leitfähigen Zwischenverbindungsleitungen 776 beinhalten eine leitfähige Barriereschicht 778 und ein leitfähiges Füllmaterial 780.
  • Wieder unter Bezugnahme auf 7B beinhaltet ein Verfahren zum Fertigen einer integrierten Schaltkreisstruktur gemäß einer Ausführungsform der vorliegenden Offenbarung ein Bilden, oberhalb eines Substrats 752, einer ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 756 in einer und durch diese beabstandete ersten dielektrischen Zwischenschicht (ILD-Schicht 754). Die erste Vielzahl von leitfähigen Zwischenverbindungsleitungen 756 wird unter Verwendung eines abstandshalterbasierten Rastermaßviertelungsprozesses, z.B. des in Zusammenhang mit den Operationen (a) bis (e) der 6 beschriebenen Ansatzes, gebildet. Oberhalb der ersten ILD-Schicht 754 wird eine zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 776 in einer zweiten ILD-Schicht 774 gebildet und durch diese beabstandet. Die zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 776 wird unter Verwendung eines abstandshalterbasierten Rastermaßhalbierungsprozesses, z.B. des in Zusammenhang mit den Operationen (a) und (b) der 6 beschriebenen Ansatzes gebildet.
  • Bei einer Ausführungsform weist die erste Vielzahl von leitfähigen Zwischenverbindungsleitungen 756 ein Rastermaß (P1) zwischen unmittelbar benachbarten Leitungen von mehr als 40 Nanometer auf. Die zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 776 weist ein Rastermaß (P2) zwischen unmittelbar benachbarten Leitungen von mehr als 44 Nanometer oder mehr auf. Bei einer Ausführungsform basieren der abstandshalterbasierte Rastermaßviertelungsprozess und der abstandshalterbasierte Rastermaßhalbierungsprozess auf einem 193-nm-Immersionslithografieprozess.
  • Bei einer Ausführungsform beinhalten einzelne der Vielzahl von leitfähigen Zwischenverbindungsleitungen 754 eine erste leitfähige Barriereauskleidung 758 und ein erstes leitfähiges Füllmaterial 760. Einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 756 beinhalten eine zweite leitfähige Barriereauskleidung 778 und ein zweites leitfähiges Füllmaterial 780. Bei einer derartigen Ausführungsform weist das erste leitfähige Füllmaterial 760 eine andere Zusammensetzung als das zweite leitfähige Füllmaterial 780 auf. Bei einer anderen Ausführungsform weist das erste leitfähige Füllmaterial 760 die gleiche Zusammensetzung wie das zweite leitfähige Füllmaterial 780 auf. Bei einer Ausführungsform sind die erste leitfähige Barriereauskleidung 758 und/oder die zweite leitfähige Barriereauskleidung 778 eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht).
  • Obwohl dies nicht dargestellt ist, beinhaltet das Verfahren bei einer Ausführungsform ferner ein Bilden, oberhalb der zweiten ILD-Schicht 774, einer dritten Vielzahl von leitfähigen Zwischenverbindungsleitungen in einer und durch diese beabstandete dritten ILD-Schicht. Die dritte Vielzahl von leitfähigen Zwischenverbindungsleitungen wird ohne Verwendung einer Rastermaßteilung gebildet.
  • Obwohl dies nicht dargestellt ist, beinhaltet das Verfahren bei einer Ausführungsform ferner vor dem Bilden der zweiten Vielzahl von leitfähigen Zwischenverbindungen 776 ein Bilden, oberhalb der ersten ILD-Schicht 754, einer dritten Vielzahl von leitfähigen Zwischenverbindungsleitungen in einer und durch diese beabstandete dritten ILD-Schicht. Die dritte Vielzahl von leitfähigen Zwischenverbindungsleitungen wird unter Verwendung eines abstandshalterbasierten Rastermaßviertelungsprozesses gebildet. Bei einer derartigen Ausführungsform wird anschließend an das Bilden der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 776, oberhalb der zweiten ILD-Schicht 774, eine vierte Vielzahl von leitfähigen Zwischenverbindungsleitungen in einer und durch diese beabstandete vierten ILD-Schicht gebildet. Die vierte Vielzahl von leitfähigen Zwischenverbindungsleitungen wird unter Verwendung eines abstandshalterbasierten Rastermaßhalbierungsprozesses gebildet. Bei einer Ausführungsform beinhaltet ein derartiges Verfahren ferner ein Bilden, oberhalb der vierten ILD-Schicht, einer fünften Vielzahl von leitfähiger Zwischenverbindungsleitungen in einer und durch diese beabstandete fünften ILD-Schicht, wobei die fünfte Vielzahl von leitfähigen Zwischenverbindungsleitungen unter Verwendung eines abstandshalterbasierten Rastermaßhalbierungsprozesses gebildet wird. Eine sechste Vielzahl von leitfähigen Zwischenverbindungsleitungen wird oberhalb der fünften ILD-Schicht in einer sechsten ILD-Schicht gebildet und wird durch diese beabstandet, wobei die sechste Vielzahl von leitfähigen Zwischenverbindungsleitungen unter Verwendung eines abstandshalterbasierten Rastermaßhalbierungsprozesses gebildet wird. Eine siebte Vielzahl von leitfähigen Zwischenverbindungsleitungen wird dann oberhalb der sechsten ILD-Schicht in einer und durch diese beabstandete siebten ILD-Schicht gebildet. Die siebte Vielzahl von leitfähigen Zwischenverbindungsleitungen wird ohne Verwendung einer Rastermaßteilung gebildet.
  • Bei einem anderen Aspekt variieren die Metallleitungszusammensetzungen zwischen den verschiedenen Metallisierungsschichten. Eine derartige Anordnung kann als heterogene Metallisierungsschichten bezeichnet werden. Bei einer Ausführungsform wird Kupfer als ein leitfähiges Füllmaterial für relativ größere Zwischenverbindungsleitungen verwendet, während Kobalt als ein leitfähiges Füllmaterial für relativ kleinere Zwischenverbindungsleitungen verwendet wird. Die kleineren Leitungen, die Kobalt als ein Füllmaterial aufweisen, können eine reduzierte Elektromigration bereitstellen, wobei ein niedriger spezifischer Widerstand beibehalten wird. Die Verwendung von Kobalt anstelle von Kupfer für kleinere Zwischenverbindungsleitungen kann Probleme bei dem Herabskalieren von Kupferleitungen hervorbringen, wobei eine leitfähige Barriereschicht eine größere Menge eines Zwischenverbindungsvolumens verbraucht und Kupfer reduziert wird, wodurch im Wesentlichen Vorteile verhindert werden, die normalerweise mit einer Kupferzwischenverbindungsleitung assoziiert werden.
  • Bei einem ersten Beispiel veranschaulicht 8A eine Querschnittsansicht einer integrierten Schaltkreisstruktur, die gemäß einer Ausführungsform der vorliegenden Offenbarung eine Metallisierungsschicht mit einer Metallleitungszusammensetzung oberhalb einer Metallisierungsschicht mit einer anderen Metallleitungszusammensetzung aufweist.
  • Unter Bezugnahme auf 8A beinhaltet eine integrierte Schaltkreisstruktur 800 oberhalb eines Substrats 802 eine erste Vielzahl von leitfähigen Zwischenverbindungsleitungen 806 in einer und durch diese beabstandete ersten dielektrischen Zwischenschicht (ILD-Schicht 804). Eine der leitfähigen Zwischenverbindungsleitungen 806A wird so gezeigt, dass sie eine darunterliegende Durchkontaktierung 807 aufweist. Einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 806 beinhalten ein erstes leitfähiges Barrierematerial 808 entlang der Seitenwände und einer Unterseite eines ersten leitfähigen Füllmaterials 810.
  • Eine zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 816 befindet sich oberhalb der ersten ILD-Schicht 804 in einer zweiten ILD-Schicht 814 und ist durch diese beabstandet. Eine der leitfähigen Zwischenverbindungsleitungen 816A wird so gezeigt, dass sie eine darunterliegende Durchkontaktierung 817 aufweist. Einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 816 beinhalten ein zweites leitfähiges Barrierematerial 818 entlang der Seitenwände und einer Unterseite eines zweiten leitfähigen Füllmaterials 820. Das zweite leitfähige Füllmaterial 820 weist eine andere Zusammensetzung als das erste leitfähige Füllmaterial 810 auf. Bei einer Ausführungsform ist das zweite leitfähige Barrierematerial 818 eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht). Bei einer Ausführungsform werden die Zwischenverbindungsleitung 816 A und die darunterliegende Durchkontaktierung 817 unter Verwendung eines Prozessablaufs für Teilgräben, hängenden Durchkontaktierungen und endgültige Gräben gebildet.
  • Bei einer Ausführungsform besteht das zweite leitfähige Füllmaterial 820 im Wesentlichen aus Kupfer und das erste leitfähige Füllmaterial 810 besteht im Wesentlichen aus Kobalt. Bei einer derartigen Ausführungsform weist das erste leitfähige Barrierematerial 808 eine andere Zusammensetzung als das zweite leitfähige Barrierematerial 818 auf. Bei einer anderen derartigen Ausführungsform weist das erste leitfähige Barrierematerial 808 die gleiche Zusammensetzung wie das zweite leitfähige Barrierematerial 818 auf.
  • Bei einer Ausführungsform beinhaltet das erste leitfähige Füllmaterial 810 Kupfer mit einer ersten Konzentration an Dotierstofffremdatomen und das zweite leitfähige Füllmaterial 820 beinhaltet Kupfer mit einer zweiten Konzentration des Dotierstofffremdatoms. Die zweite Konzentration des Dotierstofffremdatoms ist geringer als die erste Konzentration des Dotierstofffremdatoms. Bei einer derartigen Ausführungsform wird das Dotierstofffremdatom aus der Gruppe ausgewählt, die aus Aluminium (Al) und Mangan (Mn) besteht. Bei einer Ausführungsform weisen das erste leitfähige Barrierematerial 810 und das zweite leitfähige Barrierematerial 820 die gleiche Zusammensetzung auf. Bei einer Ausführungsform weisen das erste leitfähige Barrierematerial 810 und das zweite leitfähige Barrierematerial 820 eine unterschiedliche Zusammensetzung auf.
  • Wieder unter Bezugnahme auf 8A befindet sich die zweite ILD-Schicht 814 auf einer Ätzstoppschicht 822. Die leitfähige Durchkontaktierung 817 befindet sich in der zweiten ILD-Schicht 814 und in einer Öffnung der Ätzstoppschicht 822. Bei einer Ausführungsform beinhalten die erste und zweite ILD-Schicht 804 und 814 Silicium, Kohlenstoff und Sauerstoff und die Ätzstoppschicht 822 beinhaltet Silicium und Stickstoff. Bei einer Ausführungsform weisen einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 806 eine erste Breite (W1) auf und einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 816 weisen eine zweite Breite (W2) auf, die größer als die erste Breite (W1) ist.
  • Bei einem zweiten Beispiel veranschaulicht 8B gemäß einer Ausführungsform der vorliegenden Offenbarung eine Querschnittsansicht einer integrierten Schaltkreisstruktur mit einer Metallisierungsschicht mit einer Metallleitungszusammensetzung, die mit einer Metallisierungsschicht mit einer abweichenden Metallleitungszusammensetzung gekoppelt ist.
  • Unter Bezugnahme auf 8B beinhaltet eine integrierte Schaltkreisstruktur 850 oberhalb eines Substrats 852 eine erste Vielzahl von leitfähigen Zwischenverbindungsleitungen 856 in einer und durch diese beabstandete ersten dielektrischen Zwischenschicht (ILD-Schicht 854). Eine der leitfähigen Zwischenverbindungsleitungen 856A wird so gezeigt, dass sie eine darunterliegende Durchkontaktierung 857 aufweist. Einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 856 beinhalten ein erstes leitfähiges Barrierematerial 858 entlang der Seitenwände und einer Unterseite eines ersten leitfähigen Füllmaterials 860.
  • Eine zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 866 befindet sich oberhalb der ersten ILD-Schicht 854 in einer zweiten ILD-Schicht 864 und ist durch diese beabstandet. Eine der leitfähigen Zwischenverbindungsleitungen 866A wird so gezeigt, dass sie eine darunterliegende Durchkontaktierung 867 aufweist. Einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 866 beinhalten ein zweites leitfähiges Barrierematerial 868 entlang der Seitenwände und einer Unterseite eines zweiten leitfähigen Füllmaterials 870. Das zweite leitfähige Füllmaterial 870 weist eine andere Zusammensetzung als das erste leitfähige Füllmaterial 860 auf. Bei einer Ausführungsform ist das zweite leitfähige Barrierematerial 868 eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht). Bei einer Ausführungsform werden die Zwischenverbindungsleitung 866A und die darunterliegende Durchkontaktierung 867 unter Verwendung eines Prozessablaufs für Teilgräben, hängenden Durchkontaktierungen und endgültige Gräben gebildet.
  • Bei einer Ausführungsform befindet sich die leitfähige Durchkontaktierung 857 auf einer einzelnen 856B der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 856 und ist mit dieser elektrisch gekoppelt, wobei die einzelne 866A der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 866 mit der einzelnen 856B der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 856 gekoppelt wird. Wie dargestellt ist, sind bei einer Ausführungsform einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 856 entlang einer ersten Richtung 898 (z.B. in die Seite hinein und aus dieser heraus) und einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 866 entlang einer zweiten Richtung 899 orthogonal zur ersten Richtung 898 angeordnet. Wie dargestellt ist, beinhaltet die leitfähige Durchkontaktierung 867 bei einer Ausführungsform das zweite leitfähige Barrierematerial 868 entlang der Seitenwände und einer Unterseite des zweiten leitfähigen Füllmaterials 870.
  • Bei einer Ausführungsform befindet sich die zweite ILD-Schicht 864 auf einer Ätzstoppschicht 872 auf der ersten ILD-Schicht 854. Die leitfähige Durchkontaktierung 867 befindet sich in der zweiten ILD-Schicht 864 und in einer Öffnung der Ätzstoppschicht 872. Bei einer Ausführungsform beinhalten die erste und zweite ILD-Schicht 854 und 864 Silicium, Kohlenstoff und Sauerstoff und die Ätzstoppschicht 872 beinhaltet Silicium und Stickstoff. Bei einer Ausführungsform weisen einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 856 eine erste Breite auf und einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 866 weisen eine zweite Breite auf, die größer als die erste Breite ist.
  • Bei einer Ausführungsform besteht das zweite leitfähige Füllmaterial 870 im Wesentlichen aus Kupfer und das erste leitfähige Füllmaterial 860 besteht im Wesentlichen aus Kobalt. Bei einer derartigen Ausführungsform weist das erste leitfähige Barrierematerial 858 eine andere Zusammensetzung als das zweite leitfähige Barrierematerial 868 auf. Bei einer anderen derartigen Ausführungsform weist das erste leitfähige Barrierematerial 858 die gleiche Zusammensetzung wie das zweite leitfähige Barrierematerial 868 auf.
  • Bei einer Ausführungsform beinhaltet das erste leitfähige Füllmaterial 860 Kupfer mit einer ersten Konzentration an Dotierstofffremdatomen und das zweite leitfähige Füllmaterial 870 beinhaltet Kupfer mit einer zweiten Konzentration des Dotierstofffremdatoms. Die zweite Konzentration des Dotierstofffremdatoms ist geringer als die erste Konzentration des Dotierstofffremdatoms. Bei einer derartigen Ausführungsform wird das Dotierstofffremdatom aus der Gruppe ausgewählt, die aus Aluminium (Al) und Mangan (Mn) besteht. Bei einer Ausführungsform weisen das erste leitfähige Barrierematerial 860 und das zweite leitfähige Barrierematerial 870 die gleiche Zusammensetzung auf. Bei einer Ausführungsform weisen das erste leitfähige Barrierematerial 860 und das zweite leitfähige Barrierematerial 870 eine unterschiedliche Zusammensetzung auf.
  • Die 9A bis 9C veranschaulichen Querschnittsansichten einzelner Zwischenverbindungsleitungen, die gemäß einer Ausführungsform der vorliegenden Offenbarung unterschiedliche Anordnungen einer Barriereauskleidung und einer leitfähigen Deckstruktur aufweisen, die für die in Zusammenhang mit den 8A und 8B beschriebenen Strukturen geeignet sind. Bei einer Ausführungsform endet eine Durchkontaktierung einschließlich einer einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) auf einer Zwischenverbindung der 9 Abis 9 C.
  • Unter Bezugnahme auf 9A beinhaltet eine Zwischenverbindungsleitung 900 in einer dielektrischen Schicht 901 ein leitfähiges Barrierematerial 902 und ein leitfähiges Füllmaterial 904. Das leitfähige Barrierematerial 902 weist eine Außenschicht 906 distal von dem leitfähigen Füllmaterial 904 und eine Innenschicht 908 nahe dem leitfähigen Füllmaterial 904 auf. Bei einer Ausführungsform beinhaltet das leitfähige Füllmaterial 904 Kobalt, beinhaltet die Außenschicht 906 Titan und Stickstoff und die Innenschicht 908 beinhaltet Wolfram, Stickstoff und Kohlenstoff. Bei einer derartigen Ausführungsform weist die Außenschicht 906 eine Dicke von ungefähr 2 Nanometer auf und weist die Innenschicht 908 eine Dicke von ungefähr 0,5 Nanometer auf. Bei einer anderen Ausführungsform beinhaltet das leitfähige Füllmaterial 904 Kobalt, die Außenschicht 906 beinhaltet Tantal und die Innenschicht 908 beinhaltet Ruthenium. Bei einer derartigen Ausführungsform beinhaltet die Außenschicht 906 ferner Stickstoff.
  • Unter Bezugnahme auf 9B beinhaltet eine Zwischenverbindungsleitung 920 in einer dielektrischen Schicht 921 ein leitfähiges Barrierematerial 922 und ein leitfähiges Füllmaterial 924. Auf einer Oberseite des leitfähigen Füllmaterials 924 befindet sich eine leitfähige Deckschicht 930. Wie dargestellt ist, befindet sich die leitfähige Deckschicht 930 bei einer derartigen Ausführungsform ferner auf einer Oberseite des leitfähigen Barrierematerials 922. Bei einer anderen Ausführungsform befindet sich die leitfähige Deckschicht 930 nicht auf einer Oberseite des leitfähigen Barrierematerials 922. Bei einer Ausführungsform besteht die leitfähige Deckschicht 930 im Wesentlichen aus Kobalt und das leitfähige Füllmaterial 924 besteht im Wesentlichen aus Kupfer.
  • Unter Bezugnahme auf 9C beinhaltet eine Zwischenverbindungsleitung 940 in einer dielektrischen Schicht 941 ein leitfähiges Barrierematerial 942 und ein leitfähiges Füllmaterial 944. Das leitfähige Barrierematerial 942 weist eine Außenschicht 946 distal von dem leitfähigen Füllmaterial 944 und eine Innenschicht 948 nahe dem leitfähigen Füllmaterial 944 auf. Auf einer Oberseite des leitfähigen Füllmaterials 944 befindet sich eine leitfähige Deckschicht 950. Bei einer Ausführungsform ist die leitfähige Deckschicht 950 nur eine Oberseite des leitfähigen Füllmaterials 944. Bei einer anderen Ausführungsform befindet sich die leitfähige Deckschicht 950 jedoch ferner auf einer Oberseite der Innenschicht 948 des leitfähigen Barrierematerials 942, d.h. an der Stelle 952. Bei einer derartigen Ausführungsform befindet sich die leitfähige Deckschicht 950 ferner auf einer Oberseite der Außenschicht 946 des leitfähigen Barrierematerials 942, d.h. an der Stelle 954.
  • Unter Bezugnahme auf 9B und 9C beinhaltet ein Verfahren zum Fertigen einer integrierten Schaltkreisstruktur bei einer Ausführungsform ein Bilden einer dielektrischen Zwischenschicht (ILD-Schicht) 921 oder 941 oberhalb eines Substrats. Eine Vielzahl von leitfähigen Zwischenverbindungsleitungen 920 oder 940 werden in Gräben in der und durch dies beabstandet ILD-Schicht gebildet, wobei sich einzelne der Vielzahl von leitfähigen Zwischenverbindungsleitungen 920 oder 940 in entsprechenden der Gräben befinden. Die Vielzahl von leitfähigen Zwischenverbindungsleitungen werden gebildet, indem zuerst ein leitfähiges Barrierematerial 922 oder 942 auf Unterseiten und Seitenwänden der Gräben gebildet wird und dann ein leitfähiges Füllmaterial 924 oder 944 auf dem leitfähigen Barrierematerial 922 oder 942 gebildet wird, und indem die Gräben gefüllt werden, wobei sich das leitfähige Barrierematerial 922 oder 942 entlang einer Unterseite und entlang der Seitenwände des leitfähigen Füllmaterials 924 bzw. 944 befindet. Die Oberseite des leitfähigen Füllmaterials 924 oder 944 wird dann mit einem Gas behandelt, das Sauerstoff und Kohlenstoff beinhaltet. Anschließend an das Behandeln der Oberseite des leitfähigen Füllmaterials 924 oder 944 mit dem Gas, das Sauerstoff und Kohlenstoff beinhaltet, wird eine leitfähige Deckschicht 930 oder 950 auf der Oberseite des leitfähigen Füllmaterials 924 bzw. 944 gebildet.
  • Bei einer Ausführungsform beinhaltet das Behandeln der Oberseite des leitfähigen Füllmaterials 924 oder 944 mit dem Gas, das Sauerstoff und Kohlenstoff beinhaltet, ein Behandeln der Oberseite des leitfähigen Füllmaterials 924 oder 944 mit Kohlenstoffmonoxid (CO). Bei einer Ausführungsform beinhaltet das leitfähige Füllmaterial 924 oder 944 Kupfer und das Bilden der leitfähigen Deckschicht 930 oder 950 auf der Oberseite des leitfähigen Füllmaterials 924 oder 944 beinhaltet ein Bilden einer Schicht, die Kobalt beinhaltet, unter Verwendung einer chemischen Gasphasenabscheidung (CVD). Bei einer Ausführungsform wird die leitfähige Deckschicht 930 oder 950 auf der Oberseite des leitfähigen Füllmaterials 924 oder 944, aber nicht auf einer Oberseite des leitfähigen Barrierematerials 922 oder 942 gebildet.
  • Bei einer derartigen Ausführungsform beinhaltet das Bilden des leitfähigen Barrierematerials 922 oder 942 ein Bilden einer ersten leitfähigen Schicht auf den Unterseiten und den Seitenwänden der Gräben, wobei die erste leitfähige Schicht Tantal beinhaltet. Ein erster Abschnitt der ersten leitfähigen Schicht wird zuerst unter Verwendung einer Atomlagenabscheidung (ALD) gebildet und danach wird ein zweiter Abschnitt der ersten leitfähigen Schicht unter Verwendung einer physikalischer Gasphasenabscheidung (PVD) gebildet. Bei einer derartigen Ausführungsform beinhaltet das Bilden des leitfähigen Barrierematerials ferner ein Bilden einer zweiten leitfähigen Schicht auf der ersten leitfähigen Schicht auf den Unterseiten und den Seitenwänden der Gräben, wobei die zweite leitfähige Schicht Ruthenium beinhaltet und wobei das leitfähige Füllmaterial Kupfer beinhaltet. Bei einer Ausführungsform beinhaltet die erste leitfähige Schicht ferner Stickstoff.
  • 10 veranschaulicht eine Querschnittsansicht einer integrierten Schaltkreisstruktur, die gemäß einer Ausführungsform der vorliegenden Offenbarung vier Metallisierungsschichten mit einer Metallleitungszusammensetzung und einem Rastermaß oberhalb von zwei Metallisierungsschichten mit einer unterschiedlichen Metallleitungszusammensetzung und einem kleineren Rastermaß aufweist.
  • Unter Bezugnahme auf 10 beinhaltet eine integrierte Schaltkreisstruktur 1000, oberhalb eines Substrats 1001, eine erste Vielzahl von leitfähigen Zwischenverbindungsleitungen 1004 in einer und durch diese beabstandete ersten dielektrische Zwischenschicht (ILD-Schicht) 1002. Einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1004 beinhalten ein erstes leitfähiges Barrierematerial 1006 entlang der Seitenwände und einer Unterseite eines ersten leitfähigen Füllmaterials 1008. Einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1004 sind entlang einer ersten Richtung 1098 (z.B. in die Seite hinein und aus dieser heraus) angeordnet.
  • Eine zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 1014 befindet sich oberhalb der ersten ILD-Schicht 1002 in einer zweiten ILD-Schicht 1012 und ist durch diese beabstandet. Einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1014 beinhalten das erste leitfähige Barrierematerial 1006 entlang der Seitenwände und einer Unterseite des ersten leitfähigen Füllmaterials 1008. Einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1014 sind entlang einer zweiten Richtung 1099 orthogonal zu der ersten Richtung 1098 angeordnet.
  • Eine dritte Vielzahl von leitfähigen Zwischenverbindungsleitungen 1024 befindet sich oberhalb der zweiten ILD-Schicht 1012 in einer dritten ILD-Schicht 1022 und ist durch diese beabstandet. Einzelne der dritten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1024 beinhalten ein zweites leitfähiges Barrierematerial 1026 entlang der Seitenwände und einer Unterseite eines zweiten leitfähigen Füllmaterials 1028. Das zweite leitfähige Füllmaterial 1028 weist eine andere Zusammensetzung als das erste leitfähige Füllmaterial 1008 auf. Einzelne der dritten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1024 sind entlang der ersten Richtung 1098 angeordnet. Bei einer Ausführungsform ist das zweite leitfähige Barrierematerial 1026 eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht).
  • Eine vierte Vielzahl von leitfähigen Zwischenverbindungsleitungen 1034 befindet sich oberhalb der dritten ILD-Schicht 1022 in einer vierten ILD-Schicht 1032 und ist durch diese beabstandet. Einzelne der vierten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1034 beinhalten das zweite leitfähige Barrierematerial 1026 entlang der Seitenwände und einer Unterseite des zweiten leitfähigen Füllmaterials 1028. Einzelne der vierten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1034 sind entlang der zweiten Richtung 1099 angeordnet.
  • Eine fünfte Vielzahl von leitfähigen Zwischenverbindungsleitungen 1044 befindet sich oberhalb der vierten ILD-Schicht 1032 in einer fünften ILD-Schicht 1042 und ist durch diese beabstandet. Einzelne der fünften Vielzahl von leitfähigen Zwischenverbindungsleitungen 1044 beinhalten das zweite leitfähige Barrierematerial 1026 entlang der Seitenwände und einer Unterseite des zweiten leitfähigen Füllmaterials 1028. Einzelne der fünften Vielzahl von leitfähigen Zwischenverbindungsleitungen 1044 sind entlang der ersten Richtung 1098 angeordnet.
  • Eine sechste Vielzahl von leitfähige Zwischenverbindungsleitungen 1054 befindet sich oberhalb der fünften ILD-Schicht 5832 in einer sechsten ILD-Schicht 1052 und ist durch diese beabstandet. Einzelne der sechsten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1054 beinhalten das zweite leitfähige Barrierematerial 1026 entlang der Seitenwände und einer Unterseite des zweiten leitfähigen Füllmaterials 1028. Einzelne der sechsten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1054 sind entlang der zweiten Richtung 1099 angeordnet.
  • Bei einer Ausführungsform besteht das zweite leitfähige Füllmaterial 1028 im Wesentlichen aus Kupfer und das erste leitfähige Füllmaterial 1008 besteht im Wesentlichen aus Kobalt. Bei einer Ausführungsform beinhaltet das erste leitfähige Füllmaterial 1008 Kupfer, das eine erste Konzentration an Dotierstofffremdatomen aufweist, und das zweite leitfähige Füllmaterial 1028 beinhaltet Kupfer, das eine zweite Konzentration des Dotierstofffremdatoms aufweist, wobei die zweite Konzentration des Dotierstofffremdatoms geringer als die erste Konzentration des Dotierstofffremdatoms ist.
  • Bei einer Ausführungsform weist das erste leitfähige Barrierematerial 1006 eine andere Zusammensetzung als das zweite leitfähige Barrierematerial 1026 auf. Bei einer anderen Ausführungsform weisen das erste leitfähige Barrierematerial 1006 und das zweite leitfähige Barrierematerial 1026 die gleiche Zusammensetzung auf.
  • Bei einer Ausführungsform befindet sich eine erste leitfähige Durchkontaktierung 1019 auf einer einzelnen 1004A der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1004 und ist mit dieser elektrisch gekoppelt. Eine einzelne 1014A der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1014 befindet sich auf der ersten leitfähigen Durchkontaktierung 1019 und ist mit dieser elektrisch gekoppelt.
  • Eine zweite leitfähige Durchkontaktierung 1029 befindet sich auf einer einzelnen 1014B der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1014 und ist mit dieser elektrisch gekoppelt. Eine einzelne 1024A der dritten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1024 befindet sich auf der zweiten leitfähigen Durchkontaktierung 1029 und ist mit dieser elektrisch gekoppelt.
  • Eine dritte leitfähige Durchkontaktierung 1039 befindet sich auf einer einzelnen 1024B der dritten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1024 und ist mit dieser elektrisch gekoppelt. Eine einzelne 1034A der vierten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1034 befindet sich auf der dritten leitfähigen Durchkontaktierung 1039 und ist mit dieser elektrisch gekoppelt.
  • Eine vierte leitfähige Durchkontaktierung 1049 befindet sich auf einer einzelnen 1034B der vierten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1034 und ist mit dieser elektrisch gekoppelt. Eine einzelne 1044A der fünften Vielzahl von leitfähigen Zwischenverbindungsleitungen 1044 befindet sich auf der vierten leitfähigen Durchkontaktierung 1049 und ist mit dieser elektrisch gekoppelt.
  • Eine fünfte leitfähige Durchkontaktierung 1059 befindet sich auf einer einzelnen 1044B der fünften Vielzahl von leitfähigen Zwischenverbindungsleitungen 1044 und ist mit dieser elektrisch gekoppelt. Eine einzelne 1054A der sechsten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1054 befindet sich auf der fünften leitfähigen Durchkontaktierung 1059 und ist mit dieser elektrisch gekoppelt.
  • Bei einer Ausführungsform beinhaltet die erste leitfähige Durchkontaktierung 1019 das erste leitfähige Barrierematerial 1006 entlang der Seitenwände und einer Unterseite des ersten leitfähigen Füllmaterials 1008. Die zweite 1029, dritte 1039, vierte 1049 und fünfte 1059 leitfähige Durchkontaktierung beinhalten das zweite leitfähige Barrierematerial 1026 entlang der Seitenwände und einer Unterseite des zweiten leitfähigen Füllmaterials 1028.
  • Bei einer Ausführungsform sind die erste 1002, zweite 1012, dritte 1022, vierte 1032, fünfte 1042 und sechste 1052 ILD-Schicht durch eine entsprechende Ätzstoppschicht 1090 zwischen benachbarten ILD-Schichten voneinander getrennt. Bei einer Ausführungsform beinhalten die erste 1002, zweite 1012, dritte 1022, vierte 1032, fünfte 1042 und sechste 1052 ILD-Schicht Silicium, Kohlenstoff und Sauerstoff
  • Bei einer Ausführungsform weisen einzelne der ersten 1004 und der zweiten 1014 Vielzahl von leitfähigen Zwischenverbindungsleitungen eine erste Breite (W1) auf. Einzelne der dritten 1024, vierten 1034, fünften 1044 und sechsten 1054 Vielzahl von leitfähigen Zwischenverbindungsleitungen weisen eine zweite Breite (W2) auf, die größer als die erste Breite (W1) ist.
  • Bei einem anderen Aspekt werden Techniken zum Strukturieren von Metallleitungsenden beschrieben. Zum besseren Verständnis können in den fortschrittlichen Knoten der Halbleiterherstellung Zwischenverbindungen einer niedrigeren Ebene durch separate Strukturierungsprozesse des Leitungsgitters, der Leitungsenden und der Durchkontaktierungen erzeugt werden. Jedoch kann die Genauigkeit der zusammengesetzten Struktur dazu neigen, sich zu verschlechtern, wenn die Durchkontaktierungen in die Leitungsenden eingreifen und umgekehrt. Hier beschriebene Ausführungsformen stellen einen Prozess für Leitungsenden bereit, der auch als ein Stopfenprozess bekannt ist und, der zugehörige Abstandsregeln eliminiert. Einige Ausführungsformen können erlauben, dass eine Durchkontaktierung an dem Leitungsende platziert wird und dass eine große Durchkontaktierung ein Leitungsende überbrückt.
  • Zum weiteren besseren Verständnis veranschaulicht 11A eine Draufsicht und eine entsprechende Querschnittsansicht entlang der a-a'-Achse der Draufsicht auf eine Metallisierungsschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. 11B veranschaulicht eine Querschnittsansicht eines Leitungsendes oder eines Stopfens gemäß einer Ausführungsform der vorliegenden Offenbarung. 11C veranschaulicht eine andere Querschnittsansicht eines Leitungsendes oder eines Stopfens gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Unter Bezugnahme auf 11A beinhaltet eine Metallisierungsschicht 1100 Metallleitungen 1102, die in einer dielektrischen Schicht 1104 gebildet sind. Die Metallleitungen 1102 können mit darunterliegenden Durchkontaktierungen 1103 gekoppelt werden. Die dielektrische Schicht 1104 kann Leitungsende- oder Stopfengebiete 1105 beinhalten. Unter Bezugnahme auf 11B kann ein Leitungsende- oder Stopfengebiet 1105 einer dielektrischen Schicht 1104 durch ein Strukturieren einer Hartmaskenschicht 1110 auf der dielektrischen Schicht 1104 und ein daran anschließendes Ätzen freiliegender Abschnitte der dielektrischen Schicht 1104 gefertigt werden. Die freiliegenden Abschnitte der dielektrischen Schicht 1104 können bis zu einer Tiefe geätzt werden, die zum Bilden eines Leitungsgrabens 1106 geeignet ist, oder weiter bis zu einer Tiefe geätzt werden, die zum Bilden eines Durchkontaktierungsgrabens 1108 geeignet ist. Unter Bezugnahme auf 11C können zwei Durchkontaktierungen in der Nähe von gegenüberliegenden Seitenwänden des Leitungsendes oder des Stopfens 1105 in einer einzigen großen Belichtung 1116 gefertigt werden, um abschließend die Leitungsgräben 1112 und Durchkontaktierungsgräben 1114 zu bilden.
  • Unter Bezugnahme auf 11A bis 11C können jedoch wieder Genauigkeitsprobleme und/oder Hartmaskenerosionsprobleme zu nichtperfekten Strukturierungsregimen führen. Im Gegensatz dazu beinhalten eine oder mehrere hier beschriebene Ausführungsformen eine Implementierung eines Prozessprozessablaufs, der die Konstruktion eines Leitungsendedielektrikums (Stopfens) nach einem Strukturierungsprozess für Gräben und Durchkontaktierungen einbindet.
  • Bei einem Aspekt betreffen dann eine oder mehrere hier beschriebene Ausführungsformen Ansätze zum Bilden von nichtleitfähigen Räumen oder Unterbrechungen zwischen Metallleitungen (auf die auch als „Leitungsenden“, „Stopfen“ oder „Schnitte“ Bezug genommen wird) und bei einigen Ausführungsformen zwischen zugehörigen leitfähigen Durchkontaktierungen. Leitfähige Durchkontaktierungen werden per Definition verwendet, um auf einer vorherigen Schichtmetallstrukturierung zu enden. In diesem Sinne ermöglichen die hier beschriebene Ausführungsformen ein robusteres Fertigungsschema für die Verbindungselemente, da es nur in einem geringeren Ausmaß von einer Ausrichtung durch eine Lithografieausrüstung abhängig ist. Ein derartiges Fertigungsschema für Zwischenverbindungen kann verwendet werden, um Einschränkungen bezüglich Ausrichtung/Belichtungen zu lockern; es kann verwendet werden, um einen elektrischen Kontakt zu verbessern (z.B. durch Reduzieren eines Durchkontaktierungswiderstands); und es kann verwendet werden, um die gesamten Verarbeitungsoperationen und die Verarbeitungszeit zu reduzieren, die ansonsten zum Strukturieren dieser Merkmale bei der Verwendung herkömmlicher Ansätze benötigt werden.
  • Die 12A bis 12F veranschaulichen Draufsichten und entsprechende Querschnittsansichten von verschiedenen Operationen in einem letzten Verarbeitungsschema für einen Stopfen gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Unter Bezugnahme auf 12A beinhaltet ein Verfahren zum Fertigen einer integrierten Schaltkreisstruktur ein Bilden eines Leitungsgrabens 1206 in einem oberen Abschnitt 1204 einer dielektrischen Zwischenmaterialschicht (ILD-Materialschicht) 1202, die oberhalb einer darunterliegenden Metallisierungsschicht 1200 gebildet wird. Ein Durchkontaktierungsgraben 1208 wird in einem unteren Abschnitt 1210 der ILD-Materialschicht 1202 gebildet. Der Durchkontaktierungsgraben 1208 legt eine Metallleitung 1212 der darunterliegenden Metallisierungsschicht 1200 frei.
  • Unter Bezugnahme auf 12B wird ein Opfermaterial 1214 oberhalb der ILD-Materialschicht 1202 und in dem Leitungsgraben 1206 und dem Durchkontaktierungsgraben 1208 gebildet. Das Opfermaterial 1214 kann eine darauf gebildete Hartmaske 1215 aufweisen, wie in 12B dargestellt ist. Bei einer Ausführungsform beinhaltet das Opfermaterial 1214 Kohlenstoff.
  • Unter Bezugnahme auf 12C wird das Opfermaterial 1214 strukturiert, um eine durchgehende Fläche des Opfermaterials 1214 in dem Leitungsgraben 1206 zu unterbrechen, um z.B. eine Öffnung 1216 in dem Opfermaterial 1214 bereitzustellen.
  • Unter Bezugnahme auf 12D wird die Öffnung 1216 in dem Opfermaterial 1214 mit einem dielektrischen Material gefüllt, um einen dielektrischen Stopfen 1218 zu bilden. Nach dem Füllen der Öffnung 1216 in dem Opfermaterial 1214 mit dielektrischem Material wird die Hartmaske 1215 bei einer Ausführungsform entfernt, um den dielektrischen Stopfen 1218 bereitzustellen, der, wie in 12D dargestellt ist, eine obere Oberfläche 1220 oberhalb einer oberen Oberfläche 1222 des ILD-Materials 1202 aufweist. Das Opfermaterial 1214 wird entfernt, um den dielektrischen Stopfen 1218 übrig zu lassen.
  • Bei einer Ausführungsform beinhaltet das Füllen der Öffnung 1216 des Opfermaterials 1214 mit dem dielektrischen Material ein Füllen mit einem Metalloxidmaterial. Bei einer derartigen Ausführungsform ist das Metalloxidmaterial Aluminiumoxid. Bei einer Ausführungsform beinhaltet das Füllen der Öffnung 1214 des Opfermaterials 1216 mit dem dielektrischen Material ein Füllen, indem eine Atomlagenabscheidung (ALD) verwendet wird.
  • Unter Bezugnahme auf 12E werden der Leitungsgraben 1206 und der Durchkontaktierungsgraben 1208 mit einem leitfähigen Material 1224 gefüllt. Wie dargestellt ist, wird das leitfähige Material 1224 bei einer Ausführungsform oberhalb und über dem dielektrischen Stopfen 1218 und der ILD-Schicht 1202 gebildet.
  • Unter Bezugnahme auf 12F werden das leitfähige Material 1224 und der dielektrische Stopfen 1218 planarisiert, um einen planarisierten dielektrischen Stopfen 1218' bereitzustellen, der eine durchgehende Fläche des leitfähigen Materials 1224 in dem Leitungsgraben 1206 unterbricht.
  • Wieder unter Bezugnahme auf 12F beinhaltet eine integrierte Schaltkreisstruktur 1250 gemäß einer Ausführungsform der vorliegenden Offenbarung eine dielektrische Zwischenschicht (ILD-Schicht) 1202 oberhalb eines Substrats. Eine leitfähige Zwischenverbindungsleitung 1224 befindet sich in einem Graben 1206 in der ILD-Schicht 1202. Die leitfähige Zwischenverbindungsleitung 1224 weist einen ersten Abschnitt 1224A und einen zweiten Abschnitt 1224B auf, wobei sich der erste Abschnitt 1224A seitlich in der Nähe des zweiten Abschnitts 1224B befindet. Ein dielektrischer Stopfen 1218' befindet sich zwischen dem ersten 1224A und dem zweiten 1224B Abschnitt der leitfähigen Zwischenverbindungsleitung 1224 und liegt seitlich benachbart zu diesen. Obwohl dies nicht dargestellt ist, beinhaltet die leitfähige Zwischenverbindungsleitung 1224 bei einer Ausführungsform eine leitfähige Barriereauskleidung und ein leitfähiges Füllmaterial, wobei beispielhafte Materialien dafür oben beschrieben sind. Bei einer derartigen Ausführungsform beinhaltet das leitfähige Füllmaterial Kobalt.
  • Bei einer Ausführungsform beinhaltet der dielektrische Stopfen 1218' ein Metalloxidmaterial. Bei einer derartigen Ausführungsform ist das Metalloxidmaterial Aluminiumoxid. Bei einer Ausführungsform befindet sich der dielektrische Stopfen 1218' in einem direkten Kontakt mit dem ersten 1224A und zweiten 1224B Abschnitt der leitfähigen Zwischenverbindungsleitung 1224.
  • Bei einer Ausführungsform weist der dielektrische Stopfen 1218' eine Unterseite 1218A auf, die im Wesentlichen komplanar mit einer Unterseite 1224C der leitfähigen Zwischenverbindungsleitung 1224 ist. Bei einer Ausführungsform befindet sich eine erste leitfähige Durchkontaktierung 1226 in einem Graben 1208 in der ILD-Schicht 1202. Bei einer derartigen Ausführungsform befindet sich die erste leitfähige Durchkontaktierung 1226 unterhalb der Unterseite 1224C der Zwischenverbindungsleitung 1224 und die erste leitfähige Durchkontaktierung 1226 ist mit dem ersten Abschnitt 1224A der leitfähigen Zwischenverbindungsleitung 1224 elektrisch gekoppelt.
  • Bei einer Ausführungsform befindet sich eine zweite leitfähige Durchkontaktierung 1228 in einem dritten Graben 1230 in der ILD-Schicht 1202. Die zweite leitfähige Durchkontaktierung 1228 befindet sich unterhalb der Unterseite 1224C der Zwischenverbindungsleitung 1224 und die zweite leitfähige Durchkontaktierung 1228 ist mit dem zweiten Abschnitt 1224B der leitfähigen Zwischenverbindungsleitung 1224 elektrisch gekoppelt.
  • Ein dielektrischer Stopfen kann unter Verwendung eines Füllprozesses, wie etwa eines chemischen Gasphasenabscheidungsprozesses, gefüllt werden. In dem gefertigten dielektrischen Stopfen können Artefakte zurückbleiben. Als ein Beispiel veranschaulicht 13A eine Querschnittsansicht eines leitfähigen Leitungsstopfens, der gemäß einer Ausführungsform der vorliegenden Offenbarung im Innern eine Naht aufweist.
  • Unter Bezugnahme auf 13A weist ein dielektrischer Stopfen 1318 eine ungefähr vertikale Naht 1300 auf, die ungefähr gleichweit von dem ersten Abschnitt 1224A der leitfähigen Zwischenverbindungsleitung 1224 und von dem zweiten Abschnitt 1224B der leitfähigen Zwischenverbindungsleitung 1224 beabstandet ist.
  • Es versteht sich, dass dielektrische Stopfen, deren Zusammensetzung sich von einem ILD-Material unterscheiden, in dem sie untergebracht sind, nur in ausgewählten Metallisierungsschichten, wie etwa in unteren Metallisierungsschichten, enthalten sein können. Als ein Beispiel veranschaulicht 13B eine Querschnittsansicht eines Stapels von Metallisierungsschichten, die gemäß einer Ausführungsform der vorliegenden Offenbarung einen leitfähigen Leitungsstopfen an einem unteren Metallleitungsort beinhalten.
  • Unter Bezugnahme auf 13B beinhaltet eine integrierte Schaltkreisstruktur 1350, oberhalb eines Substrats 1352, eine erste Vielzahl von leitfähigen Zwischenverbindungsleitungen 1356 in einer und durch diese beabstandete ersten dielektrischen Zwischenschicht (ILD-Schicht 1354). Einzelne der ersten mehreren leitfähigen Zwischenverbindungsleitungen 1356 weisen eine durch einen oder mehrere dielektrische Stopfen 1358 unterbrochene Kontinuität auf. Bei einer Ausführungsform beinhalten der eine oder die mehreren dielektrischen Stopfen 1358 ein anderes Material verschieden von der ILD-Schicht 1352. Eine zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 1366 befindet sich oberhalb der ersten ILD-Schicht 1354 in einer zweiten ILD-Schicht 1364 und ist durch diese beabstandet. Bei einer Ausführungsform weisen einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1366 eine durchgehende Fläche auf, die durch einen oder mehrere Abschnitte 1368 der zweiten ILD-Schicht 1364 unterbrochen ist. Wie dargestellt wird, versteht es sich, dass andere Metallisierungsschichten in der integrierten Schaltkreisstruktur 1350 enthalten sein können.
  • Bei einer Ausführungsform beinhalten der eine oder die mehreren dielektrischen Stopfen 1358 ein Metalloxidmaterial. Bei einer derartigen Ausführungsform ist das Metalloxidmaterial Aluminiumoxid. Bei einer Ausführungsform beinhalten die erste ILD-Schicht 1354 und die zweite ILD-Schicht 1364 (und daher der eine oder die mehreren Abschnitte 1368 der zweiten ILD-Schicht 1364) ein mit Kohlenstoff dotiertes Siliciumoxidmaterial.
  • Bei einer Ausführungsform beinhalten einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1356 eine erste leitfähige Barriereauskleidung 1356A und ein erstes leitfähiges Füllmaterial 1356B. Einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1366 beinhalten eine zweite leitfähige Barriereauskleidung 1366A und ein zweites leitfähiges Füllmaterial 1366B. Bei einer derartigen Ausführungsform weist das erste leitfähige Füllmaterial 760 eine andere Zusammensetzung als das zweite leitfähige Füllmaterial 780 auf. Bei einer bestimmten derartigen Ausführungsform beinhaltet das erste leitfähige Füllmaterial 1356B Kobalt und das zweite leitfähige Füllmaterial 1366B beinhaltet Kupfer.
  • Bei einer Ausführungsform weist die erste Vielzahl von leitfähigen Zwischenverbindungsleitungen 1356 ein erstes Rastermaß (P1, wie in der Vergleichsschicht 1370 gezeigt wird) auf. Die zweite Vielzahl von leitfähigen Zwischenverbindungsleitungen 1366 weist ein zweites Rastermaß (P2, wie in der Vergleichsschicht 1380 gezeigt wird) auf. Das zweite Rastermaß (P2) ist größer als das erste Rastermaß (P1). Bei einer Ausführungsform weisen einzelne der ersten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1356 eine erste Breite (W1, wie in der Vergleichsschicht 1370 gezeigt wird) auf. Einzelne der zweiten Vielzahl von leitfähigen Zwischenverbindungsleitungen 1366 weisen eine zweite Breite (W2, wie in der Vergleichsschicht 1380 gezeigt wird) auf. Die zweite Breite (W2) ist größer als die erste Breite (W1).
  • Es versteht sich, dass die oben im Zusammenhang mit den Back-End-Of-Line-Strukturen (BEOL-Strukturen) und mit der BEOL-Verarbeitung beschriebenen Schichten und Materialien, auf oder über einem darunter liegenden Halbleitersubstrat oder einer darunterliegenden Halbleiterstruktur, wie beispielsweise (einer) darunterliegenden Vorrichtungsschicht(en) eines integrierten Schaltkreises, gebildet werden können. Bei einer Ausführungsform repräsentiert ein darunterliegendes Halbleitersubstrat ein allgemeines Arbeitsobjekt, das zum Herstellen integrierter Schaltungen verwendet wird. Das Halbleitersubstrat beinhaltet häufig einen Wafer oder ein anderes Bauelement aus Silicium oder einem anderen Halbleitermaterial. Geeignete Halbleitersubstrate beinhalten, ohne auf diese beschränkt zu sein, einkristallines Silicium, polykristallines Silicium und Silicium-auf-Isolator (SOI: Silicon On Insulator) sowie ähnliche Substrate, die aus anderen Halbleitermaterialien gebildet werden, wie etwa Substrate, die Germanium, Kohlenstoff oder Gruppe-III-V-Materialien beinhalten. Das Halbleitersubstrat beinhaltet in Abhängigkeit von der Herstellungsphase häufig Transistoren, integrierte Schaltkreisanordnungen und dergleichen. Das Substrat kann außerdem Halbleitermaterialien, Metalle, Dielektrika, Dotierstoffe und andere Materialien beinhalten, die üblicherweise in Halbleitersubstraten vorzufinden sind. Des Weiteren können die dargestellten Strukturen auf darunterliegenden Zwischenverbindungsschichten unterer Ebenen gefertigt werden.
  • Obwohl die vorhergehenden Verfahren zum Fertigen einer Metallisierungsschicht oder von Abschnitten einer Metallisierungsschicht, wie einer BEOL-Metallisierungsschicht ausführlich mit Bezug auf Auswahloperationen beschrieben werden, versteht es sich, dass zusätzliche oder Zwischenoperationen der Fertigung standardmäßige mikroelektronische Fertigungsprozesse, wie etwa eine Lithografie, ein Ätzen, eine Dünnfilmabscheidung, eine Planarisierung (wie etwa ein chemisch-mechanisches Polieren (CMP)), eine Diffusion, eine Metrologie, das Verwenden von Opferschichten, das Verwenden von Ätzstoppschichten, das Verwenden von Planarisierungsstoppschichten oder eine beliebige andere Aktion umfasst, die mit der Herstellung von mikroelektronischen Komponenten assoziiert ist. Es versteht sich auch, dass die Prozessoperationen, die für den vorangehenden Prozessablauf beschrieben sind, in alternativen Abfolgen ausgeübt werden können, wobei nicht jede Operation durchgeführt werden muss oder zusätzliche Prozessoperationen durchgeführt werden können oder beides.
  • So wie es in der gesamten vorliegenden Beschreibung verwendet wird, besteht das Material der dielektrischen Zwischenschicht (ILD-Material) bei einer Ausführungsform aus einer Schicht aus einem dielektrischen oder einem isolierenden Material oder beinhaltet diese. Beispiele für geeignete dielektrische Materialien beinhalten, ohne auf diese beschränkt zu sein, Oxide von Silicium (z.B. Siliciumdioxid (SiO2)), dotierte Oxide von Silicium, fluorierte Oxide von Silicium, mit Kohlenstoff dotierte Oxide von Silicium, verschiedene dielektrische Nieder-k-Materialien, die aus dem Stand der Technik bekannt sind, und Kombinationen davon. Das dielektrische Zwischenschichtmaterial kann durch Techniken, wie beispielsweise eine chemische Gasphasenabscheidung (CVD), eine physikalische Gasphasenabscheidung (PVD) oder durch andere Abscheidungsverfahren, gebildet werden.
  • So wie sie auch in der gesamten vorliegenden Beschreibung verwendet werden, bestehen die Metallleitungen oder das Zwischenverbindungsleitungsmaterial (und das Durchkontaktierungsmaterial) bei einer Ausführungsform aus einem oder mehreren Metallen oder anderen leitfähigen Strukturen. Ein übliches Beispiel ist die Verwendung von Kupferleitungen und -strukturen, die gegebenenfalls Barriereschichten zwischen dem Kupfer und dem umgebenden ILD-Material beinhalten können. So wie der Begriff „Metall“ hier verwendet wird, beinhaltet er Legierungen, Stapel und andere Kombination mehrerer Metalle. Zum Beispiel können die Metallzwischenverbindungsleitungen Barriereschichten (z.B. Schichten, die eines oder mehrere von Ta, TaN, Ti und/oder TiN beinhalten), Stapel aus unterschiedlichen Metallen oder Legierungen usw. beinhalten. Dementsprechend können die Zwischenverbindungsleitungen eine einzige Materialschicht sein oder sie können aus mehreren Schichten gebildet sein, die leitfähige Auskleidungsschichten und Füllschichten beinhalten. Ein beliebiger geeigneter Abscheidungsprozess, wie etwa eine Galvanik, eine chemische Gasphasenabscheidung oder eine physikalische Gasphasenabscheidung, kann zum Bilden von Zwischenverbindungsleitungen verwendet werden. Bei einer Ausführungsform bestehen die Zwischenverbindungsleitungen aus einem leitfähigen Material, wie etwa, ohne auf diese beschränkt zu sein, Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au oder Legierungen davon. Die Zwischenverbindungsleitungen werden manchmal in dem Stand der Technik auch als Leiterbahnen, Drähte, Leitungen, Metall oder einfach Zwischenverbindungsleitung bezeichnet.
  • Wie auch in der gesamten vorliegenden Beschreibung verwendet wird, bestehen die Hartmaskenmaterialien bei einer Ausführungsform aus dielektrischen Materialien, die verschieden von dem dielektrischen Zwischenschichtmaterial sind. Bei einer Ausführungsform können unterschiedliche Hartmaskenmaterialien in unterschiedlichen Gebieten verwendet werden, um eine unterschiedliche Aufwachs- oder Ätzselektivität untereinander und zu den darunterliegenden dielektrischen Schichten und Metallschichten bereitzustellen. Bei einigen Ausführungsformen beinhaltet eine Hartmaskenschicht eine Schicht aus einem Nitrid von Silicium (z.B. Siliciumnitrid) oder eine Schicht aus einem Oxid von Silicium oder beide Schichten oder eine Kombination davon. Andere geeignete Materialien können Materialien auf Kohlenstoffbasis beinhalten. Bei einer anderen Ausführungsform kann ein Hartmaskenmaterial eine Metallspezies beinhalten. Zum Beispiel kann eine Hartmaske oder ein darüberliegendes Material eine Schicht aus einem Nitrid von Titan oder einem anderen Metall beinhalten (z.B. Titannitrid). Potenziell geringere Anteile anderer Materialien, wie beispielsweise Sauerstoff, können in einer oder mehreren dieser Schichten enthalten sein. Alternativ dazu können in Abhängigkeit von der bestimmten Implementierung Hartmaskenmaterialschichten verwendet werden, die aus dem Stand der Technik bekannt sind. Die Hartmaskenschichten können durch eine CVD, eine PVD oder durch andere Abscheidungsverfahren gebildet werden.
  • Wie auch in der gesamten vorliegenden Beschreibung verwendet, werden lithografische Operationen bei einer Ausführungsform unter Verwendung einer 193-nm-Immersionslithografie (i193), einer Extrem-UV(EUV)-Lithografie oder einer Elektronenstrahldirektschreib-Lithografie (EBDW: Electron Beam Direct Write) oder dergleichen gebildet. Es kann ein Positiv- oder Negativfotolack verwendet werden. Bei einer Ausführungsform ist eine lithografische Maske eine Dreischichtmaske, die aus einem topografischen Maskierungsabschnitt, einer Antireflexbeschichtungsschicht (ARC: Anti-Reflective Coating) und einer Fotolackschicht besteht. Bei einer bestimmten derartigen Ausführungsform ist der topografische Maskierungsteil eine Kohlenstoffhartmaskenschicht (CHM-Schicht) und die Antireflexionsbeschichtungsschicht ist eine Silicium-ARC-Schicht.
  • Die hier offenbarten Ausführungsformen können zur Herstellung einer großen Vielfalt verschiedener Arten von integrierten Schaltungen oder mikroelektronischen Vorrichtungen verwendet werden. Beispiele für diese integrierten Schaltkreise beinhalten, ohne auf diese beschränkt zu sein, Prozessoren, Chipsatzkomponenten, Grafikprozessoren, digitale Signalprozessoren, Mikrosteuereinheiten und dergleichen. Bei anderen Ausführungsformen kann ein Halbleiterspeicher hergestellt werden. Darüber hinaus können die integrierten Schaltkreise oder andere mikroelektronische Vorrichtungen in einer breiten Vielfalt von elektronischen Vorrichtungen verwendet werden, die aus dem Stand der Technik bekannt sind, zum Beispiel in Computersystemen (z.B. Desktop-Computern, Laptop-Computern, Servern), Mobiltelefonen, persönliche Elektronikvorrichtungen usw. Die integrierten Schaltkreise können mit einem Bus und anderen Komponenten in den Systemen gekoppelt sein. Zum Beispiel kann ein Prozessor durch einen oder mehrere Busse mit einem Speicher, einem Chipsatz usw. gekoppelt sein. Jeder von dem Prozessor, dem Speicher und dem Chipsatz kann potenziell unter Verwendung der hier offenbarten Ansätze hergestellt werden.
  • 14 veranschaulicht eine Rechenvorrichtung 1400 gemäß einer Implementierung der Offenbarung. Die Rechenvorrichtung 1400 beherbergt eine Platine 1402. Die Platine 1402 kann eine Anzahl von Komponenten beinhalten, die, ohne auf diese beschränkt zu sein, einen Prozessor 1404 und mindestens einen Kommunikationschip 1406 aufweisen. Der Prozessor 1404 ist physisch und elektrisch mit der Platine 1402 gekoppelt. Bei einigen Implementierungen ist der mindestens eine Kommunikationschip 1406 auch physisch und elektrisch mit der Platine 1402 gekoppelt. Bei weiteren Implementierungen ist der Kommunikationschip 1406 ein Teil des Prozessors 1404.
  • In Abhängigkeit von ihren Anwendungen kann die Rechenvorrichtung 1400 andere Komponenten beinhalten, die gegebenenfalls physisch und elektrisch mit der Platine 1402 gekoppelt sein können. Diese anderen Komponenten beinhalten, ohne auf diese beschränkt zu sein, einen flüchtigen Speicher (z.B. einen DRAM), einen nichtflüchtigen Speicher (z.B. einen ROM), einen Flash-Speicher, einen Grafikprozessor, einen digitalen Signalprozessor, einen Kryptoprozessor, einen Chipsatz, eine Antenne, eine Anzeige, eine Touchscreen-Anzeige, eine Touchscreen-Steuereinheit, eine Batterie, einen Audiocodec, einen Videocodec, einen Leistungsverstärker, eine Vorrichtung eines globalen Positionierungssystems (GPS-Vorrichtung), einen Kompass, einen Beschleunigungsmesser, ein Gyroskop, einen Lautsprecher, eine Kamera und eine Massenspeicherungsvorrichtung (wie etwa ein Festplattenlaufwerk, eine CD (Compact-Disk), eine DVD (Digital-Versatile-Disk) und so weiter).
  • Der Kommunikationschip 1406 ermöglicht drahtlose Kommunikationen für die Übertragung von Daten zu und von der Rechenvorrichtung 1400. Der Begriff „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die Daten durch die Verwendung modulierter elektromagnetischer Strahlung durch ein Nicht-Festkörpermedium kommunizieren können. Der Begriff impliziert nicht, dass die zugehörigen Vorrichtungen keine Drähte enthalten, obwohl sie bei einigen Ausführungsformen möglicherweise keine enthalten könnten. Der Kommunikationschip 1406 kann beliebige einer Anzahl von drahtlosen Standards oder Protokollen implementieren, einschließlich, ohne auf diese beschränkt zu sein, Wi-Fi (IEEE-802.11-Familie), WiMAX (IEEE-802.16-Familie), IEEE-802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Ableitungen derselben sowie beliebige andere Drahtlosprotokolle beinhalten, die als 3G, 4G, 5G und darüber hinaus bezeichnet werden. Die Rechenvorrichtung 1400 kann eine Vielzahl von Kommunikationschips 1406 beinhalten. Beispielsweise kann ein erster Kommunikationschip 1406 für eine drahtlose Kommunikation mit kürzerer Reichweite, wie etwa Wi-Fi und Bluetooth, zweckbestimmt sein und ein zweiter Kommunikationschip 1406 kann für eine drahtlose Kommunikation mit längerer Reichweite, wie etwa GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO und anderen, zweckbestimmt sein.
  • Der Prozessor 1404 der Rechenvorrichtung 1400 beinhaltet einen ungehäusten integrierten Schaltkreischip, der in den Prozessor 1404 gepackt ist. Bei einigen Implementierungen von Ausführungsformen der Offenbarung umfasst der ungehäuste integrierte Schaltkreischip des Prozessors eine oder mehrere Strukturen, wie etwa integrierte Schaltkreisstrukturen, die gemäß einigen Implementierungen der Offenbarung aufgebaut sind. Der Begriff „Prozessor“ kann sich auf eine beliebige Vorrichtung oder einen beliebigen Teil einer Vorrichtung beziehen, die bzw. der elektronische Daten aus Registern oder einem Speicher oder beiden verarbeitet, um diese elektronischen Daten in andere elektronische Daten umzuwandeln, die in Registern oder einem Speicher gespeichert werden können.
  • Der Kommunikationschip 1406 beinhaltet auch einen ungehäusten integrierten Schaltkreischip, der in den Kommunikationschip 1406 gepackt ist. Gemäß einer anderen Implementierung der Offenbarung ist der ungehäuste integrierte Schaltkreischip des Kommunikationschips gemäß Implementierungen der Offenbarung aufgebaut.
  • Bei weiteren Implementierungen kann eine andere Komponente, die innerhalb der Rechenvorrichtung 1400 untergebracht ist, einen ungehäusten integrierten Schaltkreischip enthalten, der gemäß Implementierungen von Ausführungsformen der Offenbarung aufgebaut ist.
  • Bei verschiedenen Ausführungsformen kann die Rechenvorrichtung 1400 ein Laptop, ein Netbook, ein Notebook, ein Ultrabook, ein Smartphone, ein Tablet-Computer, ein persönlicher digitaler Assistent (PDA), ein ultramobiler PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungselektroniksteuereinheit, eine Digitalkamera, ein tragbarer Musikplayer oder ein digitaler Videorecorder sein. Bei weiteren Implementierungen kann die Rechenvorrichtung 1400 eine beliebige andere elektronische Vorrichtung sein, die Daten verarbeitet.
  • 15 veranschaulicht einen Interposer 1500, der eine oder mehrere Ausführungsformen der Offenbarung beinhaltet. Der Interposer 1500 ist ein Zwischensubstrat, das als eine Brücke von einem ersten Substrat 1502 zu einem zweiten Substrat 1504 verwendet wird. Das erste Substrat 1502 kann beispielsweise ein ungehäuster integrierter Schaltkreischip sein. Das zweite Substrat 1504 kann zum Beispiel ein Speichermodul, eine Computer-Hauptplatine oder ein anderer ungehäuster integrierter Schaltkreischip sein. Allgemein ist der Zweck eines Interposers 1500, eine Verbindung zu einem breiteren Rastermaß aufzuweiten oder eine Verbindung zu einer anderen Verbindung umzuleiten. Zum Beispiel kann ein Interposer 1500 einen ungehäusten integrierten Schaltkreischip mit einem Kugelgitterarray (BGA) 1506 koppeln, das anschließend mit dem zweiten Substrat 1504 gekoppelt werden kann. Bei einigen Ausführungsformen sind das erste und das zweite Substrat 1502/1504 an gegenüberliegenden Seiten des Interposers 1500 angebracht. Bei anderen Ausführungsformen sind das erste und das zweite Substrat 1502/1504 an derselben Seite des Interposers 1500 angebracht. Und bei weiteren Ausführungsformen sind drei oder mehr Substrate über den Interposer 1500 miteinander verbunden.
  • Der Interposer 1500 kann aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem Keramikmaterial oder einem Polymermaterial, wie etwa Polyimid, gebildet sein. Bei weiteren Implementierungen kann der Interposer 1500 abwechselnd aus starren oder flexiblen Materialien gebildet sein, die die gleichen oben zur Verwendung in einem Halbleitersubstrat beschriebenen Materialien beinhalten können, wie etwa Silicium, Germanium und andere Gruppe-III-V- und Gruppe-IV-Materialien.
  • Der Interposer 1500 kann Metallzwischenverbindungen 1508 und Durchkontaktierungen 1510 beinhalten, einschließlich, ohne auf diese beschränkt zu sein, Silicium-Durchkontaktierungen (TSVs) 1512. Der Interposer 1500 kann ferner eingebettete Vorrichtungen 1514 beinhalten, die sowohl passive als auch aktive Vorrichtungen beinhalten. Derartige Vorrichtungen beinhalten, ohne auf diese beschränkt zu sein, Kondensatoren, Entkopplungskondensatoren, Widerstände, Induktivitäten, Sicherungen, Dioden, Transformatoren, Sensoren und ESD-Vorrichtungen (elektrostatische Entladungsvorrichtungen). Komplexere Vorrichtungen, wie etwa Hochfrequenzvorrichtungen (HF-Vorrichtungen), Leistungsverstärker, Leistungsverwaltungsvorrichtungen, Antennen, Arrays, Sensoren und MEMS-Vorrichtungen, können auch auf dem Interposer 1500 gebildet werden. Gemäß Ausführungsformen der Offenbarung können Einrichtungen oder Prozesse, die hier offenbart werden, bei der Fertigung des Interposers 1500 oder bei der Fertigung von Komponenten verwendet werden, die in dem Interposer 1500 enthalten sind.
  • 16 ist eine isometrische Ansicht einer mobilen Rechenplattform 1600, die gemäß einer Ausführungsform der vorliegenden Offenbarung einen integrierten Schaltkreis (IC) einsetzt, der gemäß einem oder mehreren hier beschriebenen Prozessen gefertigt ist oder ein oder mehrere hier beschriebene Merkmale beinhaltet.
  • Die mobile Rechenplattform 1600 kann eine beliebige tragbare Vorrichtung sein, die für jede von einer elektronischen Datenanzeige, einer elektronischen Datenverarbeitung und einer drahtlosen elektronischen Datenübertragung konfiguriert ist. Zum Beispiel kann die mobile Rechenplattform 1600 ein beliebiges von einem Tablet-Computer, einem Smartphone, einem Laptop-Computer usw. sein und beinhaltet einen Anzeigebildschirm 1605, der bei dem Ausführungsbeispiel ein Touchscreen (kapazitiv, induktiv, resistiv usw.) ist, ein integriertes System 1610 auf Chipebene (SoC) oder auf Gehäuseebene und eine Batterie 1613. Wie veranschaulicht wird, ist der Teil der mobilen Rechenplattform 1600, der durch die Batterie 1613 oder einen nichtflüchtigen Speicher, wie etwa ein Solid-State-Laufwerk, belegt wird umso größer oder ist die Transistor-Gate-Anzahl für eine verbesserte Plattformfunktionalität umso größer, je größer der Integrierungsgrad in dem System 1610 ist, die durch eine höhere Transistorpackungsdichte ermöglicht wird. Auf ähnliche Weise ist die Funktionalität umso größer, je größer die Ladungsträgerbeweglichkeit von jedem Transistor in dem System 1610 ist. Von daher können hier beschriebene Techniken Leistungsfähigkeits- und Formfaktorverbesserungen in der mobilen Rechenplattform 1600 ermöglichen.
  • Das integrierte System 1610 ist ferner in der erweiterten Ansicht 1620 veranschaulicht. Bei dem Ausführungsbeispiel beinhaltet die gehäuste Vorrichtung 1677 wenigstens einen Speicherchip (z.B. einen RAM) oder mindestens einen Prozessorchip (z.B. einen Mehrkernmikroprozessor und/oder einen Grafikprozessor), der gemäß einem oder mehreren der hier beschriebenen Prozessen gefertigt ist oder ein oder mehrere der hier beschriebene Merkmale beinhaltet. Die gehäuste Vorrichtung 1677 ist ferner zusammen mit einer oder mehreren von einer integrierten Leistungsverwaltungsschaltung (PMIC) 1615, einem (drahtlosen) integrierten HF-Schaltkreis (RFIC) 1625, der einen HF-Breitbandsender und/oder -empfänger beinhaltet (die z.B. ein digitales Basisband- und ein analoges Frontend-Modul beinhaltet, das ferner einen Leistungsverstärker auf einem Übertragungspfad und einen rauscharmen Verstärker auf einem Empfangspfad beinhaltet), und einer Steuereinheit davon 1611 mit der Platine 1660 gekoppelt. Funktional führt der PMIC 1615 eine Batterieleistungsregelung, eine DC-DC-Umwandlung usw. durch und weist somit einen Eingang auf, der mit der Batterie 1613 gekoppelt ist, und mit einem Ausgang, der eine Stromversorgung für alle anderen Funktionsmodule bereitstellt. Wie ferner veranschaulicht wird, weist der RFIC 1625 bei dem Ausführungsbeispiel einen Ausgang auf, der mit einer Antenne gekoppelt ist, um beliebige aus einer Anzahl von drahtlosen Standards oder Protokollen zur Implementierung bereitzustellen, einschließlich, die, ohne auf diese beschränkt zu sein, Wi-Fi (IEEE-802.11-Familie), WiMAX (IEEE-802.16-Familie), IEEE-802.20, LTE (Long Term Evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Ableitungen derselben sowie beliebige andere Drahtlosprotokolle beinhalten, die als 3G, 4G, 5G und darüber hinaus bezeichnet werden. Bei alternativen Implementierungen kann jedes dieser Module der Platinenebene auf separaten ICs, die mit dem Gehäusesubstrat der gehäusten Vorrichtung 1677 gekoppelt sind, oder innerhalb eines einzigen IC (SoC) integriert werden, der mit dem Gehäusesubstrat der gehäusten Vorrichtung 1677 gekoppelt ist.
  • Bei einem anderen Aspekt werden Halbleitergehäuse zum Schutz eines integrierten Schaltkreischips (IC-Chips) oder eines ungehäusten Chips und auch zum Bereitstellen einer elektrischen Schnittstelle zu einer externen Schaltungsanordnung für den ungehäusten Chip verwendet. Durch die zunehmende Nachfrage nach immer kleineren elektronischen Vorrichtungen, werden Halbleitergehäuse so gestaltet, dass sie noch kompakter sind und eine größere Schaltkreisdichte unterstützen müssen. Des Weiteren führt die Nachfrage nach Vorrichtungen mit höherer Leistungsfähigkeit zu einem Bedarf eines verbesserten Halbleitergehäuses, das ein dünnes Gehäuseprofil und eine geringe Gesamtwölbung ermöglicht, die mit einer anschließenden Verarbeitung der Anforderungen kompatibel ist.
  • Bei einer Ausführungsform wird ein Drahtbonden an ein keramisches oder organisches Gehäusesubstrat verwendet. Bei einer anderen Ausführungsform wird ein C4-Prozess verwendet, um einem ungehäusten Chip an ein keramisches oder organisches Gehäusesubstrat zu montieren. Insbesondere können C4-Lötkugelverbindungen implementiert werden, um Flip-Chip-Verbindungen zwischen Halbleitervorrichtungen und Substraten bereitzustellen. Eine Flip-Chip- oder C4-Verbindung (Controlled-Collapse-Chip-Connection: Chipverbindung mit gesteuertem Kollabieren) ist eine Montageart, die für Halbleitervorrichtungen, wie etwa integrierte Schaltkreischips (IC-Chips), MEMS oder Komponenten verwendet wird, die Löthöcker anstelle von Drahtbonds verwenden. Die Löthöcker werden auf den C4-Kontaktflächen abgeschieden, die sich auf der Oberseite des Substratgehäuses befinden. Zum Montieren der Halbleitervorrichtung auf das Substrat wird sie umgedreht, damit die aktive Seite der Montagefläche nach unten weist. Die Löthöcker werden verwendet, um die Halbleitervorrichtung direkt mit dem Substrat zu verbinden.
  • 17 veranschaulicht eine Querschnittsansicht eines Flip-Chipmontierten ungehäusten Chips gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Unter Bezugnahme auf 17 beinhaltet eine Einrichtung 1700 gemäß einer Ausführungsform der vorliegenden Offenbarung einen ungehäusten Chip 1702, wie etwa einen integrierten Schaltkreis (IC), der gemäß einem oder mehreren der hier beschriebenen Prozessen gefertigt ist oder ein oder mehrere der hier beschriebene Merkmale beinhaltet. Auf dem ungehäusten Chip 1702 befinden sich metallisierte Kontaktflächen 1704. Auf einem Gehäusesubstrat 1706, wie etwa einem keramischen oder organischen Substrat, befinden sich Verbindungselemente 1708. Der ungehäuste Chip 1702 und das Gehäusesubstrat 1706 sind durch Lötkugeln 1710 elektrisch verbunden, die mit den metallisierten Kontaktflächen 1704 und den Verbindungselementen 1708 gekoppelt sind. Ein Unterfüllmaterial 1712 umgibt die Lötkugeln 1710.
  • Das Verarbeiten eines Flip-Chips kann bis auf einige wenige zusätzliche Operationen ähnlich wie eine herkömmliche IC-Fertigung sein. Fast am Ende des Herstellungsprozesses werden die Befestigungskontaktflächen metallisiert, um sie empfänglicher für ein Lötmittel zu machen. Dies besteht typischerweise aus einigen Behandlungen. Ein kleiner Lötmittelpunkt wird dann auf jeder metallisierten Kontaktfläche abgeschieden. Die Chips werden dann wie üblich aus dem Wafer herausgeschnitten. Zum Anbringen des Flip-Chips in einer Schaltung wird der Chip umgedreht, um die Lötmittelpunkte nach unten auf Verbinder auf der darunterliegenden Elektronik oder der darunterliegenden Leiterplatte zu bringen. Das Lötmittel wird dann wiederaufgeschmolzen, um eine elektrische Verbindung typischerweise unter Verwendung von Ultraschall oder alternativ eines Wiederaufschmelzlötprozesses zu produzieren. Dies lässt auch einen kleinen Raum zwischen der Schaltungsanordnung des Chips und der darunterliegenden Befestigung. In den meisten Fällen wird dann ein elektrisch isolierender Klebstoff „unterfüllt“, um eine stärkere mechanische Verbindung bereitzustellen, um eine Wärmebrücke bereitzustellen und um sicherzustellen, dass die Lötverbindungen nicht aufgrund einer differenziellen Erwärmung des Chips und des Rests des Systems belastet werden.
  • Bei anderen Ausführungsformen werden gemäß einer Ausführungsform der vorliegenden Offenbarung neuere Ansätze für Gehäusezwischenverbindungen und Zwischenverbindungen zwischen ungehäusten Chips, wie etwa Silicium-Durchkontaktierungen (TSV) und Silicium-Interposer, implementiert, um ein Hochleistung-Mehrfachchipmodul (MCM) und ein System-in-Gehäuse (SiP: System in Package) zu fertigen, das eine integrierte Schaltung (IC) einbindet, die gemäß einem oder mehreren der hier beschriebenen Prozessen gefertigt wird, oder ein oder mehrere der hier beschriebene Merkmale beinhaltet.
  • Dementsprechend beinhalten Ausführungsformen der vorliegenden Offenbarung eine fortschrittliche für integrierte Schaltkreisstrukturen.
  • Obwohl oben spezielle Ausführungsformen beschrieben wurden, sind diese Ausführungsformen nicht so zu verstehen, dass sie den Schutzumfang der vorliegenden Offenbarung beschränken, selbst wenn nur eine einzige Ausführungsform mit Bezug auf ein bestimmtes Merkmal beschrieben wurde. Beispiele für in der Offenbarung bereitgestellte Merkmale sind als veranschaulichend aber nicht als beschränkend zu verstehen, sofern dies nicht gegenteilig angegeben ist. Die obige Beschreibung ist so zu verstehen, dass diese Alternativen, Modifikationen und Äquivalenzen abdecken, wie sie für einen Fachmann offensichtlich sind, der von der Kenntnis der vorliegenden Offenbarung profitiert.
  • Der Schutzumfang der vorliegenden Offenbarung beinhaltet ein beliebiges Merkmal oder eine beliebige Kombination von Merkmalen, die hier (explizit oder implizit) offenbart sind oder eine beliebige Verallgemeinerung davon, unabhängig davon, ob es gegebenenfalls ein beliebiges oder alle der hier erörterten Probleme entschärft. Entsprechend können neue Ansprüche während einer Prüfung der vorliegenden Anmeldung (oder einer Anmeldung, die eine Priorität auf diese beansprucht) für eine beliebige derartige Kombination von Merkmalen formuliert werden. Insbesondere können unter Bezugnahme auf die angefügten Ansprüche Merkmale aus Nebenansprüchen mit jenen der Hauptansprüche kombiniert werden und Merkmale von jeweiligen Hauptansprüchen können auf eine beliebige angemessene Weise und nicht nur in den speziellen Kombinationen, die in den angefügten Ansprüchen aufgelistet sind, kombiniert werden.
  • Die folgenden Beispiele betreffen weitere Ausführungsformen. Die verschiedenen Merkmale der unterschiedlichen Ausführungsformen können verschiedenartig kombiniert werden, wobei einige Merkmale eingeschlossen und andere ausgeschlossen werden, um für eine Vielfalt von unterschiedlichen Anwendungen geeignet zu sein.
  • Ausführungsbeispiel 1: Eine integrierte Schaltkreisstruktur beinhaltet eine erste leitfähige Zwischenverbindungsleitung in einer ersten dielektrischen Zwischenschicht (ILD-Schicht) oberhalb eines Substrats, eine zweite leitfähige Zwischenverbindungsleitung in einer zweiten ILD-Schicht oberhalb der ersten ILD-Schicht, und eine leitfähige Durchkontaktierung, welche die erste leitfähige Zwischenverbindungsleitung und die zweite leitfähige Zwischenverbindungsleitung miteinander koppelt, wobei die leitfähige Durchkontaktierung eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) aufweist.
  • Ausführungsbeispiel 2: Die integrierte Schaltkreisstruktur des Ausführungsbeispiels 1, wobei die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) eine Dicke in einem Bereich von 1 bis 5 Nanometer aufweist.
  • Ausführungsbeispiel 3: Die integrierte Schaltkreisstruktur des Ausführungsbeispiels 1 oder 2, wobei sich die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) von der leitfähigen Durchkontaktierung zu der zweiten leitfähigen Zwischenverbindungsleitung erstreckt.
  • Ausführungsbeispiel 4: Die integrierte Schaltkreisstruktur des Ausführungsbeispiels 3, die ferner eine leitfähige Füllung innerhalb der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) in der leitfähigen Durchkontaktierung und der zweiten leitfähigen Zwischenverbindungsleitung beinhaltet, wobei die leitfähige Füllung Kupfer direkt auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) beinhaltet.
  • Ausführungsbeispiel 5: Die integrierte Schaltkreisstruktur des Ausführungsbeispiels 1, 2, 3 oder 4, wobei sich die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) direkt auf einer leitfähigen Füllung der ersten leitfähigen Zwischenverbindungsleitung befindet, wobei die leitfähige Füllung Kupfer oder Kobalt beinhaltet.
  • Ausführungsbeispiel 6: Ein Verfahren zum Fertigen einer integrierten Schaltkreisstruktur beinhaltet: Bilden eines Teilgrabens in einer dielektrischen Zwischenschicht (ILD-Schicht), wobei sich die ILD-Schicht auf einer Ätzstoppschicht befindet; Ätzen einer hängenden Durchkontaktierung, die auf der Ätzstoppschicht endet; und Durchführen einer Durchbruchätzung durch die Ätzstoppschicht, um eine Graben- und eine Durchkontaktierungsöffnung in der ILD-Schicht und der Ätzstoppschicht zu bilden.
  • Ausführungsbeispiel 7: Das Verfahren nach Ausführungsbeispiel 6, wobei das Durchführen der Durchbruchätzung den Teilgraben tiefer in die ILD-Schicht hinein verlängert.
  • Ausführungsbeispiel 8: Das Verfahren des Ausführungsbeispiels 6 oder 7, das ferner Bilden einer einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) entlang der Oberflächen der Graben- und der Durchkontaktierungsöffnung beinhaltet.
  • Ausführungsbeispiel 9: Das Verfahren des Ausführungsbeispiels 8, das ferner ein Bilden einer leitfähigen Füllung auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) beinhaltet, wobei die leitfähige Füllung Kupfer direkt auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) beinhaltet.
  • Ausführungsbeispiel 10: Das Verfahren des Ausführungsbeispiels 9, das vor dem Bilden der leitfähigen Füllung ferner ein Reduzieren einer Dicke der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) beinhaltet.
  • Ausführungsbeispiel 11: Eine Rechenvorrichtung beinhaltet eine Platine und eine Komponente, die mit der Platine gekoppelt ist. Die Komponente beinhaltet eine integrierten Schaltkreisstruktur, die eine erste leitfähige Zwischenverbindungsleitung in einer ersten dielektrischen Zwischenschicht (ILD-Schicht) oberhalb eines Substrats, eine zweite leitfähige Zwischenverbindungsleitung in einer zweiten ILD-Schicht oberhalb der ersten ILD-Schicht, und eine leitfähige Durchkontaktierung beinhaltet, welche die erste leitfähige Zwischenverbindungsleitung und die zweite leitfähige Zwischenverbindungsleitung miteinander koppelt, wobei die leitfähige Durchkontaktierung eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) aufweist.
  • Ausführungsbeispiel 12: Die Rechenvorrichtung des Ausführungsbeispiels 11, die ferner einen Speicher beinhaltet, der mit der Platine gekoppelt ist.
  • Ausführungsbeispiel 13: Die Rechenvorrichtung des Ausführungsbeispiels 11 oder 12, die ferner einen Kommunikationschip beinhaltet, der mit der Platine gekoppelt ist.
  • Ausführungsbeispiel 14: Die Rechenvorrichtung des Ausführungsbeispiels 11, 12 oder 13, die ferner eine Kamera beinhaltet, die mit der Platine gekoppelt ist.
  • Ausführungsbeispiel 15: Die Rechenvorrichtung des Ausführungsbeispiels 11, 12, 13 oder 14, wobei die Komponente ein in ein Gehäuse gepackter ungehäuster integrierter Schaltkreischip ist.
  • Ausführungsbeispiel 16: Eine Rechenvorrichtung beinhaltet eine Platine und eine Komponente, die mit der Platine gekoppelt ist. Die Komponente beinhaltet eine integrierte Schaltkreisstruktur, wobei die integrierte Schaltkreisstruktur gemäß einem Verfahren gefertigt ist, das beinhaltet: Bilden eines Teilgrabens in einer dielektrischen Zwischenschicht (ILD-Schicht), wobei sich die ILD-Schicht auf einer Ätzstoppschicht befindet; Ätzen einer hängenden Durchkontaktierung, die auf der Ätzstoppschicht endet; und Durchführen einer Durchbruchätzung durch die Ätzstoppschicht, um eine Graben- und eine Durchkontaktierungsöffnung in der ILD-Schicht und der Ätzstoppschicht zu bilden.
  • Ausführungsbeispiel 17: Die Rechenvorrichtung des Ausführungsbeispiels 16, die ferner einen Speicher beinhaltet, der mit der Platine gekoppelt ist.
  • Ausführungsbeispiel 18: Die Rechenvorrichtung von Ausführungsbeispiel 16 oder 17, die ferner einen Kommunikationschip beinhaltet, der mit der Platine gekoppelt ist.
  • Ausführungsbeispiel 19: Die Rechenvorrichtung von Ausführungsbeispiel 16, 17 oder 18, die eine Kamera beinhaltet, die mit der Platine gekoppelt ist.
  • Ausführungsbeispiel 20: Die Rechenvorrichtung des Ausführungsbeispiels 16, 17, 18 oder 19, wobei die Komponente ein in ein Gehäuse gepackter ungehäuster integrierter Schaltkreischip ist.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/072811 [0001]
    • US 63/072826 [0001]

Claims (20)

  1. Integrierte Schaltkreisstruktur, umfassend: eine erste leitfähige Zwischenverbindungsleitung in einer ersten dielektrischen Zwischenschicht (ILD-Schicht) oberhalb eines Substrats; eine zweite leitfähige Zwischenverbindungsleitung in einer zweiten ILD-Schicht oberhalb der ersten ILD-Schicht; und eine leitfähigen Durchkontaktierung, welche die erste leitfähige Zwischenverbindungsleitung und die zweite leitfähige Zwischenverbindungsleitung miteinander koppelt, wobei die leitfähige Durchkontaktierung eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) aufweist.
  2. Integrierte Schaltkreisstruktur nach Anspruch 1, wobei die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) eine Dicke in einem Bereich von 1 bis 5 Nanometer aufweist.
  3. Integrierte Schaltkreisstruktur nach Anspruch 1 oder 2, wobei sich die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) von der leitfähigen Durchkontaktierung zu der zweiten leitfähigen Zwischenverbindungsleitung erstreckt.
  4. Integrierte Schaltkreisstruktur nach Anspruch 3, die ferner umfasst: eine leitfähige Füllung innerhalb der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht in der leitfähigen Durchkontaktierung und der zweiten leitfähigen Zwischenverbindungsleitung, wobei die leitfähige Füllung Kupfer direkt auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) umfasst.
  5. Integrierte Schaltkreisstruktur nach Anspruch 1, 2, 3 oder 4, wobei sich die einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) direkt auf einer leitfähigen Füllung der ersten leitfähigen Zwischenverbindungsleitung befindet, wobei die leitfähige Füllung Kupfer oder Kobalt umfasst.
  6. Verfahren zum Fertigen einer integrierten Schaltkreisstruktur, wobei das Verfahren umfasst: Bilden eines Teilgrabens in einer dielektrischen Zwischenschicht (ILD-Schicht), wobei sich die ILD-Schicht auf einer Ätzstoppschicht befindet; Ätzen einer hängenden Durchkontaktierung, der auf der Ätzstoppschicht endet; und Durchführen einer Durchbruchätzung durch die Ätzstoppschicht, um eine Graben- und Durchkontaktierungsöffnung in der ILD-Schicht und der Ätzstoppschicht zu bilden.
  7. Verfahren nach Anspruch 6, wobei das Durchführen der Durchbruchätzung den Teilgraben tiefer in die ILD-Schicht hinein verlängert.
  8. Verfahren nach Anspruch 6 oder 7, das ferner umfasst: Bilden einer einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) entlang der Oberflächen der Graben- und der Durchkontaktierungsöffnung.
  9. Verfahren nach Anspruch 8, das ferner umfasst: Bilden einer leitfähigen Füllung auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht), wobei die leitfähige Füllung Kupfer direkt auf der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht) umfasst.
  10. Verfahren nach Anspruch 9, das ferner umfasst: vor dem Bilden der leitfähigen Füllung, Reduzieren einer Dicke der einzigen stickstofffreien Tantal-Barriereschicht (Ta-Barriereschicht).
  11. Rechenvorrichtung, umfassend: eine Platine; und eine Komponente, die mit der Platine gekoppelt ist, wobei die Komponente eine integrierte Schaltkreisstruktur beinhaltet, die umfasst: eine erste leitfähige Zwischenverbindungsleitung in einer ersten dielektrischen Zwischenschicht (ILD-Schicht) oberhalb eines Substrats; eine zweite leitfähige Zwischenverbindungsleitung in einer zweiten ILD-Schicht oberhalb der ersten ILD-Schicht; und eine leitfähigen Durchkontaktierung, welche die erste leitfähige Zwischenverbindungsleitung und die zweite leitfähige Zwischenverbindungsleitung miteinander koppelt, wobei die leitfähige Durchkontaktierung eine einzige stickstofffreie Tantal-Barriereschicht (Ta-Barriereschicht) aufweist.
  12. Rechenvorrichtung nach Anspruch 11, die ferner umfasst: einen Speicher, der mit der Leiterplatte gekoppelt ist.
  13. Rechenvorrichtung nach Anspruch 11 oder 12, die ferner umfasst: einen Kommunikationschip, der mit der Leiterplatte gekoppelt ist.
  14. Rechenvorrichtung nach Anspruch 11, 12 oder 13, die ferner umfasst: eine Kamera, die mit der Leiterplatte gekoppelt ist.
  15. Rechenvorrichtung nach Anspruch 11, 12, 13 oder 14, wobei die Komponente ein in ein Gehäuse gepackter ungehäuster integrierter Schaltkreischip ist.
  16. Rechenvorrichtung, die Folgendes umfasst: eine Platine; und eine Komponente, die mit der Platine gekoppelt ist, wobei die Komponente eine integrierte Schaltungsstruktur beinhaltet, wobei die integrierte Schaltungsstruktur gemäß einem Verfahren gefertigt ist, das umfasst: Bilden eines Teilgrabens in einer dielektrischen Zwischenschicht (IILD-Schicht), wobei sich die ILD-Schicht auf einer Ätzstoppschicht befindet; Ätzen einer hängenden Durchkontaktierung, die auf der Ätzstoppschicht endet; Durchführen einer Durchbruchätzung durch die Ätzstoppschicht, um eine Graben- und Durchkontaktierungsöffnung in der ILD-Schicht und der Ätzstoppschicht zu bilden.
  17. Rechenvorrichtung nach Anspruch 16, die ferner umfasst: einen Speicher, der mit der Leiterplatte gekoppelt ist.
  18. Rechenvorrichtung nach Anspruch 16 oder 17, die ferner umfasst: einen Kommunikationschip, der mit der Leiterplatte gekoppelt ist.
  19. Rechenvorrichtung nach Anspruch 16, 17 oder 18, die ferner umfasst: eine Kamera, die mit der Leiterplatte gekoppelt ist.
  20. Rechenvorrichtung nach Anspruch 16, 17, 18 oder 19, wobei die Komponente ein in ein Gehäuse gepackter ungehäuster integrierter Schaltkreischip ist.
DE102021121174.3A 2020-08-31 2021-08-16 Barriereschichten für metallleitungen und durchkontaktierungen und durchkontaktierungsprofile für eine fortschrittliche fertigung einer integrierten schaltkreisstruktur Pending DE102021121174A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063072826P 2020-08-31 2020-08-31
US202063072811P 2020-08-31 2020-08-31
US63/072,826 2020-08-31
US63/072,811 2020-08-31
US17/133,080 US20220068802A1 (en) 2020-08-31 2020-12-23 Metal line and via barrier layers, and via profiles, for advanced integrated circuit structure fabrication
US17/133,080 2020-12-23

Publications (1)

Publication Number Publication Date
DE102021121174A1 true DE102021121174A1 (de) 2022-03-17

Family

ID=80351695

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021121174.3A Pending DE102021121174A1 (de) 2020-08-31 2021-08-16 Barriereschichten für metallleitungen und durchkontaktierungen und durchkontaktierungsprofile für eine fortschrittliche fertigung einer integrierten schaltkreisstruktur

Country Status (5)

Country Link
US (1) US20220068802A1 (de)
KR (1) KR20220030330A (de)
CN (1) CN114121892A (de)
DE (1) DE102021121174A1 (de)
TW (1) TW202211410A (de)

Also Published As

Publication number Publication date
KR20220030330A (ko) 2022-03-10
US20220068802A1 (en) 2022-03-03
CN114121892A (zh) 2022-03-01
TW202211410A (zh) 2022-03-16

Similar Documents

Publication Publication Date Title
US11276581B2 (en) Textile patterning for subtractively-patterned self-aligned interconnects, plugs, and vias
DE102020103386A1 (de) Selbstausgerichtete-gate-endkappe(sage)-architektur mit gate- oder kontaktstopfen
US11605671B2 (en) Double selector element for low voltage bipolar memory devices
DE112017008331T5 (de) Vertikal gestapelte Transistorbauelemente mit Isolationswandstrukturen, die einen elektrischen Leiter umfassen
DE102020105127A1 (de) Source- oder drain-strukturen für germanium-n-kanalvorrichtungen
DE102019132101A1 (de) Kontakt-über-aktivem-gate-strukturen mit leitfähigen gateabgriffenfür fortgeschrittene integrierte-schaltungsstruktur-herstellung
DE102021121941A1 (de) Ansätze mit geringem widerstand für die herstellung von kontakten und der sich daraus ergebenden strukturen
US11393874B2 (en) Independently scaling selector and memory in memory cell
DE102019114241A1 (de) Kanalstrukturen mit teilfinnen-dotierstoff-diffusionssperrschichten
DE102019114022A1 (de) Source- oder Drainstrukturen mit Kontaktätzstoppschicht
DE112017007856T5 (de) Strukturen integrierter Schaltungen mit differenzierten Arbeitsfunktionsschichten
DE102020102933A1 (de) Selbstausgerichtete Gate-Endabdeckungs- (SAGE) Architektur mit Gate-Kontakten
US11710636B2 (en) Metal and spacer patterning for pitch division with multiple line widths and spaces
DE102021121174A1 (de) Barriereschichten für metallleitungen und durchkontaktierungen und durchkontaktierungsprofile für eine fortschrittliche fertigung einer integrierten schaltkreisstruktur
DE102021124615A1 (de) Mehrschichtätzstoppschichten zur fortschrittlichen integrierter-schaltkreis-struktur-herstellung
DE102021119022A1 (de) Metall-isolator-metall(mim)-kondensator
DE102020106732A1 (de) Metalloxycarbid-resists als zurückzulassende plugs
DE102021121944A1 (de) Rückgewinnung der dielektrischen kapazität von zwischenschicht-dielektrikumsschichten für die herstellung fortgeschrittener integrierter schaltungsstrukturen
DE102019132141A1 (de) Finnen-trimm-plug-strukturen zum weitergeben von kanalspannung
EP4345871A1 (de) Differenzierte leiterbahnen zur herstellung einer fortgeschrittenen integrierten schaltungsstruktur
US11610810B2 (en) Maskless air gap enabled by a single damascene process
EP4199053A1 (de) Iso-ebenen-vias für hochentwickelte integrierte schaltungen
US20230360917A1 (en) Semiconductor device and method for fabricating the same
EP4016600A1 (de) Durch bottom-up füllung strukturierte metalllinien zur herstellung integrierter schaltungsstrukturen
EP4345870A1 (de) Mit leiterbahnen gekoppelte split-through-strukturen zur herstellung einer fortgeschrittenen integrierten schaltungsstruktur