DE102021119365A1 - Germanium-basierter sensor mit sperrschichtfeldeffekttransistor und dessen herstellungsverfahren - Google Patents

Germanium-basierter sensor mit sperrschichtfeldeffekttransistor und dessen herstellungsverfahren Download PDF

Info

Publication number
DE102021119365A1
DE102021119365A1 DE102021119365.6A DE102021119365A DE102021119365A1 DE 102021119365 A1 DE102021119365 A1 DE 102021119365A1 DE 102021119365 A DE102021119365 A DE 102021119365A DE 102021119365 A1 DE102021119365 A1 DE 102021119365A1
Authority
DE
Germany
Prior art keywords
doped
doped region
layer
germanium
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021119365.6A
Other languages
English (en)
Inventor
Jhy-Jyi Sze
Sin-Yi Jiang
Yi-Shin Chu
Yin-Kai Liao
Hsiang-Lin Chen
Kuan-Chieh Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021119365A1 publication Critical patent/DE102021119365A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/112Devices sensitive to infrared, visible or ultraviolet radiation characterised by field-effect operation, e.g. junction field-effect phototransistor
    • H01L31/1127Devices with PN heterojunction gate
    • H01L31/1129Devices with PN heterojunction gate the device being a field-effect phototransistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic System
    • H01L31/0288Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic System characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • H01L27/14605Structural or functional details relating to the position of the pixel elements, e.g. smaller pixel elements in the center of the imager compared to pixel elements at the periphery
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14679Junction field effect transistor [JFET] imagers; static induction transistor [SIT] imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/1443Devices controlled by radiation with at least one potential jump or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/1461Pixel-elements with integrated switching, control, storage or amplification elements characterised by the photosensitive area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/14612Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/14612Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor
    • H01L27/14614Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor having a special gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier
    • H01L31/103Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier being of the PN homojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/112Devices sensitive to infrared, visible or ultraviolet radiation characterised by field-effect operation, e.g. junction field-effect phototransistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate

Abstract

Hierin werden Germanium-basierte Sensoren offenbart. Ein beispielhafter Germaniumbasierter Sensor weist eine Germanium-Fotodiode und einen Sperrschicht-Feldeffekttransistor (JFET) auf, der aus einer Germaniumschicht gebildet ist, die in manchen Ausführungsformen in einem Siliziumsubstrat oder in manchen Ausführungsformen auf einem Siliziumsubstrat angeordnet ist. Eine dotierte Siliziumschicht, die durch In-situ-Dotieren von epitaktisch aufgewachsenem Silizium gebildet werden kann, ist zwischen der Germaniumschicht und dem Siliziumsubstrat angeordnet. In Ausführungsformen, wo die Germaniumschicht auf dem Siliziumsubstrat ist, ist die dotierte Siliziumschicht zwischen der Germaniumschicht und einer Oxidschicht angeordnet. Der JFET weist ein dotiertes Polysilizium-Gate auf und in manchen Ausführungsformen ist ein Gate-Diffusionsgebiet in der Germaniumschicht unter dem dotierten Polysilizium-Gate angeordnet. In manchen Ausführungsformen ist eine gepinnte Fotodiodenpassivierungsschicht in der Germaniumschicht angeordnet. In manchen Ausführungsformen ist ein Paar dotiertes Gebietspaar in der Germaniumschicht als eine e-Linse des Germanium-basierten Sensors eingerichtet.

Description

  • Diese Anmeldung ist eine nicht vorläufige Anmeldung und beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/161,687 , eingereicht am 16. März 2021, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Die Elektronikindustrie hat einen ständig steigenden Bedarf an kleineren und schnelleren elektronischen Vorrichtungen erfahren, die gleichzeitig imstande sind, eine größere Anzahl zunehmen komplexer und ausgeklügelter Funktionen zu unterstützen. Daher besteht ein anhaltender Trend in der Halbleiterindustrie, kostengünstige Hochleistungs- und Niederenergie-ICs (integrierte Schaltungen) herzustellen. Bisher wurden diese Zielsetzungen zum Großteil durch Herabskalieren von Halbleiter-IC-Abmessungen (z.B. minimale Merkmalgröße) und dadurch Verbessern von Produktionseffizienz und Senken damit verbundener Kosten erreicht. Ein solches Skalieren hat jedoch auch erhöhte Komplexität beim Halbleiterherstellungsprozess eingeführt, sodass eine Umsetzung fortlaufender Weiterentwicklungen in ICs ähnliche Weiterentwicklungen in Halbleiterherstellungsprozessen und -technologie verlangt.
  • Als ein Beispiel werden Halbleitersensoren allgemein für eine Reihe von Anwendungen zum Messen physikalischer, chemischer, biologischer und/oder Umweltparameter verwendet. Manche spezifische Arten von Halbleitersensoren enthalten unter anderen Gassensoren, Drucksensoren, Temperatursensoren und optische Bildsensoren. Für optische Bildsensoren ist Dunkelstrom ein Hauptanliegen bei Leistung und Zuverlässigkeit. Dunkelstrom, der Strom ist, der in Abwesenheit von Licht fließt, kann allgemeiner als Verluststrom beschrieben werden, der in einem optischen Bildsensor vorhanden ist. In zumindest manchen Fällen kann eine schlechte Qualität von Grenzflächen zwischen verschiedenen Halbleiterschichten, die in optischen Bildsensoren verwendet werden, und/oder schlechte Qualität von Oberflächen der verschiedenen Halbleiterschichten zu signifikantem Dunkelstrom führen. Ein anderes wesentliches Hauptanliegen bei Leistung und/oder Zuverlässigkeit von optischen Bildsensoren ist ein optischer Füllfaktor, der allgemein ein Verhältnis einer lichtempfindlichen Fläche eines Pixelverhältnisses (z.B. eine Fotodiodenfläche) zu einer Gesamtfläche des Pixels angibt. Obwohl bestehende optische Bildsensoren und Verfahren zur Fertigung dieser im Allgemeinen für ihren beabsichtigten Zweck angemessen sind, waren sie nicht in jeder Hinsicht zufriedenstellend.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A-1J sind schematische Querschnittsansichten einer lichtempfindlichen Vorrichtung, wie eines Germanium-basierten Sensors mit Sperrschicht Feldeffekttransistor, zum Teil oder in ihrer Gesamtheit, in verschiedenen Fertigungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2 ist eine schematische Draufsicht und eine schematische Querschnittsansicht einer lichtempfindlichen Vorrichtung, wie der lichtempfindlichen Vorrichtung von 1A-1J, zum Teil oder in ihrer Gesamtheit, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3A-3J sind schematische Querschnittsansichten einer lichtempfindlichen Vorrichtung, wie eines Germanium-basierten Sensors mit Sperrschicht Feldeffekttransistor, zum Teil oder in ihrer Gesamtheit, in verschiedenen Fertigungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 4 ist eine schematische Draufsicht und eine schematische Querschnittsansicht einer lichtempfindlichen Vorrichtung, wie der lichtempfindlichen Vorrichtung von 3A-3J, zum Teil oder in ihrer Gesamtheit, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 5 ist eine schematische Querschnittsansicht einer lichtempfindlichen Vorrichtung, wie eines Germanium-basierten Sensors mit Sperrschicht Feldeffekttransistor, zum Teil oder in ihrer Gesamtheit, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 6 ist eine schematische Querschnittsansicht einer lichtempfindlichen Vorrichtung, wie eines Germanium-basierten Sensors mit Sperrschicht Feldeffekttransistor, zum Teil oder in ihrer Gesamtheit, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 7 ist ein Ablaufdiagramm eines Verfahrens zum Fertigen einer lichtempfindlichen Vorrichtung, wie der lichtempfindlichen Vorrichtungen, die in 1A-1J, 2, 3A-3J, 4, 5, und 6 gezeigt sind, zum Teil oder in ihrer Gesamtheit, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft im Allgemeinen lichtempfindliche Vorrichtungen und insbesondere Germanium-basierte lichtempfindliche Vorrichtungen und Verfahren zu deren Fertigung.
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt einschränkend zu sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen enthalten, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen enthalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, sodass das erste und das zweite Merkmal nicht in direktem Kontakt sein könnten. Zusätzlich werden räumlich relative Ausdrücke wie zum Beispiel „unterer“, „oberer“, „horizontaler“, „vertikaler“, „oberhalb“, „über“, „unterliegend“, „unterhalb“, „aufwärts“, „abwärts“, „oben“, „unten“ usw. wie auch Ableitungen davon (z.B. „horizontal“, „nach unten“, „nach oben“ usw.) zur Erleichterung der vorliegenden Offenbarung einer Beziehung eines Merkmals zu einem anderen Merkmal verwendet. Die räumlich relativen Ausdrücke sind beabsichtigt, verschiedene Ausrichtungen der Vorrichtung abzudecken, die die Merkmale aufweist. Darüber hinaus, wenn eine Zahl oder ein Bereich von Zahlen mit „etwa“, „annähernd“ und dergleichen beschrieben ist, soll der Begriff Zahlen umfassen, die innerhalb eines angemessenen Bereichs liegen, unter Berücksichtigung von Variationen, die an sich während Herstellung entstehen, wie einem Durchschnittsfachmann bekannt ist. Zum Beispiel umfasst die Zahl oder der Bereich von Zahlen einen angemessenen Bereich, der die beschriebene Zahl enthält, wie innerhalb von +/-10% der beschriebenen Zahl, basierend auf bekannten Herstellungstoleranzen, die mit der Herstellung eines Merkmal mit einer Eigenschaft verbunden sind, die mit der Zahl verbunden ist. Zum Beispiel kann eine Materialschicht mit einer Dicke von „etwa 5 nm“ einen Abmessungsbereich von 4,5 nm bis 5,5 nm aufweisen, wobei dem Durchschnittsfachmann bekannt ist, dass Herstellungstoleranzen, die mit Abscheiden der Materialschicht verbunden sind, +/-10% betragen. Ferner können Bezugszeichen in den verschiedenen Beispielen der vorliegenden Offenbarung wiederholt werden. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und schreibt nicht grundsätzlich eine Beziehung zwischen den unterschiedlichen besprochenen Ausführungsformen und/oder Einrichtungen vor.
  • Die vorliegende Offenbarung stellt Germanium-basierte lichtempfindliche Vorrichtungen und Verfahren zu deren Fertigung bereit. Die offenbarten Germanium-basierten lichtempfindlichen Vorrichtungen können Verluststrom und/oder Dunkelstrom von Germaniumfotodioden verringern, optischen Füllfaktor verbessern, Umwandlungsgewinn verbessern und/oder Rauschen verringern. Ein beispielhafter Germanium-basierter Sensor weist eine Germaniumfotodiode und einen Sperrschicht-Feldeffekttransistor (JFET), der aus einer Germaniumschicht gebildet ist, die in manchen Ausführungsformen in einem Siliziumsubstrat oder in manchen Ausführungsformen auf einem Siliziumsubstrat angeordnet ist, auf. Eine dotierte Siliziumschicht, die durch In-situ-Dotieren von epitaktisch aufgewachsenem Silizium gebildet werden kann, ist zwischen der Germaniumschicht und dem Siliziumsubstrat angeordnet. In Ausführungsformen, wo sich die Germaniumschicht auf dem Siliziumsubstrat befindet, ist die dotierte Siliziumschicht zwischen der Germaniumschicht und einer Oxidschicht angeordnet. Der JFET weist ein dotiertes Polysilizium-Gate auf und in manchen Ausführungsformen ist ein Gate-Diffusionsgebiet in der Germaniumschicht unter dem dotierten Polysilizium-Gate angeordnet. In manchen Ausführungsformen ist eine gepinnte Fotodiodenpassivierungsschicht in der Germaniumschicht angeordnet. In manchen Ausführungsformen ist ein paardotiertes Gebietspaar in der Germaniumschicht als eine e-Linse des Germanium-basierten Sensors eingerichtet. Die offenbarten Germanium-basierten lichtempfindlichen Vorrichtungen können in indirekten Time-of-Flight-Anwendungen (iTOF-Anwendungen) implementiert sein. Zum Beispiel können die beispielhaften Germanium-basierten Sensoren ein TOF-Sensor sein, der in TOF-Anwendungen verwendet wird. Einzelheiten von Ausführungsformen der vorliegenden Offenbarung sind in der Folge beschrieben.
  • 1A-1J sind schematische Querschnittsansichten einer lichtempfindlichen Vorrichtung 100, zum Teil oder in ihrer Gesamtheit, in verschiedenen Fertigungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 1A-1J wurden der Klarheit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in der lichtempfindlichen Vorrichtung 100 hinzugefügt werden und manche der beschriebenen Merkmale können in anderen Ausführungsformen der lichtempfindlichen Vorrichtung 100 ersetzt, modifiziert oder eliminiert sein.
  • Unter Bezugnahme auf 1A beginnt die Fertigung mit Bilden eines Siliziumhohlraums in einem Siliziumsubstrat in einem Vorrichtungsgebiet einer lichtempfindlichen Vorrichtung. Zum Beispiel weist lichtempfindliche Vorrichtung 100 ein Vorrichtungsgebiet 102A und ein Vorrichtungsgebiet 102B auf und Fertigung kann mit Empfangen eines Siliziumsubstrats (Wafer) 105, Bilden einer strukturierten Oxidschicht 110 über dem Siliziumsubstrat 105 und Bilden von Hohlräumen 115 (auch als Gräben oder Vertiefungen bezeichnet) in dem Siliziumsubstrat 105 in Vorrichtungsgebiet 102A und Vorrichtungsgebiet 102B unter Verwendung einer strukturierten Oxidschicht 110 als eine Ätzmaske beginnen. In manchen Ausführungsformen wird die strukturierte Oxidschicht 110 durch Abscheiden einer Oxidschicht über Siliziumsubstrat 105, Durchführen eines Lithografieprozesses zur Bildung einer strukturierten Fotolackschicht über der Oxidschicht und Durchführen eines Ätzprozesses zum Überführen einer Struktur, die in der strukturierten Fotolackschicht gebildet ist, auf die Oxidschicht gebildet, wodurch die strukturierte Oxidschicht 110 gebildet wird. Die strukturierte Oxidschicht 110 weist einen Oxidschichtabschnitt 110A, einen Oxidschichtabschnitt 110B und einen Oxidschichtabschnitt 110C auf, wo eine Öffnung 112A, die das Siliziumsubstrat 105 freilegt, durch Oxidschichtabschnitt 110A und Oxidschichtabschnitt 110B gebildet wird, und eine Öffnung 112B, die das Siliziumsubstrat 105 freilegt, durch Oxidschichtabschnitt 110B und Oxidschichtabschnitt 110C gebildet wird. Die strukturierte Oxidschicht 110 weist eine Dicke t1 auf. In manchen Ausführungsformen ist Dicke t1 etwa 50 nm bis etwa 90 nm. Der Lithografieprozess kann Bilden einer Fotolackschicht auf der Oxidschicht (zum Beispiel durch Rotationsbeschichtung), Durchführen eines Backprozesses vor Belichtung, Durchführen eines Belichtungsprozesses unter Verwendung einer Maske, Durchführen eines Backprozesses nach Belichtung und Durchführen eines Entwicklungsprozesses umfassen. Während des Belichtungsprozesses wird die Fotolackschicht Strahlungsenergie (wie Ultraviolettlicht (UV-Licht), tiefes UV-Licht (DUV-Licht) oder extremes UV-Licht (EUV-Licht)) ausgesetzt, wo die Maske Strahlung blockiert, zu der Fotolackschicht durchlässt und/oder reflektiert, abhängig von einer Maskenstruktur der Maske und/oder der Maskenart (zum Beispiel binäre Maske, Phasenverschiebungsmaske oder EUV-Maske), sodass ein Bild auf die Fotolackschicht projiziert wird, das der Maskenstruktur entspricht. Da die Fotolackschicht für Strahlungsenergie empfindlich ist, ändern sich belichtete Abschnitte der Fotolackschicht chemisch und belichtete (oder nicht belichtete) Abschnitte der Fotolackschicht werden während des Entwicklungsprozesses aufgelöst, abhängig von Eigenschaften der Fotolackschicht und Eigenschaften einer Entwicklungslösung, die im Entwicklungsprozess verwendet wird. Nach Entwicklung weist die strukturierte Fotolackschicht eine Fotolackstruktur auf, die der Maske entspricht. Der Ätzprozess verwendet die strukturierte Fotolackschicht als eine Ätzmaske zur Entfernung belichteter Abschnitte der Oxidschicht, wodurch Öffnung 112A und Öffnung 112B gebildet werden, die sich durch die Oxidschicht erstrecken und Siliziumsubstrat 105 freilegen. Der Ätzprozess kann einen Trockenätzprozess, einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon umfassen. Nach dem Ätzprozess kann die strukturierte Fotolackschicht entfernt werden, zum Beispiel durch einen Fotolack-Stripping-Prozess. In manchen Ausführungsformen wird die strukturierte Fotolackschicht während des Ätzens der Oxidschicht und/oder während des Ätzens des Siliziumsubstrats 105 vollständig oder teilweise entfernt. In manchen Ausführungsformen kann der Belichtungsprozess maskenlose Lithografie, Elektronenstrahlschreiben und/oder Ionenstrahlschreiben implementieren.
  • Dann wird ein Ätzprozess unter Verwendung der strukturierten Oxidschicht 110 als eine Ätzmaske durchgeführt, um Hohlräume 115 im Siliziumsubstrat 105 zu bilden. Zum Beispiel werden Abschnitte des Siliziumsubstrats 105, die durch Öffnung 112A und Öffnung 112B der strukturierten Oxidschicht 110 freiliegen, durch den Ätzprozess entfernt, wodurch Hohlräume 115 gebildet werden, die Böden und Seitenwände aufweisen, die durch Siliziumsubstrat 105 gebildet sind. Hohlräume 115 weisen eine Tiefe D und eine Breite W auf. In manchen Ausführungsformen ist Tiefe D etwa 900 nm bis etwa 1.500 nm. In manchen Ausführungsformen ist Breite W etwa 2.000 nm bis etwa 10.000 nm. In manchen Ausführungsformen ist der Ätzprozess eingerichtet, selektiv Siliziumsubstrat 105 in Bezug auf die strukturierte Oxidschicht 110 zu entfernen. Mit anderen Worten, der Ätzprozess entfernt im Wesentlichen Siliziumsubstrat 105, entfernt aber nicht oder entfernt im Wesentlichen nicht die strukturierte Oxidschicht 110. Zum Beispiel wird ein Ätzmittel für den Ätzprozess gewählt, das Silizium (d.h. Siliziumsubstrat 105) bei einer höheren Rate als Siliziumoxid (d.h. strukturierte Oxidschicht 110) ätzt (d.h. das Ätzmittel weist eine hohe Ätzselektivität in Bezug auf Silizium auf). Der Ätzprozess ist ein Trockenätzprozess, ein Nassätzprozess, ein anderer geeigneter Ätzprozess oder Kombinationen davon.
  • Unter Bezugnahme auf 1B wird eine dotierte Siliziumschicht in den Siliziumhohlräumen gebildet und füllt diese teilweise. Zum Beispiel werden dotierte Siliziumschichten 120 in Hohlräumen 115 gebildet und füllen diese teilweise. Dotierte Siliziumschichten 120 enthalten n-Dotierstoff (z.B. Phosphor, Arsen, einen anderen n-Dotierstoff oder Kombinationen davon), p-Dotierstoff (z.B. Bor, Indium, anderen p-Dotierstoff oder Kombinationen davon) oder Kombinationen davon. Eine Dotierstoffkonzentration der dotierten Siliziumschichten 120 ist größer als eine Dotierstoffkonzentration des Siliziumsubstrats 105. In manchen Ausführungsformen weisen dotierte Siliziumschichten 120 eine Dotierstoffkonzentration von etwa 5 × 1016 Atome/cm3 (cm-3) bis etwa 5 × 1018 cm-3 auf. In manchen Ausführungsformen weist das Siliziumsubstrat 105 eine Dotierstoffkonzentration auf, die kleiner als etwa 1 × 1015 cm-3 ist. In manchen Ausführungsformen weisen dotierte Siliziumschichten 120 n-Dotierstoff wie Phosphor auf und können als n-dotierte Siliziumschichten (z.B. Si:P-Schichten oder Si:C:P-Schichten) bezeichnet werden. In manchen Ausführungsformen weisen dotierte Siliziumschichten 120 p-Dotierstoff wie Bor auf und können als p-dotierte Siliziumschichten (z.B. Si:B-Schichten) bezeichnet werden. Dotierte Siliziumschichten 120 sind entlang Böden und Seitenwänden von Hohlräumen 115 angeordnet und bedecken diese. Eine Dicke t2 von dotierten Siliziumschichten 120 entlang Böden von Hohlräumen 115 ist kleiner als die Tiefe D von Hohlräumen 115 und eine Gesamtdicke von dotierten Siliziumschichten 120 entlang Seitenwänden von Hohlräumen 115 (d.h. eine Summe einer Dicke t3 entlang einer ersten Seitenwand eines entsprechenden Siliziumhohlraums 115 und einer Dicke t4 entlang einer zweiten Seitenwand eines entsprechenden Siliziumhohlraums) ist kleiner als Breite W von Hohlräumen 115. In manchen Ausführungsformen sind Dicke t2, Dicke t3 und Dicke t4 im Wesentlichen dieselbe, sodass dotierte Siliziumschichten 120 konforme Schichten sind (d.h. eine Schicht, die eine im Wesentlichen gleichförmige Dicke über verschiedene Oberflächen aufweist). In manchen Ausführungsformen unterscheidet sich Dicke t2 von Dicke t3 und/oder Dicke t4. In manchen Ausführungsformen ist Dicke t3 im Wesentlichen dieselbe wie Dicke t4. In manchen Ausführungsformen unterscheidet sich Dicke t3 von Dicke t4. In manchen Ausführungsformen sind Dicke t2, Dicke t3 und/oder Dicke t4 etwa 10 nm bis etwa 100 nm. In der gezeigten Ausführungsform sind dotierte Siliziumschichten 120 im Wesentlichen u-förmig. Dotierte Siliziumschichten 120 können unterschiedliche Formen aufweisen, abhängig von einem Profil von Hohlräumen 115.
  • In manchen Ausführungsformen werden dotierte Siliziumschichten 120 durch einen Abscheidungsprozess gebildet, der selektiv Silizium auf Siliziumsubstrat 105 aufwachsen lässt, ohne Silizium auf der strukturierten Oxidschicht 110 aufwachsen zu lassen. Zum Beispiel werden dotierte Siliziumschichten 120 durch epitaktisches Aufwachsen von Silizium von dem Siliziumsubstrat 105 gebildet. Ein Epitaxieprozess zum Bilden dotierter Siliziumschichten 120 kann chemische Dampfphasenabscheidung-Abscheidungstechniken (CVD-Abscheidungstechniken) (zum Beispiel Dampfphasenepitaxie (VPE), Ultrahochvakuum-CVD (UHV-CVD), Niederdruck-CVD (LPCVD) und/oder plasmaverstärkte CVD (PECVD)), Molekularstrahlepitaxie, andere geeignete selektive, epitaktische Wachstumsprozesse (SEG-Prozesse) oder Kombinationen davon implementieren. Der Epitaxieprozess kann gasförmige und/oder flüssige Vorläufer verwenden, die einen Silizium-haltigen Vorläufer (zum Beispiel Silan (SiH4), Disilan (Si2H6), Trisilan (Si3H8), Dichlorsilan (DCS) (Si2H2Cl2), einen anderen geeigneten Silizium-haltigen Vorläufer oder Kombinationen davon) und einen Trägervorläufer (zum Beispiel einen Wasserstoffvorläufer (z.B. H2), einen Argonvorläufer (z.B. Ar), einen Heliumvorläufer (z.B. He), einen Stickstoffvorläufer (z.B. N2), einen Xenonvorläufer, einen anderen geeigneten inerten Vorläufer oder Kombinationen davon) enthalten. In der gezeigten Ausführungsform verwendet der Epitaxieprozess weiter einen Dotierstoffvorläufer, wie Phosphin (PH3), Arsin (AsH3), Diboran (B2H6), einen anderen geeigneten, dotierstoffhaltigen Vorläufer oder Kombinationen davon. Epitaktisch aufgewachsenes Silizium wird somit während Abscheidung dotiert (d.h. in-situ dotiert). In manchen Ausführungsformen wird epitaktisch aufgewachsenes Silizium nach Abscheidung, zum Beispiel durch einen Ionenimplantationsprozess und/oder einen Diffusionsprozess, dotiert. In manchen Ausführungsformen wird ein Reinigungsprozess und/oder ein Oberflächenbehandlungsprozess (gemeinsam als ein Reinigungsprozess bezeichnet) vor Bilden von dotierten Siliziumschichten 120 durchgeführt, um Defekte von Siliziumsubstrat 105 und/oder strukturierter Oxidschicht 110 zu entfernen, wie natives Oxid, Verunreinigungen und/oder andere Defekte auf Siliziumsubstrat 105 und/oder strukturierter Oxidschicht 110. In manchen Ausführungsformen ist der Reinigungsprozess ein Backprozess, der in einer ein Ätzmittel enthaltenden Umgebung durchgeführt wird, wo Defekte vom Siliziumsubstrat 105 und/oder der strukturierten Oxidschicht 110 während des Backprozesses entfernt (geätzt) werden. Zum Beispiel wird ein chlorbasierter Backprozess, wie ein HCl-Backprozess durchgeführt, der Oberflächenkeimbildungsstellen auf der strukturierten Oxidschicht 110 entfernen (reinigen) kann.
  • Unter Bezugnahme auf 1C wird eine Germaniumschicht in einem Rest des Siliziumhohlraums gebildet und füllt diesen. Zum Beispiel werden Germaniumschichten 130 in Resten von Hohlräumen 115 gebildet und füllen diese. Germaniumschichten 130 haben jeweils einen ersten Abschnitt, der von einer entsprechenden dotierten Siliziumschicht 120 umgeben ist, und einen zweiten Abschnitt, der über der dotierten Siliziumschicht 120 und zwischen entsprechenden Oxidschichtabschnitten der strukturierten Oxidschicht 110 angeordnet ist. Zum Beispiel sind dotierte Siliziumschichten 120 entlang Böden und Seitenwänden der ersten Abschnitte von Germaniumschichten 130 angeordnet, während die zweiten Abschnitte von Germaniumschichten 130 obere Oberflächen von Seitenwandabschnitten von dotierten Siliziumschichten 120 bedecken und Oxidschichtabschnitt 110A, Oxidschichtabschnitt 110B und/oder Oxidschichtabschnitt 110C kontaktieren. Der erste Abschnitt weist eine Dicke t5 auf, die kleiner ist als Tiefe D (z.B. Dicke t5 = Tiefe D - Dicke t2) und eine Breite, die kleiner ist als Breite W (z.B. erste Abschnittsbreite = Breite W - (Dicke t3 + Dicke t4)), und der zweite Abschnitt weist eine Dicke t6 und eine Breite auf, die etwa dieselben sind wie Breite W. In manchen Ausführungsformen ist Dicke t5 etwa 900 nm bis etwa 1.500 nm. Dicke t6 ist kleiner als Dicke ti der strukturierten Oxidschicht 110, sodass Germaniumschichten 130 Öffnung 112A und Öffnung 112B von strukturierter Oxidschicht 110 teilweise füllen, und ein Abstand d1 befindet sich zwischen oberen Oberflächen von Germaniumschichten 130 und einer oberen Oberfläche von strukturierter Oxidschicht 110. In manchen Ausführungsformen ist Dicke t6 etwa 0 nm bis etwa 10 nm. In manchen Ausführungsformen ist Abstand d1 etwa 0 nm bis etwa 10 nm. In der gezeigten Ausführungsform sind Germaniumschichten 130 reine Germaniumschichten. In manchen Ausführungsformen sind Germaniumschichten 130 undotiert (oder unbeabsichtigt dotiert (UID)) (d.h. Germaniumschichten 130 sind im Wesentlichen frei von Dotierstoff). In manchen Ausführungsformen weisen Germaniumschichten 130 eine Dotierstoffkonzentration auf, die als undotiert erachtet wird. In manchen Ausführungsformen sind Germaniumschichten 130 mit n-Dotierstoff (z.B. Phosphor), p-Dotierstoff (z.B. Bor) oder Kombinationen davondotiert.
  • In manchen Ausführungsformen werden Germaniumschichten 130 durch einen Abscheidungsprozess gebildet, der selektiv Germanium auf dotierten Siliziumschichten 120 aufwachsen lässt, ohne Germanium auf strukturierter Oxidschicht 110 aufwachsen zu lassen. Zum Beispiel werden Germaniumschichten 130 durch epitaktisches Aufwachsen von Germanium von dotierten Siliziumschichten 120 gebildet. Ein Epitaxieprozess zum Bilden von Germaniumschichten 130 kann CVD-Abscheidungstechniken (zum Beispiel VPE, UHV-CVD, LPCVD und/oder PECVD), Molekularstrahlepitaxie, andere geeignete SEG-Prozesse oder Kombinationen davon implementieren. Der Epitaxieprozess kann gasförmige und/oder flüssige Vorläufer verwenden. Zum Beispiel verwendet der Epitaxieprozess einen germaniumhaltigen Vorläufer (zum Beispiel German (GeH4), Digerman (Ge2H6), Germaniumtetrachlorid (GeCl4), Germaniumdichlorid (GeCl2), einen anderen geeigneten Germanium-haltigen Vorläufer oder Kombinationen davon) und einen Trägervorläufer (zum Beispiel einen Wasserstoffvorläufer (z.B. H2), einen Argonvorläufer (z.B. Ar), einen Heliumvorläufer (z.B. He), einen Stickstoffvorläufer (z.B. N2), einen Xenonvorläufer, einen anderen geeigneten inerten Vorläufer oder Kombinationen davon). Der Epitaxieprozess wird durchgeführt, bis sich epitaktisch aufgewachsenes Germanium zwischen entsprechenden Oxidschichtabschnitten von strukturierter Oxidschicht 110 erstreckt und obere Oberflächen von Seitenwandabschnitten von dotierten Siliziumschichten 120 bedeckt. In manchen Ausführungsformen wird der Epitaxieprozess durchgeführt, bis epitaktisch aufgewachsenes Germanium Öffnung 112A und Öffnung 112B füllt und sich in manchen Ausführungsformen über eine Distanz über der oberen Oberfläche von strukturierter Oxidschicht 110 erstreckt. Ein Planarisierungsprozess, wie ein chemisch-mechanisches Polieren (CMP), kann durchgeführt werden, um Abschnitte von epitaktisch aufgewachsenem Germanium zu entfernen, die sich oberhalb und/oder über der oberen Oberfläche von strukturierter Oxidschicht 110 erstrecken, wo die strukturierte Oxidschicht 110 als ein Planarisierungsstopp dienen kann (d.h. der Planarisierungsprozess stoppt, sobald die strukturierte Oxidschicht 110 erreicht ist). In manchen Ausführungsformen sind die obere Oberfläche von strukturierter Oxidschicht 110 und oberen Oberflächen von Germaniumschichten 130 nach dem Planarisierungsprozess im Wesentlichen planar. Ein Rückätzprozess kann auf Germaniumschichten 130 durchgeführt werden, um die oberen Oberflächen von Germaniumschichten 130 mit Abstand d1 von der oberen Oberfläche von strukturierter Oxidschicht 110, zu vertiefen. In manchen Ausführungsformen vertieft der Planarisierungsprozess das epitaktisch aufgewachsene Germanium relativ zu der oberen Oberfläche von strukturierter Oxidschicht 110, sodass sich die oberen Oberflächen von Germaniumschichten 130 nach dem Planarisierungsprozess mit Abstand d1 unter der oberen Oberfläche von strukturierter Oxidschicht 110 befinden. In solchen Ausführungsformen kann ein zusätzlicher Rückätzprozess unnötig sein. In Ausführungsformen, wo Germaniumschichten 130 dotiert sind, kann der Epitaxieprozess einen Dotierstoffvorläufer verwenden, wie die hier beschriebenen, um das epitaktisch aufgewachsene Germanium in-situ zu dotieren. In manchen Ausführungsformen wird das epitaktisch aufgewachsene Germanium nach Abscheidung dotiert, zum Beispiel durch einen Ionenimplantationsprozess und/oder einen Diffusionsprozess. In manchen Ausführungsformen wird ein Reinigungsprozess vor Bilden von Germaniumschichten 130 durchgeführt, um Defekte von dotierten Siliziumschichten 120 und/oder strukturierter Oxidschicht 110 zu entfernen, wie eines nativen Oxids, von Verunreinigungen und/oder anderen Defekten auf dotierten Siliziumschichten 120 und/oder strukturierter Oxidschicht 110. In manchen Ausführungsformen ist der Reinigungsprozess ein Backprozess, wie hier beschrieben.
  • Unter Bezugnahme auf 1D wird eine undotierte (oder UID) Deckschicht über der Germaniumschicht gebildet. Zum Beispiel werden Deckschichten 135 über Germaniumschichten 130 gebildet. In der gezeigten Ausführungsform sind Deckschichten 135 undotierte Siliziumschichten (d.h. Siliziumschichten, die im Wesentlichen frei von Dotierstoff, wie n-Dotierstoff (z.B. Phosphor) oder p-Dotierstoff (z.B. Bor) sind). In manchen Ausführungsformen Deckschichten 135 mit einer Dotierstoffkonzentration, die als undotiert erachtet wird. Deckschichten 135 füllen Reste von Öffnungen in strukturierter Oxidschicht 110, wie Öffnung 112A und Öffnung 112B. In der gezeigten Ausführungsform ist eine erste von Deckschichten 135 zwischen Oxidschichtabschnitt 110A und Oxidschichtabschnitt 110B angeordnet und eine zweite von Deckschichten 135 ist zwischen Oxidschichtabschnitt 110B und Oxidschichtabschnitt 110C angeordnet. Deckschichten 135 weisen eine Dicke t7 auf, die kleiner als Dicke ti von strukturierter Oxidschicht 110 ist, und eine Breite, die etwa dieselbe wie Breite W ist. In manchen Ausführungsformen ist Dicke t7 etwa 10 nm bis etwa 50 nm. In manchen Ausführungsformen ist Dicke t7 im Wesentlichen gleich Abstand d1. In manchen Ausführungsformen werden Deckschichten 135 durch einen Abscheidungsprozess gebildet, der selektiv Silizium auf Germaniumschichten 130 aufwachsen lässt, ohne Silizium auf strukturierter Oxidschicht 110 aufwachsen zu lassen. Zum Beispiel werden Deckschichten 135 durch epitaktisches Aufwachsen von Silizium von Germaniumschichten 130 gebildet. Ein Epitaxieprozess zum Bilden von Deckschichten 135 kann CVD-Abscheidungstechniken (zum Beispiel VPE, UHV-CVD, LPCVD und/oder PECVD), Molekularstrahlepitaxie, andere geeignete SEG-Prozesse oder Kombinationen davon implementieren. Der Epitaxieprozess kann gasförmige und/oder flüssige Vorläufer verwenden, wie einen Silizium-haltigen Vorläufer und einen Trägervorläufer, wie die hier beschriebenen. Der Epitaxieprozess wird durchgeführt, bis epitaktisch aufgewachsenes Silizium Öffnung 212A und Öffnung 212B von strukturierter Oxidschicht 110 füllt. In manchen Ausführungsformen kann das epitaktisch aufgewachsene Silizium Öffnung 212A und Öffnung 212B überfüllen, sodass sich das epitaktisch aufgewachsene Silizium über die obere Oberfläche von strukturierter Oxidschicht 110 erstreckt. In solchen Ausführungsformen kann ein Planarisierungsprozess, wie CMP, durchgeführt werden, um Abschnitte von epitaktisch aufgewachsenem Silizium zu entfernen, die sich oberhalb und/oder über der oberen Oberfläche von strukturierter Oxidschicht 110 erstrecken, wo die strukturierte Oxidschicht 110 als ein Planarisierungsstopp dienen kann. In manchen Ausführungsformen sind die obere Oberfläche von strukturierter Oxidschicht 110 und oberen Oberflächen von Deckschichten 135 nach dem Planarisierungsprozess im Wesentlichen planar. In manchen Ausführungsformen werden Deckschichten 135 durch Abscheiden einer undotierten Halbleiterschicht über strukturierter Oxidschicht 110, dotierten Siliziumschichten 120 und Germaniumschichten 130, wo die undotierte Halbleiterschicht Reste von Öffnung 112A und Öffnung 112B füllt, und dann Durchführen eines Planarisierungsprozesses, um die undotierte Halbleiterschicht von über der oberen Oberfläche von strukturierter Oxidschicht 110 zu entfernen, gebildet. In manchen Ausführungsformen wird ein Reinigungsprozess vor Bilden von Deckschichten 135 durchgeführt, um Defekte von Germaniumschichten 130 und/oder strukturierter Oxidschicht 110 zu entfernen, wie eines nativen Oxids, von Verunreinigungen und/oder anderen Defekten auf Germaniumschichten 130 und/oder strukturierter Oxidschicht 110. In manchen Ausführungsformen ist der Reinigungsprozess ein Backprozess, wie die hier beschriebenen.
  • Unter Bezugnahme auf 1E wird eine Oxidschicht über der lichtempfindlichen Vorrichtung gebildet. Zum Beispiel wird eine Oxidschicht 140 über lichtempfindlicher Vorrichtung 100 gebildet, sodass Oxidschicht 140 Vorrichtungsgebiet 102A und Vorrichtungsgebiet 102B bedeckt. In der gezeigten Ausführungsform bedeckt Oxidschicht 140 die strukturierte Oxidschicht 110 und Deckschichten 135. Oxidschicht 140 enthält Sauerstoff und in manchen Ausführungsformen einen anderen geeigneten Bestandteil. Zum Beispiel kann Oxidschicht 140 Silizium und Sauerstoff (z.B. Si02) enthalten und als eine Siliziumoxidschicht bezeichnet werden. Oxidschicht 140 weist eine Dicke t8 auf. In manchen Ausführungsformen ist Dicke t8 etwa 10 nm bis etwa 20 nm. Ein geeigneter Abscheidungsprozess wird zum Bilden von Oxidschicht 140 implementiert, wie CVD, physikalische Dampfphasenabscheidung (PVD), Atomlagenabscheidung (ALD), CVD mit hochdichtem Plasma (HDPCVD), metallorganische CVD (MOCVD), Fernplasma-CVD (RPCVD), rasche thermische CVD (RTCVD), PECVD, plasmaverstärkte ALD (PEALD), LPCVD, Atomlagen-CVD (ALCVD), Atmosphärendruck-CVD (APCVD), andere geeignete Verfahren oder Kombinationen davon. In der gezeigten Ausführungsform wird Oxidschicht 140 durch CVD über lichtempfindlicher Vorrichtung 100 gebildet.
  • Unter Bezugnahme auf 1F werden verschiedene dotierte Gebiete in der undotierten Deckschicht und/oder der Germaniumschicht des Vorrichtungsgebiets der lichtempfindlichen Vorrichtung gebildet. Zum Beispiel werden ein Paar von mit einem ersten Typ dotierten Gebieten 145, ein Paar von mit einem ersten Typ dotierten Gebieten 150, ein mit einem zweiten Typ dotiertes Gebiet 155 und ein mit einem ersten Typ dotiertes Gebiet 160 in einer entsprechenden Deckschicht 135 und/oder einer entsprechenden Germaniumschicht 130 von Vorrichtungsgebiet 102A und Vorrichtungsgebiet 102B gebildet. In der gezeigten Ausführungsform werden mit einem ersten Typ dotierte Gebiete 145 und mit einem ersten Typ dotierte Gebiete 160 in Deckschichten und Germaniumschichten 130 gebildet, während mit einem ersten Typ dotierte Gebiete 150 und mit einem zweiten Typ dotierte Gebiete 155 in Germaniumschichten 130 gebildet werden. Mit einem ersten Typ dotierte Gebiete 145, mit einem ersten Typ dotierte Gebiete 150 und mit einem ersten Typ dotierte Gebiete 160 enthalten einen Dotierstoff vom ersten Typ. Mit einem zweiten Typ dotierte Gebiete 155 enthalten einen Dotierstoff vom zweiten Typ, der sich von dem Dotierstoff vom ersten Typ unterscheidet. Daher enthalten mit einem ersten Typ dotierte Gebiete 145 mit einem ersten Typ dotierte Siliziumabschnitte (d.h. Abschnitte von Deckschichten 135) und mit einem ersten Typ dotierte Germaniumabschnitte (d.h. Abschnitte von Germaniumschichten 130), mit einem ersten Typ dotierte Gebiete 150 enthalten mit einem ersten Typ dotierte Germaniumabschnitte (d.h. Abschnitte von Germaniumschichten 130), mit einem zweiten Typ dotierte Gebiete 155 enthalten mit einem zweiten Typ dotierte Germaniumabschnitte (d.h. Abschnitte von Germaniumschichten 130) und mit einem ersten Typ dotierte Gebiete 160 enthalten mit einem ersten Typ dotierte Siliziumabschnitte (d.h. Abschnitte von Deckschichten 135) und mit einem ersten Typ dotierte Germaniumabschnitte (d.h. Abschnitte von Germaniumschichten 130). In manchen Ausführungsformen ist der Dotierstoff vom ersten Typ ein n-Dotierstoff (z.B. Phosphor) und der Dotierstoff vom zweiten Typ ist ein p-Dotierstoff (z.B. Bor). In solchen Ausführungsformen sind mit einem ersten Typ dotierte Gebiete 145, mit einem ersten Typ dotierte Gebiete 150 und mit einem ersten Typ dotierte Gebiete 160 n-dotierte Gebiete und mit einem zweiten Typ dotierte Gebiete 155 p-dotierte Gebiete. Weiter können in solchen Ausführungsformen mit einem ersten Typ dotierte Gebiete 145 als Germanium n-Wannen (GNWs) bezeichnet werden und mit einem ersten Typ dotierte Gebiete 150 können als tiefe Germanium-n-Wannen (DGNWs) bezeichnet werden. In manchen Ausführungsformen ist der Dotierstoff vom ersten Typ ein p-Dotierstoff und der Dotierstoff vom zweiten Typ ist ein n-Dotierstoff. In solchen Ausführungsformen sind mit einem ersten Typ dotierte Gebiete 145, mit einem ersten Typ dotierte Gebiete 150 und mit einem ersten Typ dotierte Gebiete 160 p-dotierte Gebiete und mit einem zweiten Typ dotierte Gebiete 155 sind n-dotierte Gebiete. Weiter können in solchen Ausführungsformen mit einem ersten Typ dotierte Gebiete 145 als Germanium-p-Wannen (GPWs) bezeichnet werden und mit einem ersten Typ dotierte Gebiete 150 können als tiefe Germanium-p-Wannen (DGPWs) bezeichnet werden. Eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 150 ist größer als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 145 und kleiner als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 160. Eine Dotierstoffkonzentration von mit einem zweiten Typ dotierten Gebieten 155 ist kleiner als von mit einem ersten Typ dotierten Gebieten 160, größer als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 145 und größer als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 150. Eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 145 ist kleiner als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 160. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 145 eine Dotierstoffkonzentration von etwa 1 × 1014 cm-3 bis etwa 9 × 1015 cm-3 auf. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 150 eine Dotierstoffkonzentration von etwa 1 × 1017 cm-3 bis etwa 9 × 1018 cm-3 auf. In manchen Ausführungsformen weisen mit einem zweiten Typ dotierte Gebiete 155 eine Dotierstoffkonzentration von etwa 1 × 1017 cm-3 bis etwa 9 × 1018 cm-3 auf. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 160 eine Dotierstoffkonzentration von etwa 1 × 1019 cm-3 bis etwa 9 × 1020 cm-3 auf.
  • Mit einem ersten Typ dotierte Gebiete 145 erstrecken sich von oberen Oberflächen von Deckschichten 135 zu einer Tiefe Di in Germaniumschichten 130. Mit einem ersten Typ dotierte Gebiete 150 sind in Germaniumschichten 130 bei einer Tiefe D2 angeordnet, die sich von Tiefe D2 zu Tiefe D1 (die größer als D2 ist) in Germaniumschicht 130 erstreckt. Mit einem zweiten Typ dotierte Gebiete 155 sind in Germaniumschichten 130 bei einer Tiefe D3 angeordnet, die sich von Tiefe D3 zu Tiefe D2 (die größer als Tiefe D3 ist) in Germaniumschicht 130 erstreckt. Mit einem ersten Typ dotierte Gebiete 160 erstrecken sich von oberen Oberflächen von Deckschichten 135 zu Tiefe D3 in Germaniumschichten 130. Tiefe D1, Tiefe D2 und Tiefe D3 werden von oberen Oberflächen von Germaniumschichten 130 gemessen. In manchen Ausführungsformen ist Tiefe D1 etwa 100 nm bis etwa 200 nm. In manchen Ausführungsformen ist Tiefe D2 etwa 60 nm bis etwa 90 nm. In manchen Ausführungsformen ist Tiefe D3 etwa 10 nm bis etwa 20 nm. Mit einem ersten Typ dotierte Gebiete 160 sind über mit einem zweiten Typ dotierten Gebieten 155 angeordnet, wo p-n-Übergänge durch Grenzflächen zwischen mit einem ersten Typ dotierten Gebieten 160 und mit einem zweiten Typ dotiertem Gebiet 155 gebildet sind. Mit einem zweiten Typ dotierte Gebiete 155 sind weiter zwischen mit einem ersten Typ dotierten Gebieten 145 angeordnet, wo p-n-Übergänge durch Grenzflächen zwischen mit einem zweiten Typ dotierten Gebieten 155 und mit einem ersten Typ dotierten Gebieten 145 gebildet sind. Mit einem ersten Typ dotierte Gebiete 160 sind auch zwischen mit einem ersten Typ dotierten Gebieten 145 angeordnet, wo Grenzflächen zwischen mit einem ersten Typ dotierten Gebieten 160 und mit einem ersten Typ dotierten Gebieten 145 gebildet sind. Mit einem ersten Typ dotierte Gebiete 150 erstrecken sich unter mit einem zweiten Typ dotierten Gebieten 155, wo p-n-Übergänge durch Grenzflächen zwischen mit einem ersten Typ dotierten Gebieten 150 und mit einem zweiten Typ dotierten Gebieten 155 gebildet sind. Mit einem ersten Typ dotierte Gebiete 145 sind entlang dotierten Siliziumschichten 120 angeordnet und überlappen eine gesamte Breite von mit einem ersten Typ dotierten Gebieten 150. In manchen Ausführungsformen erstrecken sich mit einem ersten Typ dotierte Gebiete 145 zu einer Tiefe in Germaniumschichten 130, die kleiner als Tiefe D1 ist, sodass mit einem ersten Typ dotierte Gebiete 145 teilweise mit einem ersten Typ dotierte Gebiete 150 entlang ihrer Breite überlappen. Mit einem ersten Typ dotierte Gebiete 145 weisen eine Dicke t9 und eine Breite W1 auf, mit einem ersten Typ dotierte Gebiete 150 weisen eine Dicke t10 und eine Breite W2 auf, mit einem zweiten Typ dotierte Gebiete 155 weisen eine Dicke t11 und eine Breite W3 auf und mit einem zweiten Typ dotierte Gebiete 160 weisen eine Dicke t12 und Breite W3 auf. Mit einem ersten Typ dotierte Gebiete 145, die in einer entsprechenden Germaniumschicht 130 angeordnet sind, sind durch einen Abstand S1 getrennt (der in der gezeigten Ausführungsform im Wesentlichen gleich Breite W3 ist) und mit einem ersten Typ dotierte Gebiete 150, die in der entsprechenden Germaniumschicht 130 angeordnet sind, sind durch einen Abstand S2 getrennt (der in der gezeigten Ausführungsform kleiner als Breite W3 ist). In manchen Ausführungsformen ist Dicke t9 etwa 85 nm bis etwa 200 nm. In manchen Ausführungsformen ist Dicke t10 etwa 20 nm bis etwa 30 nm. In manchen Ausführungsformen ist Dicke t11 etwa 60 nm bis etwa 150 nm. In manchen Ausführungsformen ist Dicke t12 etwa 5 nm bis etwa 20 nm. In manchen Ausführungsformen ist Breite W1 etwa 400 nm bis etwa 1.500 nm. In manchen Ausführungsformen ist Breite W2 etwa 800 nm bis etwa 2500 nm. In manchen Ausführungsformen ist Breite W3 etwa 3000 nm bis etwa 5.000 nm. In manchen Ausführungsformen ist Dicke t9 eine Summe von Dicke t7 und Tiefe Di, Dicke t10 ist eine Differenz von Tiefe D1 und Tiefe D2, Dicke t11 ist eine Differenz von Tiefe D2 und Tiefe D3 und/oder Dicke t12 ist eine Summe von Dicke t7 und Tiefe D3.
  • Die verschiedenen dotierten Gebiete können in Deckschichten 135 und/oder Germaniumschichten 130 durch Lithografieprozesse, wie die hier beschriebenen, und Implantationsprozesse gebildet werden. Zum Beispiel kann Bilden der verschiedenen dotierten Gebiete Durchführen eines ersten Lithografieprozesses zur Bildung einer ersten Implantationsmaske, die erste Flächen von Germaniumschichten 130 freilegt, und Durchführen eines ersten Implantationsprozesses unter Verwendung der ersten Implantationsmaske, um Dotierstoff vom ersten Typ in die erste Flächen von Germaniumschichten 130 einzubringen, um mit einem ersten Typ dotierte Gebiete 145 zu bilden; Durchführen eines zweiten Lithografieprozesses, um eine zweite Implantationsmaske zu bilden, die zweite Flächen von Germaniumschichten 130 freilegt (die die ersten Flächen teilweise überlappen können) und Durchführen eines zweiten Implantationsprozesses unter Verwendung der zweiten Implantationsmaske, um Dotierstoff vom ersten Typ in die zweiten Flächen von Germaniumschichten 130 einzubringen, um mit einem zweiten Typ dotierte Gebiete 150 zu bilden; Durchführen eines dritten Lithografieprozesses, um eine dritte Implantationsmaske zu bilden, die eine dritte Fläche von Germaniumschichten 130 freilegt (die die zweiten Flächen teilweise überlappen und die ersten Flächen überspannen kann), und Durchführen eines dritten Implantationsprozesses unter Verwendung der dritten Implantationsmaske, um Dotierstoff vom zweiten Typ in die dritte Fläche von Germaniumschichten 130 einzubringen, um mit einem zweiten Typ dotierte Gebiete 155 zu bilden; und Durchführen eines vierten Lithografieprozesses, um eine vierte Implantationsmaske zu bilden, die eine vierte Fläche von Germaniumschichten 130 freilegt (die die gesamte dritte Fläche überlappen kann), und Durchführen eines vierten Implantationsprozesses unter Verwendung der vierten Implantationsmaske, um Dotierstoff vom ersten Typ in die vierte Fläche von Germaniumschichten 130 einzubringen, um mit einem ersten Typ dotierte Gebiete 160 zu bilden, umfassen. In manchen Ausführungsformen werden mit einem zweiten Typ dotierte Gebiete 155 und mit einem ersten Typ dotierte Gebiete 160 unter Verwendung eines einzigen Lithografieprozesses anstelle von zwei gebildet. Zum Beispiel kann der vierte Lithografieprozess weggelassen werden und sowohl der dritte Implantationsprozess als auch der vierte Implantationsprozess können die dritte Implantationsmaske verwenden, um mit einem zweiten Typ dotierte Gebiete 155 bzw. mit einem ersten Typ dotierte Gebiete zu bilden. In solchen Ausführungsformen können Parameter des Implantationsprozesses, wie Implantationsenergie, Typ des Implantationsdotierstoffs, Implantationsdosierung, Implantationswinkel und/oder ein anderer geeigneter Implantationsparameter, eingestellt werden, um mit einem zweiten Typ dotierte Gebiete 155 und mit einem ersten Typ dotierte Gebiete 160 in Germaniumschichten 130 bereitzustellen. Die vorliegende Offenbarung zieht in Betracht, dass der erste, zweite, dritte und vierte Lithografie/Implantationsprozess in beliebiger Reihenfolge durchgeführt werden. In manchen Ausführungsformen werden Parameter des ersten, zweiten, dritten und/oder vierten Implantationsprozesses, wie Implantationsenergie, Typ des Implantationsdotierstoffs, Implantationsdosierung, Implantationswinkel und/oder ein anderer geeigneter Implantationsparameter, eingestellt, um gewünschte Tiefen, gewünschte Dotierstoffkonzentrationen, gewünschte Abmessungen (z.B. Dicken und/oder Breiten) und/oder Anordnungen von mit einem ersten Typ dotierten Gebieten 145, mit einem ersten Typ dotierten Gebieten 150, mit einem zweiten Typ dotierten Gebieten 155 und/oder mit einem ersten Typ dotierten Gebieten 160 zu erreichen.
  • Unter Bezugnahme auf 1G-1J werden dotierte Polysilizium-Gates über der Germaniumschicht gebildet und mit einem ersten Typ dotierte Gebiete werden in der Germaniumschicht unter den dotierten Polysilizium-Gates durch Eigendiffusion gebildet. In 1G kann Fertigung Bilden einer strukturierten Maskenschicht 170 über Oxidschicht 140 umfassen, wo die strukturierte Maskenschicht 170 eine Gate-Öffnung 178A und eine Gate-Öffnung 178B in Vorrichtungsgebiet 102A und Vorrichtungsgebiet 102B aufweist, die Oxidschicht 140 freilegen. Gate-Öffnungen 178A und Gate-Öffnungen 178B befinden sich über mit einem zweiten Typ dotierten Gebieten 155 und mit einem ersten Typ dotierten Gebieten 160, die in Germaniumschichten 130 gebildet sind. In der gezeigten Ausführungsform weist strukturierte Maskenschicht 170 eine strukturierte dielektrische Schicht 172 und eine strukturierte Oxidschicht 175, die über der strukturierten Oxidschicht 175 angeordnet ist, auf. Strukturierte dielektrische Schicht 172 weist ein dielektrisches Material auf, das für anschließend gebildete Gate-Abstandhalter geeignet ist, wie ein dielektrisches Material, das Silizium, Sauerstoff, Kohlenstoff, Stickstoff, einen anderen geeigneten Bestandteil oder Kombinationen davon (z.B. Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbid, Siliziumcarbonitrid, Siliziumoxycarbid und/oder Siliziumoxycarbonitrid) enthält. Strukturierte Oxidschicht 175 weist ein dielektrisches Material auf, das Sauerstoff und in manchen Ausführungsformen einen anderen geeigneten Bestandteil enthält. In der gezeigten Ausführungsform weist die strukturierte dielektrische Schicht 172 Silizium und Stickstoff auf und kann als eine Siliziumnitridschicht bezeichnet werden und strukturierte dielektrische Schicht 175 weist Silizium und Sauerstoff auf und kann als eine Siliziumoxidschicht bezeichnet werden. Strukturierte dielektrische Schicht 172 weist eine Dicke 113 auf, die einer Dicke anschließend gebildeter Gate-Abstandhalter entspricht, und strukturierte Oxidschicht 175 weist eine Dicke 114 auf. In manchen Ausführungsformen ist Dicke 113 etwa 30 nm bis etwa 50 nm. In manchen Ausführungsformen ist Dicke t14 etwa 20 nm bis etwa 40 nm.
  • In manchen Ausführungsformen wird die strukturierte Maskenschicht 170 gebildet durch Abscheiden einer dielektrischen Schicht über Oxidschicht 140, Abscheiden einer Oxidschicht über der dielektrischen Schicht, Durchführen eines Lithografieprozesses, um eine strukturierte Fotolackschicht über der Oxidschicht zu bilden, und Durchführen eines Ätzprozesses, um eine Fotolackstruktur, die in der strukturierten Fotolackschicht gebildet ist, auf die Oxidschicht und die dielektrische Schicht zu überführen, wodurch eine strukturierte Maskenschicht 170 gebildet wird, die die strukturierte dielektrische Schicht 172 und strukturierte Oxidschicht 175 aufweist. Die dielektrische Schicht und die Oxidschicht werden durch CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, RTCVD, PECVD, PEALD, LPCVD, ALCVD, APCVD, andere geeignete Verfahren oder Kombinationen davon abgeschieden. Der Lithografieprozess kann Bilden einer Fotolackschicht auf der Oxidschicht (zum Beispiel durch Rotationsbeschichtung), Durchführen eines Backprozesses vor Belichtung, Durchführen eines Belichtungsprozesses unter Verwendung einer Maske, Durchführen eines Backprozesses nach Belichtung und Durchführen eines Entwicklungsprozesses, wie hier beschrieben umfassen. Der Ätzprozess verwendet die strukturierte Fotolackschicht als eine Ätzmaske, um freigelegte Abschnitte der Oxidschicht und der dielektrischen Schicht zu entfernen, um Gate-Öffnungen 178A und Gate-Öffnungen 178B darin zu bilden, die Stellen für anschließend gebildete Gates der lichtempfindlichen Vorrichtung 100 entsprechen. Der Ätzprozess umfasst einen Trockenätzprozess, einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon. In manchen Ausführungsformen werden Abschnitte der Oxidschicht unter Verwendung der strukturierten Fotolackschicht als eine Ätzmaske entfernt, um die strukturierte Oxidschicht 175 zu bilden, und Abschnitte der dielektrischen Schicht werden unter Verwendung der strukturierten Fotolackschicht und/oder strukturierten Oxidschicht 175 als eine Ätzmaske entfernt, um die strukturierte dielektrische Schicht 172 zu bilden. In manchen Ausführungsformen umfasst der Ätzprozess mehrere Schritte, wie einen ersten Ätzschritt, der die Oxidschicht selektiv ätzt, und einen zweiten Ätzschritt, der die dielektrische Schicht selektiv ätzt (z.B. implementieren der erste Ätzschritt und der zweite Ätzschritt unterschiedliche Ätzmittel). In manchen Ausführungsformen werden die Oxidschicht und die dielektrische Schicht unter Verwendung desselben Ätzmittels entfernt. In manchen Ausführungsformen stoppt der Ätzprozess, sobald die Oxidschicht 140 erreicht ist. In der gezeigten Ausführungsform ätzt und vertieft der Ätzprozess, beabsichtigt oder unbeabsichtigt, freigelegte Abschnitte der Oxidschicht 140 mit einem Abstand d2, was Oxidschicht 140 mit einer variierenden Dicke versieht. Zum Beispiel weisen nicht freigelegte Abschnitte von Oxidschicht 140 eine Dicke t1 auf und freigelegte Abschnitte von Oxidschicht 140 weisen eine Dicke auf, die kleiner als Dicke t1 ist (zum Beispiel Dicke von freigelegten Abschnitten von Oxidschicht 140 = Dicke t1 - Abstand d2). In manchen Ausführungsformen ist Abstand d2 etwa 0 nm bis etwa 5 nm. Nach dem Ätzprozess kann die strukturierte Fotolackschicht zum Beispiel durch einen Fotolack-Stripping-Prozess entfernt werden. In manchen Ausführungsformen wird die strukturierte Fotolackschicht während des Ätzens der Oxidschicht und/oder der dielektrischen Schicht vollständig oder teilweise entfernt.
  • In 1H kann Fertigung mit Entfernung der strukturierten Oxidschicht 175 von der lichtempfindlichen Vorrichtung 100 und Bilden einer dotierten Polysiliziumschicht 180 über der strukturierten dielektrischen Schicht 172 fortfahren, wo die dotierte Polysiliziumschicht 180 Gate-Öffnungen 178A und Gate-Öffnungen 178B füllt. In manchen Ausführungsformen wird die strukturierte Oxidschicht 175 durch einen Ätzprozess, wie einen Trockenätzprozess, einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon entfernt. Der Ätzprozess ist eingerichtet, die strukturierte Oxidschicht 175 in Bezug auf die strukturierte dielektrische Schicht 172 selektiv zu entfernen. Mit anderen Worten, der Ätzprozess entfernt im Wesentlichen die strukturierte Oxidschicht 175, entfernt aber nicht oder entfernt im Wesentlichen nicht die strukturierte dielektrische Schicht 172. Zum Beispiel wird ein Ätzmittel für den Ätzprozess gewählt, das Siliziumoxid (d.h. strukturierte Oxidschicht 175) bei einer höheren Rate als Siliziumnitrid (d.h. strukturierte dielektrische Schicht 172) ätzt (d.h. das Ätzmittel weist eine hohe Ätzselektivität in Bezug auf Siliziumoxid auf). In der gezeigten Ausführungsform entfernt ein selektiver Nassätzprozess die strukturierte Oxidschicht 175 unter Verwendung einer verdünnten Flusssäurelösung (DHF-Lösung). In manchen Ausführungsformen entfernt ein selektiver Nassätzprozess die strukturierte Oxidschicht 175 unter Verwendung einer gepufferten Oxidätzlösung (BOE-Lösung).
  • Die dotierte Polysiliziumschicht 180 wird durch Abscheiden eines Polysiliziummaterials über der strukturierten dielektrischen Schicht 172 durch CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, RTCVD, PECVD, PEALD, LPCVD, ALCVD, APCVD, andere geeignete Verfahren oder Kombinationen davon gebildet. In der gezeigten Ausführungsform wird Dotierstoff in das Polysiliziummaterial während des Abscheidens (d.h. in-situ) eingebracht. In manchen Ausführungsformen wird der Dotierstoff in das Polysiliziummaterial nach dem Abscheiden (z.B. durch einen Implantationsprozess) eingebracht. In manchen Ausführungsformen enthält die dotierte Polysiliziumschicht 180 einen n-Dotierstoff wie Phosphor und kann als eine n-dotierte Polysiliziumschicht bezeichnet werden. In manchen Ausführungsformen enthält die dotierte Polysiliziumschicht 180 einen p-Dotierstoff wie Bor und kann als eine p-dotierte Polysiliziumschicht bezeichnet werden. In manchen Ausführungsformen weist die dotierte Polysiliziumschicht 180 eine Dotierstoffkonzentration von etwa 1 × 1019 cm-3 bis etwa 1 × 1021 cm-3 auf. Die dotierte Polysiliziumschicht 180 bedeckt eine obere Oberfläche der strukturierten dielektrischen Schicht 172, füllt Gate-Öffnungen 178A und Gate-Öffnungen 178B und steht physisch mit Oxidschicht 140 in Kontakt. Ein Abschnitt der dotierten Polysiliziumschicht 180 über der oberen Oberfläche der strukturierten dielektrischen Schicht 172 weist eine Dicke 115 auf. In manchen Ausführungsformen ist die Dicke 115 etwa 80 nm bis etwa 120 nm. Abschnitte der dotierten Polysiliziumschicht 180, die Gate-Öffnungen 178A, 178B füllen, weisen eine Dicke auf, die kleiner als eine Summe von Dicke t13 der strukturierten dielektrischen Schicht 172 und Dicke t1 der Oxidschicht 140 ist (z.B. Dicke = Dicke t13 + Abstand d2).
  • In 11 kann Fertigung mit Durchführen eines Strukturierungsprozesses an der dotierten Polysiliziumschicht 180 und strukturierten dielektrischen Schicht 172 fortfahren, wodurch Polysilizium-Gates 180A und Polysilizium-Gates 180B von der dotierten Polysiliziumschicht 180 und Gate-Abstandhalter 184 von der strukturierten dielektrischen Schicht 172 gebildet werden. Polysilizium-Gates 180A und Polysilizium-Gates 180B weisen erste Abschnitte auf, die zwischen entsprechenden Gate-Abstandhaltern 184 angeordnet sind, und zweite Abschnitte, die über oberen Oberflächen entsprechender Gate-Abstandhalter 184 angeordnet sind und diese bedecken. Die ersten Abschnitte weisen eine Dicke t16 und eine Breite W4 auf und die zweiten Abschnitte weisen eine Dicke t17 und eine Breite W5, die größer als Breite W4 ist, auf. In manchen Ausführungsformen ist Breite W4 etwa 200 nm bis etwa 600 nm. In manchen Ausführungsformen ist Breite W5 etwa 30 nm bis etwa 50 nm. In manchen Ausführungsformen ist Dicke t16 etwa gleich Dicke t13 der strukturierten dielektrischen Schicht 172. In manchen Ausführungsformen ist Dicke t17 etwa gleich Dicke t15. In manchen Ausführungsformen ist Dicke t17 kleiner als Dicke 15. Gate-Abstandhalter 184 sind entlang Seitenwänden der ersten Abschnitte von Polysilizium-Gates 180A und Seitenwänden der ersten Abschnitte von Polysilizium-Gates 180B angeordnet. Gate-Abstandhalter 184 weisen eine Höhe auf, die etwa gleich der Dicke t16 ist, und eine Breite W6, die etwa gleich einer Differenz von Breite W5 und Breite W4 ist (z.B. Breite W6 = Breite W5 - Breite W4).
  • In manchen Ausführungsformen umfasst der Strukturierungsprozess Durchführen eines Lithografieprozesses, um eine strukturierte Fotolackschicht über dotierter Polysiliziumschicht 180 zu bilden, und Durchführen eines Ätzprozesses, um eine Fotolackstruktur, die in der strukturierten Fotolackschicht gebildet ist, zur dotierten Polysiliziumschicht 180 zu überführen, wodurch Polysilizium-Gates 180A und Polysilizium-Gates 180B gebildet werden. Der Lithografieprozess kann Bilden einer Fotolackschicht auf der dotierten Polysiliziumschicht 180 (zum Beispiel durch Rotationsbeschichten), Durchführen eines Backprozesses vor Belichtung, Durchführen eines Belichtungsprozesses unter Verwendung einer Maske, Durchführen eines Backprozesses nach Belichtung und Durchführen eines Entwicklungsprozesses, wie hier beschrieben umfassen. Der Ätzprozess verwendet die strukturierte Fotolackschicht als eine Ätzmaske, um freigelegte Abschnitte der dotierten Polysiliziumschicht 180 zu entfernen, sodass nicht freigelegte, bedeckte Abschnitte der dotierten Polysiliziumschicht 180 verbleiben, um Polysilizium-Gates 180A und Polysilizium-Gates 180B über Oxidschicht 140 bereitzustellen. Der Ätzprozess umfasst einen Trockenätzprozess, einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon. In manchen Ausführungsformen werden Abschnitte der dotierten Polysiliziumschicht 180 unter Verwendung der strukturierten Fotolackschicht als eine Ätzmaske entfernt, um Polysilizium-Gates 180A und Polysilizium-Gates 180B zu bilden, und Abschnitte der strukturierten dielektrischen Schicht 172 werden unter Verwendung der strukturierten Fotolackschicht und/oder Polysilizium-Gates 180A und Polysilizium-Gates 180B als eine Ätzmaske entfernt, um Gate-Abstandhalter 184 zu bilden. In Ausführungsformen, wo Polysilizium-Gates 180A und Polysilizium-Gates 180B als Ätzmasken zum Ätzen der strukturierten dielektrischen Schicht 172 verwendet werden, kann der Ätzprozess, beabsichtigt oder unbeabsichtigt, zweite Abschnitte der Polysilizium-Gates 180A und Polysilizium-Gates 180B ätzen, sodass Dicke t17 kleiner als Dicke t15 ist. In manchen Ausführungsformen umfasst der Ätzprozess mehrere Schritte, wie einen ersten Ätzschritt, der die dotierte Polysiliziumschicht 180 selektiv ätzt, und einen zweiten Ätzschritt, der die strukturierte dielektrische Schicht 172 selektiv ätzt (z.B. implementieren der erste Ätzschritt und der zweite Ätzschritt unterschiedliche Ätzmittel). Der Ätzprozess ist eingerichtet, die dotierte Polysiliziumschicht 180 und/oder strukturierte dielektrische Schicht 172 in Bezug auf die Oxidschicht 140 selektiv zu entfernen. Mit anderen Worten, der Ätzprozess entfernt im Wesentlichen die dotierte Polysiliziumschicht 180 und/oder strukturierte dielektrische Schicht 172, entfernt aber nicht oder entfernt im Wesentlichen nicht die Oxidschicht 140. Zum Beispiel wird ein Ätzmittel für den Ätzprozess gewählt, das dotiertes Polysilizium (d.h. dotierte Polysiliziumschicht 180) und/oder Siliziumnitrid (d.h. strukturierte dielektrische Schicht 172) bei einer höheren Rate als Siliziumoxid (d.h. Oxidschicht 140) ätzt (d.h. das Ätzmittel weist eine hohe Ätzselektivität in Bezug auf dotiertes Polysilizium und/oder Siliziumnitrid auf). In manchen Ausführungsformen wird eine Maskenschicht über der dotierten Polysiliziumschicht 180 gebildet und die strukturierte Fotolackschicht wird über der Maskenschicht gebildet. In solchen Ausführungsformen kann ein erster Ätzprozess Abschnitte der Maskenschicht entfernen, um eine strukturierte Maskenschicht zu bilden, und ein zweiter Ätzprozess entfernt Abschnitte der dotierten Polysiliziumschicht 180 und/oder strukturierten dielektrischen Schicht 172 unter Verwendung der strukturierten Maskenschicht als eine Ätzmaske. Nach dem Ätzprozess kann die strukturierte Fotolackschicht zum Beispiel durch einen Fotolack-Stripping-Prozess entfernt werden. In manchen Ausführungsformen wird die strukturierte Fotolackschicht während des Ätzens der dotierten Polysiliziumschicht 180 und/oder strukturierten dielektrischen Schicht 172 vollständig oder teilweise entfernt.
  • Nach Bilden der Polysilizium-Gates 180A und Polysilizium-Gates 180B kann Fertigung Durchführen eines Diffusionsprozesses umfassen, um Dotierstoff aus Polysilizium-Gates 180A und Polysilizium-Gates 180B in Germaniumschichten 130 zu diffundieren, wodurch mit einem ersten Typ dotierte Gebiete 185 gebildet werden, die Polysilizium-Gates 180A und Polysilizium-Gates 180B mit den mit einem ersten Typ dotierten Gebieten 160 in Germaniumschichten 130 verbinden. Mit einem ersten Typ dotierte Gebiete 185 erstrecken sich von Polysilizium-Gates 180A und Polysilizium-Gates 180B zu einer Tiefe D4 in Germaniumschichten 130, sodass mit einem ersten Typ dotierte Gebiete 185 die mit einem ersten Typ dotierten Gebiete 160 überlappen. Tiefe D4 wird von oberen Oberflächen von Germaniumschichten 130 gemessen und ist in der gezeigten Ausführungsform kleiner als Tiefe D3. Mit einem ersten Typ dotierte Gebiete 185 weisen daher mit einem ersten Typ dotierte Siliziumabschnitte (d.h. Abschnitte von Deckschichten 135) und mit einem ersten Typ dotierte Germaniumabschnitte (d.h. Abschnitte von Germaniumschichten 130) auf. In manchen Ausführungsformen ist Tiefe D4 etwa 5 nm bis etwa 10 nm. Mit einem ersten Typ dotierte Gebiete 185 weisen eine Dotierstoffkonzentration auf, die größer als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 160 ist. Mit einem ersten Typ dotierte Gebiete 185 weisen eine Dotierstoffkonzentration auf, die größer als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 160 ist. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 185 eine Dotierstoffkonzentration von etwa 1 × 1019 cm-3 bis etwa 9 × 1020 cm-3 auf. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 185 n-Dotierstoff wie Phosphor auf und können als n-dotierte Germaniumgebiete (Ge N+) bezeichnet werden. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 185 p-Dotierstoff wie Bor auf und können als p-dotierte Germaniumgebiete (Ge P+) bezeichnet werden. In der gezeigten Ausführungsform weisen mit einem ersten Typ dotierte Gebiete 185 eine Breite auf, die etwa gleich Breite W4 ist. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 185 eine Breite auf, die größer als oder kleiner als Breite W4 ist. Mit einem ersten Typ dotierte Gebiete 185 weisen eine Dicke t18 auf. In manchen Ausführungsformen ist Dicke t18 etwa 30 nm bis etwa 60 nm. In manchen Ausführungsformen ist der Diffusionsprozess ein Temperprozess, der Dotierstoff aus Polysilizium-Gates 180A und Polysilizium-Gates 180B in Germaniumschichten 130 treibt, um mit einem ersten Typ dotierte Gebiete 185 zu bilden. In manchen Ausführungsformen setzt der Temperprozess Polysilizium-Gates 180A und Polysilizium-Gates 180B Wärme mit einer Temperatur von etwa 700°C bis etwa 850°C aus. In manchen Ausführungsformen wird der Temperprozess für etwa 30 Minuten bis etwa 120 Minuten durchgeführt. Bilden der mit einem ersten Typ dotierten Gebiete 185 durch Eigendiffusion verhindert Beschädigungen an der lichtempfindlichen Vorrichtung 100, die entstehen können, wenn mit einem ersten Typ dotierte Gebiete 185 durch einen Implantationsprozess gebildet werden, wie Beschädigungen an dotierten Gebieten (d.h. mit einem ersten Typ dotierte Gebiete 145, mit einem ersten Typ dotierte Gebiete 150, mit einem zweiten Typ dotierte Gebiete 155 und/oder mit einem ersten Typ dotierte Gebiete 160) in Germaniumschichten 130 und/oder Beschädigungen an p-n-Übergängen in Germaniumschichten, die durch Grenzflächen zwischen den dotierten Gebieten gebildet werden. Da mit einem ersten Typ dotierte Gebiete 185 durch Eigendiffusion von Gates gebildet werden, können mit einem ersten Typ dotierte Gebiete 185 alternativ als Gate-Diffusionsgebiete und/oder Diffusionsgebiete bezeichnet werden.
  • Unter Bezugnahme auf 1J werden zusätzliche dotierte Gebiete in der Germaniumschicht gebildet. Zum Beispiel werden mit einem zweiten Typ dotierte Gebiete 190 in Germaniumschichten 130 gebildet. Mit einem zweiten Typ dotierte Gebiete 190 erstrecken sich zu einer Tiefe D5 in Germaniumschichten 130, sodass mit einem zweiten Typ dotierte Gebiete 190 die mit einem ersten Typ dotierten Gebiete 145, mit einem zweiten Typ dotierten Gebiete 155 und mit einem ersten Typ dotierten Gebiete 160 überlappen. Mit einem zweiten Typ dotierte Gebiete 190 überlappen Grenzflächen zwischen mit einem ersten Typ dotierten Gebieten 145 und mit einem ersten Typ dotierten Gebieten 160 und Grenzflächen zwischen mit einem ersten Typ dotierten Gebieten 145 und mit einem zweiten Typ dotierten Gebieten 155 (welche Grenzflächen p-n-Übergänge bilden). Mit einem zweiten Typ dotierte Gebiete 190 sind mit einem Abstand von den mit einem ersten Typ dotierten Gebieten 180 beabstandet. Tiefe D5 wird von oberen Oberflächen von Germaniumschichten 130 gemessen und ist in der gezeigten Ausführungsform größer als Tiefe D3 und kleiner als Tiefe D2. Mit einem zweiten Typ dotierte Gebiete 190 weisen somit mit einem zweiten Typ dotierte Siliziumabschnitte (d.h. Abschnitte von Deckschichten 135) und mit einem zweiten Typ dotierte Germaniumabschnitte (d.h. Abschnitte von Germaniumschichten 130) auf. In manchen Ausführungsformen ist Tiefe D5 etwa 20 nm bis etwa 40 nm. In manchen Ausführungsformen, wie gezeigt, erstrecken sich mit einem zweiten Typ dotierte Gebiete 190 in Oxidschicht 140 und weisen mit einem zweiten Typ dotierte Oxidabschnitte auf. Mit einem zweiten Typ dotierte Gebiete 190 weisen weiter eine Breite W6 und eine Dicke t19 auf. In manchen Ausführungsformen ist Breite W6 etwa 30 nm bis etwa 50 nm. In manchen Ausführungsformen ist Dicke t19 etwa 300 nm bis etwa 1.500 nm. Mit einem zweiten Typ dotierte Gebiete 190 weisen eine Dotierstoffkonzentration auf, die größer als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 145, mit einem zweiten Typ dotierten Gebieten 155 und/oder mit einem ersten Typ dotierten Gebieten 160 ist. In manchen Ausführungsformen weisen mit einem zweiten Typ dotierte Gebiete 190 eine Dotierstoffkonzentration von etwa 1 × 1017 cm-3 bis etwa 9 × 1018 cm-3 auf. In manchen Ausführungsformen weisen mit einem zweiten Typ dotierte Gebiete 190 p-Dotierstoff wie Bor auf und können als p-dotierte Germaniumgebiete (Ge P+) bezeichnet werden. In manchen Ausführungsformen weisen mit einem zweiten Typ dotierte Gebiete 190 n-Dotierstoff wie Phosphor auf und können als n-dotierte Germaniumgebiete (Ge N+) bezeichnet werden.
  • Mit einem ersten Typ dotierte Gebiete 195 werden auch in Germaniumschichten 130 gebildet. Mit einem ersten Typ dotierte Gebiete 195 erstrecken sich zu einer Tiefe D6 in Germaniumschichten 130, sodass mit einem ersten Typ dotierte Gebiete 195 mit den mit einem ersten Typ dotierten Gebieten 160 überlappen. Mit einem ersten Typ dotierte Gebiete 195 befinden sich zwischen entsprechenden mit einem ersten Typ dotierten Gebieten 185 und sind mit einem Abstand von den entsprechenden mit einem ersten Typ dotierten Gebieten 185 beabstandet. In manchen Ausführungsformen ist der Abstand etwa gleich Breite W6 von Gate-Abstandhaltern 184. Tiefe D6 wird von oberen Oberflächen von Germaniumschichten 130 gemessen und ist in der gezeigten Ausführungsform kleiner als Tiefe D3. Mit einem ersten Typ dotierte Gebiete 195 weisen daher mit einem ersten Typ dotierte Siliziumabschnitte (d.h. Abschnitte von Deckschichten 135) und mit einem ersten Typ dotierte Germaniumabschnitte (d.h. Abschnitte von Germaniumschichten 130) auf. In manchen Ausführungsformen ist Tiefe D6 etwa 5 nm bis etwa 10 nm. Mit einem ersten Typ dotierte Gebiete 195 weisen weiter eine Breite W8 und eine Dicke t20 auf. In manchen Ausführungsformen ist Breite W8 etwa gleich einem Abstand zwischen Polysilizium-Gates 180A und Polysilizium-Gates 180B. In manchen Ausführungsformen ist Breite W8 etwa 2.000 nm bis etwa 5.000 nm. In manchen Ausführungsformen ist Dicke t20 etwa 10 nm bis etwa 20 nm. Mit einem ersten Typ dotierte Gebiete 195 weisen eine Dotierstoffkonzentration auf, die größer als eine Dotierstoffkonzentration von mit einem ersten Typ dotierten Gebieten 160 ist. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 195 eine Dotierstoffkonzentration von etwa 1 × 1018 cm-3 bis etwa 1 × 1020 cm-3 auf. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 195 n-Dotierstoff wie Phosphor auf und können als n-dotierte Germaniumgebiete bezeichnet werden. In manchen Ausführungsformen weisen mit einem ersten Typ dotierte Gebiete 195 p-Dotierstoff wie Bor auf und können als p-dotierte Germaniumgebiete bezeichnet werden.
  • In manchen Ausführungsformen werden mit einem zweiten Typ dotierte Gebiete 190 durch Durchführen eines Lithografieprozesses, um eine Implantationsmaske zu bilden, die Flächen von Germaniumschichten 130 freilegt, die Grenzflächen zwischen mit einem ersten Typ dotierten Gebieten 145 und mit einem ersten Typ dotierten Gebieten 160 und/oder Grenzflächen zwischen mit einem ersten Typ dotierten Gebieten 145 und mit einem zweiten Typ dotierten Gebieten 155 überlappen, und Durchführen eines Implantationsprozesses unter Verwendung der Implantationsmaske, um Dotierstoff vom zweiten Typ in die freigelegten Flächen von Germaniumschichten 130 einzubringen, gebildet. In manchen Ausführungsformen werden mit einem ersten Typ dotierte Gebiete 195 durch Durchführen eines Lithografieprozesses, um eine Implantationsmaske zu bilden, die Flächen von Germaniumschichten 130 zwischen Polysilizium-Gates 180A und Polysilizium-Gates 180B freilegt, und Durchführen eines Implantationsprozesses unter Verwendung der Implantationsmaske, um Dotierstoff vom ersten Typ in die freigelegten Flächen von Germaniumschichten 130 einzubringen, gebildet. In manchen Ausführungsformen werden mit einem ersten Typ dotierte Gebiete 195 nach den mit einem zweiten Typ dotierten Gebieten 190 gebildet. In manchen Ausführungsformen werden mit einem ersten Typ dotierte Gebiete 195 vor den mit einem zweiten Typ dotierten Gebieten 190 gebildet. In manchen Ausführungsformen wird ein Temperprozess nach Bilden der mit einem ersten Typ dotierten Gebiete 195 und/oder mit einem zweiten Typ dotierten Gebiete 190 durchgeführt, zum Beispiel, um den Dotierstoff darin und/oder in anderen dotierten Gebieten der lichtempfindlichen Vorrichtung 100 zu aktivieren, wie in den mit einem ersten Typ dotierten Gebieten 145, mit einem ersten Typ dotierten Gebieten 150, mit einem zweiten Typ dotierten Gebieten 155, mit einem ersten Typ dotierten Gebieten 160 und/oder mit einem ersten Typ dotierten Gebieten 185. In manchen Ausführungsformen ist der Temperprozess ein rasches thermisches Tempern (RTA). In manchen Ausführungsformen setzt der Temperprozess die lichtempfindliche Vorrichtung 100 Wärme mit einer Temperatur von etwa 700°C bis etwa 900°C aus. In manchen Ausführungsformen wird der Temperprozess für etwa 10 Sekunden (s) bis etwa 30 s durchgeführt.
  • 2 stellt eine schematische Draufsicht und eine schematische Querschnittsansicht eines Vorrichtungsgebiets, wie Vorrichtungsgebiet 102A, der lichtempfindlichen Vorrichtung 100 entlang Linie A-A der Draufsicht, zum Teil oder in ihrer Gesamtheit, bereit, nachdem eine Fertigung in Verbindung mit 1A-1J und, in manchen Ausführungsformen, zusätzliche Fertigung, gemäß verschiedenen Aspekten der vorliegenden Offenbarung durchlaufen wurde. Für ein besseres Verständnis sind Oxidschicht 140 und Deckschicht 135 in der Draufsicht von 2 weggelassen. Vorrichtungsgebiet 102A weist einen Germanium-basierten Sensor mit einer Germanium-Fotodiode auf, die Photonen (z.B. elektromagnetische Strahlung wie Licht) in Ladungsträger (z.B. Elektronen und/oder Löcher) umwandeln kann, die als Strom und/oder Spannung gemessen werden können. Die Germanium-Fotodiode befindet sich im Siliziumsubstrat 105. Zum Beispiel ist die Germaniumschicht 130 vom Siliziumsubstrat 105 umgeben (z.B. ist Siliziumsubstrat 105 entlang Seitenwänden und Böden von Germaniumschicht 130 angeordnet) und die Germaniumschicht 130 weist eine seitlich diffundierte Fotodiode (LD-PD) auf, die darin durch p-n-Übergänge zwischen mit einem zweiten Typ dotiertem Gebiet 155 und mit einem ersten Typ dotierten Gebieten 145 gebildet wird, wie einen p-n-Übergang A (der als ein linker p-n-Übergang bezeichnet werden kann) und einen p-n-Übergang B (der als ein rechter p-n-Übergang bezeichnet werden kann). Ein erster, linker Schwebespannungsknoten (FN_L) und ein zweiter, rechter Schwebespannungsknoten (FN_R) sind mit entsprechenden Diffusionsgebieten vom zweiten Typ 190 verbunden, sodass p-n-Übergang A und p-n-Übergang B elektrisch mit dem ersten, linken Schwebespannungsknoten und zweiten, rechten Schwebespannungsknoten durch entsprechende Diffusionsgebiete vom zweiten Typ 190 verbunden sind. Verluststrom (auch als Dunkelstrom bezeichnet) von der Germanium-Fotodiode wird durch Einsetzen einer dotierten Siliziumschicht 120 zwischen Germaniumschicht 130 und Siliziumsubstrat 105 verringert. In manchen Ausführungsformen kann der Verluststrom möglicherweise um bis zu 1000% verglichen mit herkömmlichen Germanium-basierten Sensoren verringert werden, die keine dotierte Siliziumschicht zwischen einer Germanium-Fotodiode und einem Siliziumsubstrat aufweisen. Der Germanium-basierte Sensor in Vorrichtungsgebiet 102A weist weiter einen Doppel-Gate-Sperrschicht-Feldeffekttransistor (JFET) auf, der Steuerung der Germanium-Fotodiode verbessert. Zum Beispiel werden Gates des Doppel-Gate-JFET durch Polysilizium-Gate 180A (und das darunterliegende, mit einem ersten Typ dotierte Gebiet 185) und Polysilizium-Gate 180B (und das darunterliegende, mit einem ersten Typ dotierte Gebiet 185) bereitgestellt, ein Kanal des Doppel-Gate-JFET wird durch das mit einem zweiten Typ dotierte Gebiet 155 bereitgestellt (P-Kanal oder N-Kanal (auch als eine Kanalschicht und/oder ein JFET-Kanal bezeichnet)) und Source/Drain-Gebiete des Doppel-Gate-JFET werden durch die mit einem zweiten Typ dotierten Gebiete 190 bereitgestellt (P+ Gebiete oder N+ Gebiete (auch als Source/Drain-Gebiete bezeichnet). Ein erster, linker Gate-Spannungsknoten (JFETG_L) und ein zweiter, rechter Gate-Spannungsknoten (JFETG_R) sind mit Polysilizium-Gate 180A bzw. Polysilizium-Gate 180B verbunden. In dem Germanium-basierten Sensor dient das mit einem ersten Typ dotierte Gebiet 160 als eine Passivierungsschicht für die LD-PD, die den Verluststrom an einer Oberfläche der Germanium-Fotodiode verringert, und das mit einem ersten Typ dotierte Gebiet 195 ist eine gepinnte Fotodiode (PPD) (z.B. n-PPD (NPPD) oder p-(PPPD)), die zusätzliche Passivierung für die LD-PD bereitstellt, wodurch Verluststrom an der Oberfläche der Germanium-Fotodiode weiter verringert wird. Weiter dienen die mit einem ersten Typ dotierten Gebiete 150 als Elektronenlinsen (e-Linsen) in dem Germanium-basierten Sensor, was den optischen Füllfaktor (FF) des Germanium-basierten Sensors erhöht. Zum Beispiel können die mit einem ersten Typ dotierten Gebiete 150 (d.h. e-Linsen) effektiv Licht zu der LD-PD wie auch Metalllichtleitstrukturen leiten oder lenken, wodurch die Notwendigkeit für den Germanium-basierten Sensor eliminiert wird, rückseitige Metalllichtleitstrukturen aufzuweisen (d.h. ein Metallgitter über einer Rückfläche von Siliziumsubstrat 105, um das Licht zu der LD-PD zu leiten), was dem Germanium-basierten Sensor eine größere Fläche, auf der Licht zu der LD-PD geleitet werden kann (und somit eine größere lichtempfindliche Fläche), verglichen mit herkömmlichen Sensoren bereitstellt und somit den optischen Füllfaktor verbessert. Durch Verringern von Verluststrom der Germanium-Fotodiode, Erhöhen des optischen Füllfaktors der Germanium-Fotodiode und/oder Verbessern von Steuerung der Germanium-Fotodiode mit dem Doppel-Gate-JFET weist der Germanium-basierte Sensor mit JFET eine bessere Empfindlichkeit, einen besseren Umwandlungsgewinn und/oder weniger Rauschen als herkömmliche Germanium-basierte Sensoren auf. In manchen Ausführungsformen ist der Germanium-basierte Sensor mit JFET ein locherfassender Sensor. In solchen Ausführungsformen sind die mit einem ersten Typ dotierten Gebiete 145, mit einem ersten Typ dotierten Gebiete 150, mit einem ersten Typ dotierten Gebiete 160, mit einem ersten Typ dotierten Gebiete 185 und mit einem ersten Typ dotierten Gebiete 195 n-dotierte Gebiete, während die mit einem zweiten Typ dotierten Gebiete 155 und mit einem zweiten Typ dotierten Gebiete 190 p-dotierte Gebiete sind. Weiter sind in solchen Ausführungsformen Polysilizium-Gates 180A und Polysilizium-Gates 180B n-dotierte Polysilizium-Gates. In manchen Ausführungsformen ist der Germanium-basierte Sensor mit JFET ein elektronenerfassender Sensor. In solchen Ausführungsformen sind die mit einem ersten Typ dotierten Gebiete 145, mit einem ersten Typ dotierten Gebiete 150, mit einem ersten Typ dotierten Gebiete 160, mit einem ersten Typ dotierten Gebiete 185 und mit einem ersten Typ dotierten Gebiete 195 p-dotierte Gebiete, während die mit einem zweiten Typ dotierten Gebiete 155 und mit einem zweiten Typ dotierten Gebiete 190 n-dotierte Gebiete sind. Weiter sind in solchen Ausführungsformen Polysilizium-Gates 180A und Polysilizium-Gates 180B p-dotierte Polysilizium-Gates. Unterschiedliche Ausführungsformen können unterschiedliche Vorteile haben und es ist kein bestimmter Vorteil für eine Ausführungsform erforderlich. 2 wurde der Klarheit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in Vorrichtungsgebiet 102A der lichtempfindlichen Vorrichtung 100 hinzugefügt werden und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen von Vorrichtungsgebiet 102A der lichtempfindlichen Vorrichtung 100 ersetzt, modifiziert oder eliminiert sein.
  • 3A-3J sind schematische Querschnittsansichten einer lichtempfindlichen Vorrichtung 200, wie eines Germanium-basierten Sensors mit Sperrschicht-Feldeffekttransistor, zum Teil oder in ihrer Gesamtheit, in verschiedenen Fertigungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Der Klarheit und Einfachheit wegen sind ähnliche Merkmale der lichtempfindlichen Vorrichtung 100 in 1A-1J und lichtempfindlichen Vorrichtung 200 in 3A-3J mit denselben Bezugszeichen identifiziert. Fertigung der lichtempfindlichen Vorrichtung 200 in 3A-3J ist in vielen Punkten ähnlich wie Fertigung der lichtempfindlichen Vorrichtung 100 in 1A-1J, mit der Ausnahme, dass die Germanium-Fotodiode der lichtempfindlichen Vorrichtung 200 auf Siliziumsubstrat 105 anstelle von im Siliziumsubstrat 105 gefertigt wird und sich darauf befindet. Zum Beispiel beginnt unter Bezugnahme auf 3A Fertigung mit Empfangen des Siliziumsubstrats 105, Abscheiden einer Oxidschicht 205 über dem Siliziumsubstrat 105 und Bilden von Hohlräumen 215 in Oxidschicht 205. Oxidschicht 205 enthält Sauerstoff und in manchen Ausführungsformen einen anderen geeigneten Bestandteil. Zum Beispiel kann die Oxidschicht 205 Silizium und Sauerstoff (z.B. Si02) enthalten und als eine Siliziumoxidschicht bezeichnet werden. Oxidschicht 205 weist eine Dicke t21 auf, die in manchen Ausführungsformen im Wesentlichen dieselbe ist wie eine gewünschte Tiefe (z.B. Tiefe D) von Hohlräumen 215. In manchen Ausführungsformen ist Dicke t21 etwa 900 nm bis etwa 1.500 nm. Es wird jeder geeignete Abscheidungsprozess zum Bilden der Oxidschicht 205 implementiert, wie die hier beschriebenen. Es werden jeder geeignete Lithografieprozess und Ätzprozess, wie die hier beschriebenen, zum Strukturieren der Oxidschicht 205 implementiert, um Hohlräume 215 zu bilden. Im Gegensatz zu Hohlräumen 115 erstrecken sich Hohlräume 215 durch die Oxidschicht 205 und legen das Siliziumsubstrat 205 frei, sodass Hohlräume 215 Seitenwände, die durch die Oxidschicht 205 gebildet sind, und Böden, die durch das Siliziumsubstrat 105 gebildet sind, aufweisen. Unter Bezugnahme auf 3B-3J fährt die Fertigung der lichtempfindlichen Vorrichtung 300 dann ähnlich wie bei lichtempfindlicher Vorrichtung fort, zum Beispiel durch Bilden dotierter Siliziumschichten 120, die die Hohlräume 215 teilweise füllen (3B), Bilden von Germaniumschichten 130 über dotierten Siliziumschichten 120, die Reste von Hohlräumen 215 füllen (3C), Bilden von Deckschichten 135 über Germaniumschichten 130 (3D), Bilden von Oxidschicht 140 über lichtempfindlicher Vorrichtung 300 (3E), Bilden verschiedener dotierter Gebiete in Germaniumschichten 130 (z.B. mit einem ersten Typ dotierte Gebiete 145, mit einem ersten Typ dotierte Gebiete 150, mit einem zweiten Typ dotierte Gebiete 155 und mit einem ersten Typ dotierte Gebiete 160) (3F), Bilden von Polysilizium-Gates 180A und Polysilizium-Gates 180B über Germaniumschichten 130 (3G-3I), Bilden von mit einem ersten Typ dotierten Gebieten 185 in Germaniumschichten 130 (3I) und Bilden von mit einem zweiten Typ dotierten Gebieten 190 und mit einem ersten Typ dotierten Gebieten 195 in Germaniumschichten 130 ( 3J). In manchen Ausführungsformen ist der Abscheidungsprozess, der implementiert wird, um dotierte Siliziumschichten 120 in Hohlräumen 215 zu bilden, ein nicht selektiver Abscheidungsprozess, der zum Beispiel epitaktisches Silizium sowohl von dem Siliziumsubstrat 105 als auch der Oxidschicht 205 aufwachsen lassen kann. 3A-3J wurden der Klarheit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in der lichtempfindlichen Vorrichtung 200 hinzugefügt werden und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen der lichtempfindlichen Vorrichtung 200 ersetzt, modifiziert oder eliminiert sein.
  • 4 stellt eine schematische Draufsicht und eine schematische Querschnittsansicht eines Vorrichtungsgebiets, wie Vorrichtungsgebiet 102A, der lichtempfindlichen Vorrichtung 200 entlang Linie A-A der Draufsicht, zum Teil oder in ihrer Gesamtheit, bereit, nachdem sie einer Fertigung, die mit 3A-3J verbunden ist, und in manchen Ausführungsformen einer zusätzlichen Fertigung gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wurde. Für ein leichteres Verständnis ist Oxidschicht 140 teilweise weggelassen und Deckschicht 135 ist in der Draufsicht der lichtempfindlichen Vorrichtung 200 in 4 weggelassen. Vorrichtungsgebiet 102A der lichtempfindlichen Vorrichtung 200 in 4 ist in vielen Punkten ähnlich wie Vorrichtungsgebiet 102A der lichtempfindlichen Vorrichtung 100 in 2. Zum Beispiel weist Vorrichtungsgebiet 102 einen Germanium-basierten Sensor auf, der eingerichtet ist, Verluststrom seiner Germanium Fotodiode zu verringern, den optischen Füllfaktor seiner Germanium-Fotodiode zu erhöhen und/oder Steuerung seiner Germanium-Fotodiode mit einem Doppel-Gate-JFET zu verbessern, sodass der Germanium-basierte Sensor eine bessere Empfindlichkeit als herkömmliche Germanium-basierte Sensoren aufweist. Weiter ist in der lichtempfindlichen Vorrichtung 200 der Verluststrom von der Germanium-Fotodiode durch Isolieren von Seitenwänden der Germanium-Fotodiode mit Oxidschicht 205 weiter verringert. Unterschiedliche Ausführungsformen können unterschiedliche Vorteile haben und es ist kein bestimmter Vorteil für eine Ausführungsform erforderlich. 4 wurde der Klarheit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in Vorrichtungsgebiet 102A der lichtempfindlichen Vorrichtung 200 hinzugefügt werden und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen von Vorrichtungsgebiet 102A der lichtempfindlichen Vorrichtung 200 ersetzt, modifiziert oder eliminiert sein.
  • 5 ist eine schematische Querschnittsansicht einer lichtempfindlichen Vorrichtung 300, zum Teil oder in ihrer Gesamtheit, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Der Klarheit und Einfachheit wegen sind ähnliche Merkmale der lichtempfindlichen Vorrichtung 100 in 1A-1J und lichtempfindlichen Vorrichtung 300 in 5 durch dieselben Bezugszeichen identifiziert. Lichtempfindliche Vorrichtung 300 ist in vielen Punkten ähnlich wie lichtempfindliche Vorrichtung 100, mit der Ausnahme, dass die mit einem ersten Typ dotierten Gebiete 145 nicht die mit einem ersten Typ dotierten Gebiete 150 überlappen. Zum Beispiel erstrecken sich die mit einem ersten Typ dotierten Gebiete 145 zu Tiefe D2 in Germaniumschichten 130, und nicht zu Tiefe D3 in Germaniumschichten 130, sodass erste dotierte Gebiete 145 und mit einem ersten Typ dotierte Gebiete 150 Grenzflächen in Tiefe D2 in Germaniumschichten 130 aufweisen. 5 wurde der Klarheit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in der lichtempfindlichen Vorrichtung 300 hinzugefügt werden und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen der lichtempfindlichen Vorrichtung 300 ersetzt, modifiziert oder eliminiert sein.
  • 6 ist eine schematische Querschnittsansicht einer lichtempfindlichen Vorrichtung 400, zum Teil oder in ihrer Gesamtheit, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Der Klarheit und Einfachheit wegen, sind ähnliche Merkmale der lichtempfindlichen Vorrichtung 200 in 3A-3J und lichtempfindlichen Vorrichtung 400 in 6 durch dieselben Bezugszeichen identifiziert. Lichtempfindliche Vorrichtung 400 ist in vielen Punkten ähnlich wie lichtempfindliche Vorrichtung 200, mit der Ausnahme, dass die mit einem ersten Typ dotierten Gebiete 145 die mit einem ersten Typ dotierten Gebiete 150 nicht überlappen. Zum Beispiel erstrecken sich die mit einem ersten Typ dotierten Gebiete 145 zu Tiefe D2 in Germaniumschichten 130 und nicht zu Tiefe D3 in Germaniumschichten 130, sodass erste dotierte Gebiete 145 und die mit einem ersten Typ dotierten Gebiete 150 Grenzflächen in Tiefe D2 in Germaniumschichten 130 aufweisen. 6 wurde der Klarheit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in der lichtempfindlichen Vorrichtung 400 hinzugefügt werden und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen der lichtempfindlichen Vorrichtung 400 ersetzt, modifiziert oder eliminiert sein.
  • 5 ist ein Ablaufdiagramm eines Verfahrens 500 zum Fertigen einer lichtempfindlichen Vorrichtung, wie jener, die in 1A-1J, 2A-2J, 3 und 4, zum Teil oder in ihrer Gesamtheit, gezeigt sind, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Verfahren 500 beginnt mit Bilden eines Sensorhohlraums über einem Siliziumsubstrat in Block 505. Verfahren 500 fährt mit Bilden einer in-situ dotierten Siliziumschicht, die den Sensorhohlraum teilweise füllt und auskleidet, in Block 510, Bilden einer Germaniumschicht über der dotierten Siliziumschicht, die einen Rest des Sensorhohlraums füllt, in Block 515, Bilden einer Fotodiode und eines Sperrschicht-Feldeffekttransistors, die die Germaniumschicht aufweisen (wo der Sperrschicht-Feldeffekttransistor ein Polysilizium-Gate aufweist) in Block 520 und Bilden einer gepinnten Fotodioden-Passivierungsschicht in der Germaniumschicht in Block 525 fort. In manchen Ausführungsformen wird Eigendiffusion verwendet, um ein Diffusionsgebiet unter dem Polysilizium-Gate zu bilden. Zum Beispiel umfasst Verfahren 500 Durchführen eines Temperprozesses an dem Polysilizium-Gate. 5 wurde der Klarheit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Schritte können vor, während und nach Verfahren 500 bereitgestellt sein und manche der beschriebenen Schritte können für zusätzliche Ausführungsformen von Verfahren 500 verschoben, ersetzt oder eliminiert werden.
  • Die verschiedenen hier beschriebenen dotierten Gebiete, wie die mit einem ersten Typ dotierten Gebiete 145, mit einem ersten Typ dotierten Gebiete 150, mit einem zweiten Typ dotierten Gebiete 155, mit einem ersten Typ dotierten Gebiete 160, mit einem ersten Typ dotierten Gebiete 185, mit einem zweiten Typ dotierten Gebiete 190 und mit einem ersten Typ dotierten Gebiete 195, können sowohl einen Dotierstoff vom ersten Typ als auch einen Dotierstoff vom zweiten Typ aufweisen, wo ein dotiertes Gebiet als ein mit einem ersten Typ dotiertes Gebiet erachtet wird, wenn eine Dotierstoffkonzentration vom ersten Typ des Dotierstoffs vom ersten Typ größer ist als eine Dotierstoffkonzentration vom zweiten Typ des Dotierstoffs vom zweiten Typ (und somit ein dotiertes Gebiet mit einer ersten Leitfähigkeit bereitstellt), und als ein mit einem zweiten Typ dotiertes Gebiet, wo die Dotierstoffkonzentration vom ersten Typ des Dotierstoffs vom ersten Typ kleiner als die Dotierstoffkonzentration vom zweiten Typ des Dotierstoffs vom zweiten Typ ist (und somit ein dotiertes Gebiet mit einer zweiten Leitfähigkeit bereitstellt).
  • Die vorliegende Offenbarung stellt viele unterschiedliche Ausführungsformen bereit, aufweisend locherfassende lichtempfindliche Vorrichtungen und elektronenerfassende lichtempfindliche Vorrichtungen, wie die hier beschriebenen. Die offenbarten lichtempfindlichen Vorrichtungen haben Doppel-Gate-Sperrschicht-Feldeffekttransistoren, um Steuerung zu verbessern, und verschiedene dotierte Gebiete, um Leistung zu verbessern, wie e-Linsen zum Erhöhen des optischen Füllfaktors und/oder Kanalschicht und/oder Passivierungsschichten, die Verluststrom verringern und dadurch Leistung verbessern.
  • Eine beispielhafte lichtempfindliche Vorrichtung weist ein Siliziumsubstrat, eine Germaniumschicht, die über dem Siliziumsubstrat angeordnet ist, und eine dotierte Siliziumschicht, die zwischen dem Siliziumsubstrat und der Germaniumschicht angeordnet ist, auf. Die lichtempfindliche Vorrichtung weist weiter ein erstes dotiertes Gebiet, ein zweites dotiertes Gebiet und ein drittes dotiertes Gebiet auf, die in der Germaniumschicht angeordnet sind. Das erste dotierte Gebiet ist zwischen dem zweiten dotierten Gebiet und dem dritten dotierten Gebiet angeordnet. Das erste dotierte Gebiet weist einen Dotierstoff vom ersten Typ auf. Das zweite dotierte Gebiet und das dritte dotierte Gebiet weisen einen Dotierstoff vom zweiten Typ auf. Die lichtempfindliche Vorrichtung weist weiter ein viertes dotiertes Gebiet, ein fünftes dotiertes Gebiet und ein sechstes dotiertes Gebiet auf, die in der Germaniumschicht angeordnet sind. Das vierte dotierte Gebiet überlappt eine erste Grenzfläche zwischen dem ersten dotierten Gebiet und dem zweiten dotierten Gebiet. Das fünfte dotierte Gebiet überlappt eine zweite Grenzfläche zwischen dem ersten dotierten Gebiet und dem dritten dotierten Gebiet. Das sechste dotierte Gebiet ist über dem ersten dotierten Gebiet und zwischen dem vierten dotierten Gebiet und dem fünften dotierten Gebiet angeordnet. Das vierte dotierte Gebiet und das fünfte dotierte Gebiet weisen den Dotierstoff vom ersten Typ auf und das sechste dotierte Gebiet weist den Dotierstoff vom zweiten Typ auf. Die lichtempfindliche Vorrichtung weist weiter ein Polysilizium-Gate auf, das über dem sechsten dotierten Gebiet angeordnet ist. Das Polysilizium-Gate weist den Dotierstoff vom zweiten Typ auf. Die lichtempfindliche Vorrichtung weist weiter ein siebentes dotiertes Gebiet auf, das in der Germaniumschicht unter dem Polysilizium-Gate angeordnet ist. Das siebente dotierte Gebiet weist den Dotierstoff vom zweiten Typ auf.
  • In manchen Ausführungsformen ist der Dotierstoff vom ersten Typ ein n-Dotierstoff und der Dotierstoff vom zweiten Typ ist ein p-Dotierstoff. In manchen Ausführungsformen ist der Dotierstoff vom ersten Typ ein p-Dotierstoff und der Dotierstoff vom zweiten Typ ist ein n-Dotierstoff. In manchen Ausführungsformen ist die dotierte Siliziumschicht zwischen dem Siliziumsubstrat und Seitenwänden der Germaniumschicht und zwischen dem Siliziumsubstrat und einem Boden der Germaniumschicht angeordnet. In manchen Ausführungsformen ist die dotierte Siliziumschicht weiter zwischen einer Oxidschicht und der Germaniumschicht angeordnet. In manchen Ausführungsformen ist die dotierte Siliziumschicht zwischen der Oxidschicht und Seitenwänden der Germaniumschicht und zwischen dem Siliziumsubstrat und einem Boden der Germaniumschicht angeordnet.
  • In manchen Ausführungsformen weist die lichtempfindliche Vorrichtung weiter ein achtes dotiertes Gebiet und ein neuntes dotiertes Gebiet auf, die in der Germaniumschicht angeordnet sind. Das zweite dotierte Gebiet ist über dem achten dotierten Gebiet angeordnet. Das dritte dotierte Gebiet ist über dem neunten dotierten Gebiet angeordnet. Das erste dotierte Gebiet ist über dem achten dotierten Gebiet und dem neunten dotierten Gebiet angeordnet. Das achte und das neunte dotierte Gebiet weisen den Dotierstoff vom zweiten Typ auf. In manchen Ausführungsformen überlappen das zweite dotierte Gebiet und das dritte dotierte Gebiet das achte dotierte Gebiet bzw. das neunte dotierte Gebiet. In manchen Ausführungsformen, wobei das Polysilizium-Gate ein erstes Polysilizium-Gate ist und die lichtempfindliche Vorrichtung weiter ein zweites Polysilizium-Gate aufweist, das über dem sechsten dotierten Gebiet angeordnet ist, und ein achtes dotiertes Gebiet, das in der Germaniumschicht unter dem zweiten Polysilizium-Gate angeordnet ist. Das erste Polysilizium-Gate und das zweite Polysilizium-Gate sind zwischen dem vierten dotierten Gebiet und dem fünften dotierten Gebiet angeordnet. Das zweite Polysilizium-Gate weist den Dotierstoff vom zweiten Typ auf. Das siebente dotierte Gebiet weist den Dotierstoff vom zweiten Typ auf. In solchen Ausführungsformen kann die lichtempfindliche Vorrichtung weiter ein neuntes dotiertes Gebiet aufweisen, das in der Germaniumschicht zwischen dem ersten Polysilizium-Gate und dem zweiten Polysilizium-Gate angeordnet ist. Das neunte dotierte Gebiet weist den Dotierstoff vom zweiten Typ auf.
  • Eine andere beispielhafte lichtempfindliche Vorrichtung weist ein Siliziumsubstrat und eine Germanium-basierte Fotodiode auf, die eine Germaniumschicht aufweist, die über dem Siliziumsubstrat angeordnet ist. Die Germanium-basierte Fotodiode weist weiter zwei erste dotierte Gebiete eines ersten Leitfähigkeitstyps, die in der Germaniumschicht angeordnet sind; zwei zweite dotierte Gebiete des ersten Leitfähigkeitstyps, die in der Germaniumschicht jeweils über den zwei ersten dotierten Gebieten angeordnet sind; ein drittes dotiertes Gebiet eines zweiten Leitfähigkeitstyps, das in der Germaniumschicht über den zwei ersten dotierten Gebieten und zwischen den zwei zweiten dotierten Gebieten angeordnet ist; und ein viertes dotiertes Gebiet des ersten Leitfähigkeitstyps, das in der Germaniumschicht über dem dritten dotierten Gebiet angeordnet ist, auf. Eine dotierte Siliziumschicht ist zwischen dem Siliziumsubstrat und der Germaniumschicht der Germanium-basierten Fotodiode angeordnet und trennt diese. Die lichtempfindliche Vorrichtung weist weiter zwei dotierte Polysilizium-Gates auf, die über dem dritten dotierten Gebiet angeordnet sind. Das vierte dotierte Gebiet ist zwischen den zwei dotierten Polysilizium-Gates angeordnet. In manchen Ausführungsformen ist der erste Leitfähigkeitstyp n und der zweite Leitfähigkeitstyp ist p. In manchen Ausführungsformen ist der erste Leitfähigkeitstyp p und der zweite Leitfähigkeitstyp ist n. In manchen Ausführungsformen weist die lichtempfindliche Vorrichtung weiter eine Siliziumdeckschicht auf, die über der Germaniumschicht angeordnet ist. In solchen Ausführungsformen sind die zwei zweiten dotierten Gebiete und das vierte dotierte Gebiet weiter in der Siliziumdeckschicht angeordnet. In manchen Ausführungsformen weist die lichtempfindliche Vorrichtung weiter zwei fünfte dotierte Gebiete des ersten Leitfähigkeitstyps auf, die in der Germaniumschicht jeweils unter den zwei dotierten Polysilizium-Gates angeordnet sind. In manchen Ausführungsformen weist die lichtempfindliche Vorrichtung weiter eine Oxidschicht auf, die über dem Siliziumsubstrat angeordnet ist. In solchen Ausführungsformen ist die dotierte Siliziumschicht weiter zwischen der Oxidschicht und der Germaniumschicht angeordnet und trennt diese.
  • Ein beispielhaftes Verfahren zum Bilden einer lichtempfindlichen Vorrichtung umfasst Bilden eines Sensorhohlraums über einem Siliziumsubstrat, Bilden einer in-situ dotierten Siliziumschicht, die den Sensorhohlraum teilweise füllt und auskleidet, Bilden einer Germaniumschicht über der in-situ dotierten Siliziumschicht, die einen Rest des Sensorhohlraums füllt, und Bilden einer Fotodiode und eines Sperrschicht-Feldeffekttransistors, die die Germaniumschicht aufweisen. Der Sperrschicht-Feldeffekttransistor weist ein Polysilizium-Gate auf. In manchen Ausführungsformen umfasst Bilden des Sperrschicht-Feldeffekttransistors Durchführen eines Diffusionsprozesses, um Dotierstoff zu veranlassen, von dem Polysilizium-Gate in die Germaniumschicht zu diffundieren. In manchen Ausführungsformen umfasst Bilden des Sensorhohlraums Ätzen des Siliziumsubstrats. In manchen Ausführungsformen umfasst das Verfahren Bilden einer Oxidschicht über dem Siliziumsubstrat, wo Bilden des Sensorhohlraums Ätzen der Oxidschicht umfasst, um das Siliziumsubstrat freizulegen.
  • Vorstehend sind Merkmale von mehreren Ausführungsformen angeführt, so dass Fachleute auf dem Gebiet die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute auf dem Gebiet sollten zu schätzen wissen, dass sie die vorliegende Offenbarung leicht als Basis zur Gestaltung oder Modifizierung anderer Prozesse und Strukturen zur Ausführung derselben Zwecke und/oder zum Erreichen derselben Vorteile der hier vorgestellten Ausführungsformen verwenden können. Fachleute auf dem Gebiet sollten auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Wesen und Umfang der vorliegenden Offenbarung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abänderungen vornehmen können, ohne vom Wesen und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/161687 [0001]

Claims (20)

  1. Lichtempfindliche Vorrichtung aufweisend: ein Siliziumsubstrat; eine Germaniumschicht, die über dem Siliziumsubstrat angeordnet ist; eine dotierte Siliziumschicht, die zwischen dem Siliziumsubstrat und der Germaniumschicht angeordnet ist; ein erstes dotiertes Gebiet, ein zweites dotiertes Gebiet und ein drittes dotiertes Gebiet, die in der Germaniumschicht angeordnet sind, wobei das erste dotierte Gebiet zwischen dem zweiten dotierten Gebiet und dem dritten dotierten Gebiet angeordnet ist, das erste dotierte Gebiet einen Dotierstoff eines ersten Typs aufweist und das zweite dotierte Gebiet und das dritte dotierte Gebiet einen Dotierstoff eines zweiten Typs aufweisen; ein viertes dotiertes Gebiet, ein fünftes dotiertes Gebiet und ein sechstes dotiertes Gebiet, die in der Germaniumschicht angeordnet sind, wobei das vierte dotierte Gebiet eine erste Grenzfläche zwischen dem ersten dotierten Gebiet und dem zweiten dotierten Gebiet überlappt, das fünfte dotierte Gebiet eine zweite Grenzfläche zwischen dem ersten dotierten Gebiet und dem dritten dotierten Gebiet überlappt, das sechste dotierte Gebiet über dem ersten dotierten Gebiet und zwischen dem vierten dotierten Gebiet und dem fünften dotierten Gebiet angeordnet ist, das vierte dotierte Gebiet und das fünfte dotierte Gebiet den Dotierstoff des ersten Typs aufweisen und das sechste dotierte Gebiet den Dotierstoff des zweiten Typs aufweist; ein Polysilizium-Gate, das über dem sechsten dotierten Gebiet angeordnet ist, wobei das Polysilizium-Gate den Dotierstoff des zweiten Typs aufweist; und ein siebentes dotiertes Gebiet, das in der Germaniumschicht unter dem Polysilizium-Gate angeordnet ist, wobei das siebente dotierte Gebiet den Dotierstoff des zweiten Typs aufweist.
  2. Lichtempfindliche Vorrichtung nach Anspruch 1, ferner aufweisend: ein achtes dotiertes Gebiet und ein neuntes dotiertes Gebiet, die in der Germaniumschicht angeordnet sind, wobei das zweite dotierte Gebiet über dem achten dotierten Gebiet angeordnet ist, das dritte dotierte Gebiet über dem neunten dotierten Gebiet angeordnet ist, das erste dotierte Gebiet über dem achten dotierten Gebiet und dem neunten dotierten Gebiet angeordnet ist und das achte und das neunte dotierte Gebiet den Dotierstoff des zweiten Typs aufweisen.
  3. Lichtempfindliche Vorrichtung nach Anspruch 2, wobei das zweite dotierte Gebiet das achte dotierte Gebiet überlappt und das dritte dotierte Gebiet das neunte dotierte Gebiet überlappt.
  4. Lichtempfindliche Vorrichtung nach einem der vorstehenden Ansprüche, wobei das Polysilizium-Gate ein erstes Polysilizium-Gate ist und die lichtempfindliche Vorrichtung ferner ein zweites Polysilizium-Gate aufweist, das über dem sechsten dotierten Gebiet angeordnet ist, und ein achtes dotiertes Gebiet, das in der Germaniumschicht unter dem zweiten Polysilizium-Gate angeordnet ist, wobei das erste Polysilizium-Gate und das zweite Polysilizium-Gate zwischen dem vierten dotierten Gebiet und dem fünften dotierten Gebiet angeordnet sind, das zweite Polysilizium-Gate den Dotierstoff des zweiten Typs aufweist und das siebente dotierte Gebiet den Dotierstoff des zweiten Typs aufweist.
  5. Lichtempfindliche Vorrichtung nach Anspruch 4, ferner aufweisend: ein neuntes dotiertes Gebiet, das in der Germaniumschicht zwischen dem ersten Polysilizium-Gate und dem zweiten Polysilizium-Gate angeordnet ist, wobei das neunte dotierte Gebiet den Dotierstoff des zweiten Typs aufweist.
  6. Lichtempfindliche Vorrichtung nach einem der vorstehenden Ansprüche, wobei die dotierte Siliziumschicht zwischen dem Siliziumsubstrat und Seitenwänden der Germaniumschicht und zwischen dem Siliziumsubstrat und einem Boden der Germaniumschicht angeordnet ist.
  7. Lichtempfindliche Vorrichtung nach einem der vorstehenden Ansprüche, wobei die dotierte Siliziumschicht ferner zwischen einer Oxidschicht und der Germaniumschicht angeordnet ist.
  8. Lichtempfindliche Vorrichtung nach Anspruch 7, wobei die dotierte Siliziumschicht zwischen der Oxidschicht und Seitenwänden der Germaniumschicht und zwischen dem Siliziumsubstrat und einem Boden der Germaniumschicht angeordnet ist.
  9. Lichtempfindliche Vorrichtung nach einem der vorstehenden Ansprüche, wobei der Dotierstoff des ersten Typs ein n-Dotierstoff ist und der Dotierstoff des zweiten Typs ein p-Dotierstoff ist.
  10. Lichtempfindliche Vorrichtung nach einem der vorstehenden Ansprüche 1 bis 8, wobei der Dotierstoff des ersten Typs ein p-Dotierstoff ist und der Dotierstoff des zweiten Typs ein n-Dotierstoff ist.
  11. Lichtempfindliche Vorrichtung aufweisend: ein Siliziumsubstrat; eine Germanium-basierte Fotodiode aufweisend: - eine Germaniumschicht, die über dem Siliziumsubstrat angeordnet ist; - zwei erste dotierte Gebiete eines ersten Leitfähigkeitstyps, die in der Germaniumschicht angeordnet sind; - zwei zweite dotierte Gebiete des ersten Leitfähigkeitstyps, die in der Germaniumschicht jeweils über den zwei ersten dotierten Gebieten angeordnet sind; - ein drittes dotiertes Gebiet eines zweiten Leitfähigkeitstyps, das in der Germaniumschicht über den zwei ersten dotierten Gebieten und zwischen den zwei zweiten dotierten Gebieten angeordnet ist; und - ein viertes dotiertes Gebiet des ersten Leitfähigkeitstyps, das in der Germaniumschicht über dem dritten dotierten Gebiet angeordnet ist; eine dotierte Siliziumschicht, die zwischen dem Siliziumsubstrat und der Germaniumschicht der Germanium-basierten Fotodiode angeordnet ist und diese voneinander trennt; und zwei dotierte Polysilizium-Gates, die über dem dritten dotierten Gebiet angeordnet sind, wobei das vierte dotierte Gebiet zwischen den zwei dotierten Polysilizium-Gates angeordnet ist.
  12. Lichtempfindliche Vorrichtung nach Anspruch 11, wobei der erste Leitfähigkeitstyp n ist und der zweite Leitfähigkeitstyp p ist.
  13. Lichtempfindliche Vorrichtung nach Anspruch 11, wobei der erste Leitfähigkeitstyp p ist und der zweite Leitfähigkeitstyp n ist.
  14. Lichtempfindliche Vorrichtung nach einem der Ansprüche 11 bis 13, ferner aufweisend: eine Siliziumdeckschicht, die über der Germaniumschicht angeordnet ist, wobei die zwei zweiten dotierten Gebiete und das vierte dotierte Gebiet ferner in der Siliziumdeckschicht angeordnet sind.
  15. Lichtempfindliche Vorrichtung nach einem der Ansprüche 11 bis 14, ferner aufweisend: zwei fünfte dotierte Gebiete des ersten Leitfähigkeitstyps, die in der Germaniumschicht unter den zwei dotierten Polysilizium-Gates angeordnet sind.
  16. Lichtempfindliche Vorrichtung nach einem der Ansprüche 11 bis 15, ferner aufweisend: eine Oxidschicht, die über dem Siliziumsubstrat angeordnet ist, wobei die dotierte Siliziumschicht weiter zwischen der Oxidschicht und der Germaniumschicht der Germanium-basierten Fotodiode angeordnet ist und diese voneinander trennt.
  17. Verfahren zum Bilden einer lichtempfindlichen Vorrichtung, umfassend: Bilden eines Sensorhohlraums über einem Siliziumsubstrat; Bilden einer in-situ dotierten Siliziumschicht, die den Sensorhohlraum teilweise füllt und auskleidet; Bilden einer Germaniumschicht über der in-situ dotierten Siliziumschicht, die einen Rest des Sensorhohlraums füllt; und Bilden einer Fotodiode und eines Sperrschicht-Feldeffekttransistors, die die Germaniumschicht enthalten, wobei der Sperrschicht-Feldeffekttransistor ein Polysilizium-Gate aufweist.
  18. Verfahren nach Anspruch 17, wobei das Bilden des Sperrschicht-Feldeffekttransistors Durchführen eines Diffusionsprozesses umfasst, um zu bewirken, dass Dotierstoff von dem Polysilizium-Gate in die Germaniumschicht diffundiert.
  19. Verfahren nach Anspruch 17 oder 18, ferner umfassend: Bilden einer Oxidschicht über dem Siliziumsubstrat, wobei das Bilden des Sensorhohlraums Ätzen der Oxidschicht umfasst, um das Siliziumsubstrat freizulegen.
  20. Verfahren nach einem der vorstehenden Ansprüche 17 bis 19, wobei das Bilden des Sensorhohlraums Ätzen des Siliziumsubstrats umfasst.
DE102021119365.6A 2021-03-16 2021-07-27 Germanium-basierter sensor mit sperrschichtfeldeffekttransistor und dessen herstellungsverfahren Pending DE102021119365A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163161687P 2021-03-16 2021-03-16
US63/161,687 2021-03-16
US17/383,687 US11600737B2 (en) 2021-03-16 2021-07-23 Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof
US17/383,687 2021-07-23

Publications (1)

Publication Number Publication Date
DE102021119365A1 true DE102021119365A1 (de) 2022-09-22

Family

ID=82666759

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021119365.6A Pending DE102021119365A1 (de) 2021-03-16 2021-07-27 Germanium-basierter sensor mit sperrschichtfeldeffekttransistor und dessen herstellungsverfahren

Country Status (5)

Country Link
US (2) US11600737B2 (de)
KR (1) KR102641775B1 (de)
CN (1) CN114883351A (de)
DE (1) DE102021119365A1 (de)
TW (1) TWI806168B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11855237B2 (en) 2021-03-16 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof
US11600737B2 (en) * 2021-03-16 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5907168A (en) * 1998-01-23 1999-05-25 Tlc Precision Wafer Technology, Inc. Low noise Ge-JFETs
US7288825B2 (en) 2002-12-18 2007-10-30 Noble Peak Vision Corp. Low-noise semiconductor photodetectors
US7560755B2 (en) * 2006-06-09 2009-07-14 Dsm Solutions, Inc. Self aligned gate JFET structure and method
US20080272394A1 (en) * 2007-05-01 2008-11-06 Ashok Kumar Kapoor Junction field effect transistors in germanium and silicon-germanium alloys and method for making and using
US20100006961A1 (en) * 2008-07-09 2010-01-14 Analog Devices, Inc. Recessed Germanium (Ge) Diode
US9213137B2 (en) * 2013-07-12 2015-12-15 Globalfoundries Singapore Pte. Ltd. Semiconductor devices including photodetectors integrated on waveguides and methods for fabricating the same
DE112013007697B4 (de) * 2013-12-20 2021-12-09 Intel Corporation Fotodetektor mit einer sich verjüngenden Wellenleiterstruktur sowie Verfahren und System
US9142698B1 (en) 2014-04-14 2015-09-22 Oracle International Corporation Integrated electro-absorption modulator
US10707260B2 (en) * 2015-08-04 2020-07-07 Artilux, Inc. Circuit for operating a multi-gate VIS/IR photodiode
US10062693B2 (en) * 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
FR3089348B1 (fr) * 2018-11-30 2020-10-30 Commissariat Energie Atomique procede de fabrication d’une matrice de diodes a base de germanium et a faible courant d’obscurité
US11393939B2 (en) * 2019-09-20 2022-07-19 Taiwan Semiconductor Manufacturing Company Ltd. Photo sensing device and method of fabricating the photo sensing device
US11393940B2 (en) * 2019-09-20 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Photodetector and method for forming the same
US11404590B2 (en) * 2019-09-20 2022-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Photo sensing device and method of fabricating the photo sensing device
US11600737B2 (en) * 2021-03-16 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof

Also Published As

Publication number Publication date
CN114883351A (zh) 2022-08-09
US20240105877A1 (en) 2024-03-28
KR102641775B1 (ko) 2024-02-27
TW202301704A (zh) 2023-01-01
US20220302336A1 (en) 2022-09-22
KR20220129470A (ko) 2022-09-23
TWI806168B (zh) 2023-06-21
US11600737B2 (en) 2023-03-07

Similar Documents

Publication Publication Date Title
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE602004006782T2 (de) Verfahren zur herstellung eines verformten finfet-kanals
DE102005030065B4 (de) Festphasenepitaxie verwendendes Halbleiterbauelement und Verfahren zur Herstellung desselben
DE102012204516A1 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE112006001589T5 (de) Halbleiterbauelementstrukturen und Verfahren zur Bildung von Halbleiterstrukturen
DE102018008634B4 (de) Ausbildungsverfahren mit hoher Oberflächen-Dotierstoffkonzentration und deren Strukturen
DE102005020410A1 (de) Transistorstruktur und zugehöriges Herstellungsverfahren
DE102018124749A1 (de) Strukturen und Verfahren zur Rauschisolation in Halbleitervorrichtungen
DE102014210406B4 (de) Verfahren zur Herstellung eines Transistors mit einem verspannten Kanalgebiet
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102019204737B4 (de) Hybrid-Gate-Schnitt
DE102021119365A1 (de) Germanium-basierter sensor mit sperrschichtfeldeffekttransistor und dessen herstellungsverfahren
DE102019107491B4 (de) Einstellen der Schwellenspannung durch metastabile Plasmabehandlung
DE102011088584A1 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102020109608A1 (de) Dummy-finnen und verfahren zu deren herstellung
DE102018105996A1 (de) Selektives aufwachsen von schichten zur spaltfüllung von unten nach oben
DE102021100720A1 (de) Kontakte für halbleitervorrichtungen und verfahren zu deren herstellung
DE19615692A1 (de) Halbleitervorrichtung, die einen Elementtrennfilm mit einer flachen oberen Oberfläche enthält, und Herstellungsverfahren derselben
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102021110383A1 (de) Mehrschicht-isolationsstruktur fürhochspannungs-silizium-auf-isolator-vorrichtung
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021101001A1 (de) Halbleitervorrichtung und verfahren
DE102022100442A1 (de) Transistor-source-/drain-bereiche und verfahren zu deren herstellung
DE102021116076A1 (de) Halbleitervorrichtung und verfahren
DE102021108858A1 (de) Halbleitervorrichtung und herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed