DE102021117107A1 - Halbleitersensor und verfahren dafür - Google Patents

Halbleitersensor und verfahren dafür Download PDF

Info

Publication number
DE102021117107A1
DE102021117107A1 DE102021117107.5A DE102021117107A DE102021117107A1 DE 102021117107 A1 DE102021117107 A1 DE 102021117107A1 DE 102021117107 A DE102021117107 A DE 102021117107A DE 102021117107 A1 DE102021117107 A1 DE 102021117107A1
Authority
DE
Germany
Prior art keywords
layer
substrate
forming
region
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021117107.5A
Other languages
English (en)
Inventor
Yin-Kai Liao
Jen-Cheng Liu
Kuan-Chieh Huang
Chih-Ming Hung
Yi-Shin Chu
Hsiang-Lin Chen
Sin-Yi Jiang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021117107A1 publication Critical patent/DE102021117107A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14692Thin film technologies, e.g. amorphous, poly, micro- or nanocrystalline silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • H01L27/14607Geometry of the photosensitive area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14698Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/02002Arrangements for conducting electric current to or from the device in operations
    • H01L31/02005Arrangements for conducting electric current to or from the device in operations for device characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • H01L31/0288Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/105Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PIN type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Ein Verfahren und eine Struktur, die einen optischen Sensor mit einer optimierten Ge-Si-Grenzfläche bereitstellen, umfassen Bereitstellen eines Substrats mit einem Pixelbereich und einem Logikbereich. In einigen Ausführungsformen umfasst das Verfahren ferner Bilden eines Grabens innerhalb des Pixelbereichs. In verschiedenen Beispielen umfasst das Verfahren nach dem Bilden des Grabens ferner Bilden einer dotierten Halbleiterschicht entlang von Seitenwänden und entlang einer unteren Fläche des Grabens. In einigen Ausführungsformen umfasst das Verfahren ferner Bilden einer Germaniumschicht innerhalb des Grabens und über der dotierten Halbleiterschicht. In einigen Beispielen umfasst das Verfahren nach dem Bilden der Germaniumschicht ferner Bilden eines optischen Sensors innerhalb der Germaniumschicht.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/060,059 , eingereicht am 1. August 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Die Elektronikindustrie hat einen ständig wachsenden Bedarf an kleineren und schnelleren elektronischen Vorrichtungen erfahren, die gleichzeitig eine größere Anzahl von zunehmend komplexeren und raffinierteren Funktionen unterstützen können. Dementsprechend gibt es einen kontinuierlichen Trend in der Halbleiterindustrie zur Herstellung von integrierten Schaltungen (ICs) mit niedrigen Kosten, hoher Leistungsfähigkeit und niedriger Leistung. Bis jetzt wurden diese Ziele größtenteils erreicht, indem die Halbleiter-IC-Abmessungen (z. B. die minimale Strukturelementgröße) verkleinert wurden und dadurch die Produktionsleistung gesteigert wurde und die damit verbundenen Kosten gesenkt wurden. Jedoch erhöht solch eine Verkleinerung auch die Komplexität des Halbleiterherstellungsprozesses. Somit erfordern die kontinuierlichen Fortschritte, die bei Halbleiter-ICs und -Vorrichtungen erzielt werden, ähnliche Entwicklungen in den Prozessen und Technologien zur Halbleiterfertigung.
  • Als Beispiel werden Halbleitersensoren in einer Vielfalt von Anwendungen zur Messung physischer, chemischer, biologischer und Umgebungsparameter weitverbreitet verwendet. Einige spezifische Typen von Halbleitersensoren weisen Gassensoren, Drucksensoren, Temperatursensoren, optische Bildsensoren und dergleichen auf Bei optischen Bildsensoren ist Dunkelstrom ein großes Problem für die Leistungsfähigkeit und Zuverlässigkeit der Vorrichtungen. Dunkelstrom ist ein Strom, der in Abwesenheit von Licht fließt, und kann allgemeiner als Leckstrom beschrieben werden, der in einem optischen Bildsensor vorliegt. In zumindest einigen Fällen kann die schlechte Qualität von Grenzflächen zwischen den verschiedenen Halbleiterschichten, die in optischen Bildsensoren verwendet werden, zu beträchtlichem Dunkelstrom führen.
  • Somit haben sich die bestehenden Prozesse nicht in jeder Hinsicht als vollständig zufriedenstellend erwiesen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1, 10, 18, 26, 33, 42, 50 und 58 veranschaulichen verschiedene Verfahren zum Fertigen einer Halbleitervorrichtung, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen;
    • 2, 3, 4, 5, 6, 7, 8 und 9 sind Querschnittsansichten einer beispielhaften Vorrichtung, die gemäß einem oder mehreren Schritten des Verfahrens von 1 gefertigt ist;
    • 11, 12, 13, 14, 15, 16 und 17 sind Querschnittsansichten einer beispielhaften Vorrichtung, die gemäß einem oder mehreren Schritten des Verfahrens von 10 gefertigt ist;
    • 19, 20, 21, 22, 23, 24 und 25 sind Querschnittsansichten einer beispielhaften Vorrichtung, die gemäß einem oder mehreren Schritten des Verfahrens von 18 gefertigt ist;
    • 27, 28, 29, 30, 31 und 32 sind Querschnittsansichten einer beispielhaften Vorrichtung, die gemäß einem oder mehreren Schritten des Verfahrens von 26 gefertigt ist;
    • 34, 35, 36, 37, 38, 39, 40 und 41 sind Querschnittsansichten einer beispielhaften Vorrichtung, die gemäß einem oder mehreren Schritten des Verfahrens von 33 gefertigt ist;
    • 43, 44, 45, 46, 47, 48 und 49 sind Querschnittsansichten einer beispielhaften Vorrichtung, die gemäß einem oder mehreren Schritten des Verfahrens von 42 gefertigt ist;
    • 51, 52, 53, 54, 55, 56 und 57 sind Querschnittsansichten einer beispielhaften Vorrichtung, die gemäß einem oder mehreren Schritten des Verfahrens von 50 gefertigt ist; und
    • 59, 60, 61, 62, 63 und 64 sind Querschnittsansichten einer beispielhaften Vorrichtung, die gemäß einem oder mehreren Schritten des Verfahrens von 58 gefertigt ist.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. Ausführungsbeispiele zum Implementieren unterschiedlicher Merkmale des bereitgestellten Gegenstands bereit. Um die vorliegende Offenbarung zu vereinfachen, werden nachstehend konkrete Beispiele für Komponenten und Anordnungen beschrieben. Diese sind selbstverständlich lediglich Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann die Bildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Strukturelement in direktem Kontakt gebildet werden, und auch Ausführungsformen umfassen, in denen zusätzliche Strukturelemente derart zwischen dem ersten und dem zweiten Strukturelement gebildet werden können, dass das erste und das zweite Strukturelement nicht in direktem Kontakt sein können. Zusätzlich kann die vorliegende Offenbarung in den verschiedenen Beispielen Bezugszeichen und/oder Buchstaben wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner können räumlich relative Begriffe wie „unter“, „unterhalb“, „untere/r/s“, „oberhalb“, „obere/r/s“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elementes oder Strukturelements zu einem anderen Element (anderen Elementen) oder Strukturelement(en), wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Ausrichtung unterschiedliche Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb umfassen. Der Gegenstand kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die vorliegend verwendeten räumlich relativen Beschreibungen können ebenso dementsprechend interpretiert werden.
  • Es ist auch zu beachten, dass die vorliegende Offenbarung Ausführungsformen darstellt, die in einer beliebigen einer Vielfalt von Halbleitervorrichtungstypen verwendet werden können. Zum Beispiel können Ausführungsformen der vorliegenden Offenbarung in planaren Bulk-Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), FinFETs, Gate-allaround-Transistoren (GAA-Transistoren), verspannten Halbleitervorrichtungen, Silizium-auf-Isolator-Vorrichtungen (SOI-Vorrichtungen), teilweise verarmten SOI-Vorrichtungen, vollständig verarmten SOI-Vorrichtungen, ladungsgekoppelten Vorrichtungen, CMOS-Sensoren, Fotodioden oder anderen in der Technik bekannten Vorrichtungen verwendet werden. Zusätzlich können hierin offenbarte Ausführungsformen bei der Bildung von p- und/oder n-Vorrichtungen eingesetzt werden. Ein Durchschnittsfachmann kann weitere Ausführungsformen von Halbleitervorrichtungen erkennen, die von Aspekten der vorliegenden Offenbarung profitieren können.
  • Halbleitersensoren werden in einer Vielfalt von Anwendungen zur Messung physischer, chemischer, biologischer und Umgebungsparameter weitverbreitet verwendet. Einige Typen von Halbleitersensoren weisen Gassensoren, Drucksensoren, Temperatursensoren, optische Bildsensoren und dergleichen auf Bei optischen Bildsensoren ist Dunkelstrom ein großes Problem für die Leistungsfähigkeit und Zuverlässigkeit der Vorrichtungen. Dunkelstrom ist ein Strom, der in Abwesenheit von Licht fließt, und kann allgemeiner als Leckstrom beschrieben werden, der in einem optischen Bildsensor vorliegt. In zumindest einigen Fällen kann die schlechte Qualität von Grenzflächen zwischen den verschiedenen Halbleiterschichten, die in optischen Bildsensoren verwendet werden, zu beträchtlichem Dunkelstrom führen.
  • Die vorliegende Offenbarung betrifft allgemein optische Sensoren mit verbesserten Grenzflächen zwischen verschiedenen Halbleiterschichten zum Verringern von Dunkelstrom und Verbessern der Leistungsfähigkeit der Vorrichtungen. Insbesondere beziehen sich verschiedene Ausführungsformen auf Germanium-basierten (Ge-basierten) Sensoren und Fertigungsprozesse für solche Sensoren. Lediglich als ein Beispiel und, ohne sich auf eine bestimmte Anwendung beschränkt zu sein, sind einige Ausführungsformen der hierin offenbarten Ge-basierten Sensoren aufgrund des breiten Transparenzfensters von Ge und dessen starken nichtlinearen Brechungsindexes besonders vorteilhaft für Photonik im mittleren Infrarot (Mittel-IR). Mittel-IR-Licht, das eine Wellenlänge aufweist, die länger als die von sichtbarem Licht, aber kürzer als die von Mikrowellen ist, hat viele wichtige Anwendungen in der Fernerkundungs- und Kommunikationstechnik. Um Zweifel auszuschließen, können die Ge-basierten Sensoren und die Prozesse, die hierin beschrieben werden, auch auf andere Typen von Anwendungen angewendet werden. Zusätzlich kann in einigen Ausführungsformen der hierin offenbarte Ge-basierte Sensor eine Fotodiode (PD), einen Fotodetektor oder einen anderen Typ eines Ge-basierten optischen Sensors aufweisen. Die Aspekte der vorliegenden Offenbarung können jedoch gleichermaßen auf andere Typen optischer Sensoren angewendet werden, ohne den Schutzumfang der vorliegenden Offenbarung zu verlassen. Wie vorstehend angemerkt, kann eine schlechte Qualität der Grenzfläche zwischen den Halbleiterschichten zu einem erhöhten Dunkelstrom führen. Für Ge-basierte Vorrichtungen werden die Halbleitergrenzflächenqualität und der Dunkelstrom umso kritischer, als zumindest der Energiebandabstand für Ge kleiner als der für Silizium (Si) ist. Als Ergebnis stellt ein erhöhter Dunkelstrom (Leckstrom) in einem Ge-basierten optischen Sensor ein erhebliches Problem dar, da er die optische Leistung und Zuverlässigkeit der Vorrichtung verschlechtern kann.
  • Ausführungsformen der vorliegenden Offenbarung bieten Vorteile gegenüber dem Stand der Technik, es versteht sich jedoch, dass andere Ausführungsformen unterschiedliche Vorteile bieten können, nicht alle Vorteile hierin zwingend erörtert werden und kein besonderer Vorteil für alle Ausführungsformen erforderlich ist. Zum Beispiel weisen die hierin erörterten Ausführungsformen Strukturen und Verfahren zur Passivierung von Grenzflächen zwischen verschiedenen Halbleiterschichten auf, um Dunkelstrom zu verringern und die Leistungsfähigkeit der Vorrichtungen zu verbessern. In einigen Ausführungsformen weist die Grenzfläche eine Grenzfläche zwischen Ge und Si auf. Somit stellen die hierin offenbarten Strukturen und Verfahren in einigen Beispielen eine Passivierung der Grenzfläche zwischen Ge und Si (oder „Ge-Si-Grenzfläche“) bereit. Gemäß verschiedenen Ausführungsformen kann die Passivierung der Ge-Si-Grenzfläche durch Dotieren der Ge-Si-Grenzfläche erzielt werden. Beispielsweise kann der Ausdruck „Dotieren der Ge-Si-Grenzfläche“ Einführen einer Dotierungsspezies an der Ge-Si-Grenzfläche (z. B. sowohl über die Ge- als auch die Si-Schicht), in der Ge-Schicht unmittelbar benachbart zur Ge-Si-Grenzfläche, in der Si-Schicht unmittelbar benachbart zur Ge-Si-Grenzfläche, in der Ge-Schicht in einem Abstand von der Ge-Si-Grenzfläche oder in der Si-Schicht in einem Abstand von der Ge-Si-Grenzfläche umfassen. In verschiedenen Ausführungsformen kann die Dotierung der Ge-Si-Grenzfläche durch Ionenimplantation und/oder Diffusion (z. B. unter Verwendung einer Gas-, Flüssigkeits- oder Feststoff-Dotierungsquelle) durchgeführt werden. In einigen Ausführungsformen können die Dotierungsspezies, die für das Dotieren der Ge-Si-Grenzfläche verwendet werden, ein p-Material (z. B. Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) oder Gruppe VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten. Gemäß einem oder mehreren der hierin beschriebenen Verfahren kann die Ge-Si-Grenzfläche durch Einführen einer oder mehrerer dieser Dotierungsspezies in die Ge-Si-Grenzfläche optimiert werden, um es im Wesentlichen zu verhindern, dass Elektronen in der Si-Schicht in die Ge-Schicht eindringt. Als Ergebnis wird das Problem hinsichtlich des Leckstroms und Dunkelstroms in Ge-basierten Sensoren erheblich verringert. In zumindest einigen Beispielen wird der Leckstrom/Dunkelstrom des Ge-basierten Sensors um etwa 10 % verringert/verbessert. Für den Fachmann sind weitere Vorzüge und Vorteile der hier beschriebenen Verfahren und Vorrichtungen zu erkennen, und die beschriebenen Ausführungsformen sind nicht als Einschränkung über das hinaus gedacht, was in den folgenden Ansprüchen spezifisch dargelegt ist.
  • Nunmehr unter Bezugnahme auf 1 ist ein Verfahren 100 zum Fertigen einer Halbleitervorrichtung 200, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 100 wird nachstehend unter Bezugnahme auf 2 bis 9 erörtert, die Querschnittsansichten der Halbleitervorrichtung 200 in verschiedenen Fertigungsstufen gemäß einem oder mehreren Schritten des Verfahrens 100 bereitstellen. Es versteht sich, dass das Verfahren 100 sowie die Verfahren 1000, 2000, 3000, 4000, 5000, 6000, 7000 (nachstehend erörtert) Schritte umfassen, die Merkmale eines Prozessflusses der komplementären Metalloxidhalbleiter-Technik (CMOS-Technik) aufweisen, weshalb sie hierin nur kurz beschrieben werden. Außerdem können zusätzliche Schritte vor, nach und/oder während jedes der Verfahren 100, 1000, 2000, 3000, 4000, 5000, 6000, 7000 durchgeführt werden, und einige Prozessschritte können ersetzt oder weggelassen werden, ohne den Schutzumfang der vorliegenden Offenbarung zu verlassen.
  • Zusätzlich können die Halbleitervorrichtung 200 sowie die Halbleitervorrichtungen 1100, 2100, 3100, 4100, 5100, 6100, 7100 (nachstehend erörtert) verschiedene andere Vorrichtungen und Elemente aufweisen, die andere Typen von Vorrichtungen wie planare MOSFETs, FinFETs, GAA-Transistoren, verspannte Halbleitervorrichtungen, SOI-Vorrichtungen, ladungsgekoppelte Vorrichtungen, CMOS-Sensoren, Fotodioden, andere optische Vorrichtungen, Bipolartransistoren, Widerstände, Kondensatoren, Induktivitäten, Dioden, Sicherungen, Speichervorrichtungen wie statische Direktzugriffsspeicher-Vorrichtungen (SRAM-Vorrichtungen), E/A-Transistoren, andere Logikvorrichtungen und/oder Schaltungen usw. aufweisen, sind aber für ein besseres Verständnis der erfinderischen Konzepte der vorliegenden Offenbarung vereinfacht. In einigen Ausführungsformen weisen die Halbleitervorrichtung 200 und die Halbleitervorrichtungen 1100, 2100, 3100, 4100, 5100, 6100, 7100 (nachstehend erörtert) eine Mehrzahl von Halbleitervorrichtungen (z. B. Transistoren) auf, die p-Transistoren, n-Transistoren und dergleichen aufweisen, die miteinander verbunden sein können. Darüber hinaus ist es zu beachten, dass die Prozessschritte der Verfahren 100, 1000, 2000, 3000, 4000, 5000, 6000, 7000, die jegliche Beschreibung aufweisen, die unter Bezugnahme auf die Figuren dargelegt ist, nur beispielhaft sind und nicht als Einschränkung über das hinaus gedacht sind, was in den folgenden Ansprüchen spezifisch dargelegt ist.
  • Das Verfahren 100 beginnt bei Block 102, bei dem ein Substrat bereitgestellt wird. Unter Bezugnahme auf das Beispiel von 2 wird in einer Ausführungsform von Block 102 ein Substrat 202 bereitgestellt. In einigen Ausführungsformen kann das Substrat 202 ein Halbleitersubstrat, wie ein Siliziumsubstrat, sein. Das Substrat 202 kann verschiedene Schichten aufweisen, die leitfähige oder Isolierschichten aufweisen, die auf einem Halbleitersubstrat ausgebildet sind. Das Substrat 202 kann abhängig von den Designanforderungen auch verschiedene Dotierungskonfigurationen aufweisen, wie in der Technik bekannt. Das Substrat 202 kann auch weitere Halbleiter, wie Germanium, Siliziumcarbid (SiC), Siliziumgermanium (SiGe) oder Diamant, aufweisen. Alternativ kann das Substrat 202 einen Verbindungshalbleiter und/oder einen Legierungshalbleiter aufweisen. Ferner kann das Substrat 202 optional eine epitaktische Schicht (Epi-Schicht) aufweisen, kann zur Leistungsanreicherung verspannt werden, kann eine Silizium-auf-Isolator-Struktur (SOI-Struktur) aufweisen und/oder weitere geeignete Anreicherungselemente aufweisen.
  • In einigen Ausführungsformen weist das Substrat 202 auch einen optoelektronischen Bereich 204 und einen Logikbereich 206 benachbart zum optoelektronischen Bereich 204 auf. Beispielsweise kann der optoelektronische Bereich 204 ein oder mehrere optoelektronische Vorrichtungen (z. B. wie eine Fotodiode) aufweisen, die einem oder mehreren Bildpixeln zugeordnet sind. Somit kann in einigen Fällen der optoelektronische Bereich 204 gleichbedeutend als „Pixelbereich“ bezeichnet werden. In einigen Ausführungsformen kann der Logikbereich 206 allgemein Kern-(Logik-)Transistoren und -Schaltungen aufweisen. Vorrichtungen und/oder Schaltungen innerhalb des Logikbereichs 206 können in wenigstens einigen Beispielen planare MOSFETs, FinFETs, GAA-Transistoren, CMOS-Transistoren, verspannte Halbleitervorrichtungen, SOI-Vorrichtungen, bipolare Sperrschichttransistoren, Widerstände, Kondensatoren, Induktivitäten, Dioden, Sicherungen, Speichervorrichtungen wie SRAM-Vorrichtungen, E/A-Transistoren und/oder andere Logikvorrichtungen und/oder - schaltungen aufweisen. In einigen Fällen können ein oder mehrere Vorrichtungen innerhalb des Logikbereichs 206 mit einem oder mehreren Vorrichtungen im optoelektronischen Bereich 204 gekoppelt werden, um zum Beispiel zusammen eine Pixelschaltung oder eine andere optoelektronische Schaltung zu definieren.
  • Das Verfahren 100 geht dann zu Block 104 über, bei dem ein Graben gebildet wird. Unter Bezugnahme auf das Beispiel von 3 wird in einer Ausführungsform von Block 104 ein Graben 302 innerhalb des optoelektronischen Bereichs 204 gebildet. In einigen Ausführungsformen wird vor der Bildung des Grabens 302 eine Hartmaskenschicht (HM-Schicht) 304 über dem Substrat 202 abgeschieden. In einigen Beispielen kann die HM-Schicht 304 eine Siliziumnitridschicht, wie Si3N4, Siliziumoxynitrid, Siliziumkarbid, eine Oxidschicht oder eine Kombination davon, aufweisen. Die HM-Schicht 304 kann durch CVD, PVD, ALD oder durch andere geeignete Prozesse abgeschieden werden. In verschiedenen Beispielen kann eine Fotolack-Schicht (Resistschicht) über der HM-Schicht 304 abgeschieden werden (z. B. durch Aufschleuderbeschichten). Nach dem Bilden der Resistschicht kann die Resistschicht belichtet und entwickelt werden, um die Resistschicht zu strukturieren. In einigen Ausführungsformen entfernt das Strukturieren der Resistschicht einen Abschnitt der Resistschicht vom optoelektronischen Bereich 204, bei dem der Graben gebildet wird, während andere Abschnitte der Resistschicht intakt bleiben. Nach dem Strukturieren der Resistschicht kann in verschiedenen Ausführungsformen ein Ätzprozess (z. B. wie eine Trockenätzung, eine Nassätzung oder eine Kombination davon) durchgeführt werden, um die HM-Schicht 304 innerhalb des optoelektronischen Bereichs 204 unter Verwendung der strukturierten Resistschicht als Maske zu ätzen. Nach dem Ätzen der HM-Schicht 304 kann die strukturierte Resistschicht zum Beispiel durch ein Lösungsmittel, einen Resistabstreifer, eine Veraschung oder eine andere geeignete Technik entfernt werden. Danach kann ein weiterer Ätzprozess (z. B. wie eine Trockenätzung, eine Nassätzung oder eine Kombination davon) unter Verwendung der strukturierten HM-Schicht 304 als Maske zum Ätzen des Substrats 202 durchgeführt werden, um den Graben 302 innerhalb des Substrats 202 zu bilden. In einigen Ausführungsformen kann der Graben 302 eine Tiefe „D“ im Bereich von etwa 0,5 bis 2 Mikrometer, eine Breite „W“ im Bereich von etwa 0,5 bis 2 Mikrometer und eine Länge „L“ im Bereich von etwa 0,5 bis 2 Mikrometer (in die Seite hinein) aufweisen. In einigen Beispielen kann nach dem Bilden des Grabens 302 die HM-Schicht 304 unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination davon entfernt werden.
  • Das Verfahren 100 geht dann zu Block 106 über, bei dem eine Oxidschicht abgeschieden wird. Unter Bezugnahme auf das Beispiel von 4 wird in einer Ausführungsform von Block 106 eine Oxidschicht 402 über dem Substrat 202 (z. B. sowohl innerhalb des optoelektronischen Bereichs 204 als auch des Logikbereichs 206), aufweisend innerhalb des Grabens 302, abgeschieden. Die Oxidschicht 402 kann eine SiO2 oder eine andere geeignete Oxidschicht aufweisen. In verschiedenen Beispielen kann die Oxidschicht 402 durch CVD, PVD, ALD oder durch einen anderen geeigneten Prozess abgeschieden werden. In einigen Fällen ist die Oxidschicht 402 eine konforme Schicht mit einer im Wesentlichen gleichmäßigen Dicke „T“ über dem Substrat 202 und innerhalb des Grabens 302. In einigen alternativen Ausführungsformen kann die Oxidschicht 402 im optoelektronischen Bereich 204 gebildet werden, aber nicht im Logikbereich 206. Ferner kann in einigen Fällen die Oxidschicht 402 innerhalb des Grabens 302 (z. B. aufweisend auf Seitenwandflächen und unterer Fläche des Grabens 302) gebildet werden, jedoch nicht innerhalb anderer Teile des optoelektronischen Bereichs 204 oder des Logikbereichs 206. In verschiedenen Ausführungsformen kann die Oxidschicht 402 verwendet werden, um eine Tiefe (z. B. die geplante Reichweite) eines anschließend durchgeführten Ionenimplantationsprozesses zu steuern. Zum Beispiel kann die Tiefe der Ionenimplantation mit zunehmender Dicke der Oxidschicht 402 abnehmen. Die Dicke der Oxidschicht 402 kann in einigen Ausführungsformen im Bereich von etwa 50 bis 5000 Angström liegen.
  • Das Verfahren 100 geht dann zu Block 108 über, bei dem ein Ionenimplantationsprozess durchgeführt wird. Unter Bezugnahme auf das Beispiel von 5 kann in einer Ausführungsform von Block 108 zu Beginn eine Implantationsmaskierungsschicht 502 über dem Substrat 202 gebildet werden (z. B. sowohl innerhalb des optoelektronischen Bereichs 204 als auch des Logikbereichs 206). Die Implantationsmaskierungsschicht 502 kann in einigen Beispielen eine Siliziumnitridschicht, eine Oxidschicht, eine Polysiliziumschicht, eine Resistschicht oder andere angemessene Schichten aufweisen. Die Implantationsmaskierungsschicht 502 kann durch CVD, PVD, ALD oder andere geeignete Prozesse abgeschieden werden. Nach dem Bilden der Implantationsmaskierungsschicht 502 kann die Implantationsmaskierungsschicht 502 strukturiert werden (z. B. unter Verwendung eines Fotolithografie- und Ätzprozesses, der dem vorstehend beschriebenen Prozess zum Strukturieren der HM-Schicht 304 ähnelt), um eine Öffnung in der Implantationsmaskenschicht 502 zu bilden, die den Graben 302 freilegt. Danach wird in einigen Ausführungsformen ein Ionenimplantationsprozess 504 in die Vorrichtung 200 durchgeführt. In einigen Beispielen können die Dotierungsspezies, die für den Ionenimplantationsprozess 504 verwendet werden, ein p-Material (z. B. Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) und/oder Gruppe VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten. In zumindest einigen Ausführungsformen umfasst der Ionenimplantationsprozess 504 eine Mehrzahl von Ionenimplantationen, wobei die Mehrzahl von Ionenimplantationen eine unterschiedliche Dotierungsspezies implantiert, oder wobei die Mehrzahl von Ionenimplantationen die gleichen Dotierungsspezies unter Verwendung unterschiedlicher Ionenimplantationsparameter (z. B. wie Dosis und Energie) implantiert. Als Ergebnis des Ionenimplantationsprozesses 504 wird ein Implantationsbereich 506 innerhalb und entlang einer oberen Fläche des Substrats 202 gebildet, die nicht von der Implantationsmaskierungsschicht 502 bedeckt ist (z. B. Flächen des Substrats 202 in der Nähe des Grabens 302). In einigen Fällen wird der Implantationsbereich 506 innerhalb von Abschnitten des Substrats 202 sowohl entlang von Seitenwandflächen als auch unteren Fläche des Grabens 302 gebildet. In Ausführungsformen, in denen das Substrat 202 Si enthält, definiert der Implantationsbereich 506 einen ionenimplantierten Si-Bereich.
  • Wie nachstehend ausführlicher beschrieben, befindet sich der Implantationsbereich 506 in der Nähe der Ge-Si-Grenzfläche (z. B. nach der Bildung einer Ge-Schicht innerhalb des Grabens 302). In einigen Ausführungsformen kann das p-Material, das für den Ionenimplantationsprozess 504 verwendet wird, zum Ändern einer Trägerkonzentration des Substrats 202 (z. B. wie eines Si-Substrats) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen, wie nachstehend beschrieben. In einigen Fällen kann das Material der Gruppe VIIA, das für den Ionenimplantationsprozess 504 verwendet wird, zum Neutralisieren eingefangener Ladungen innerhalb des Substrats 202 (z. B. wie eines Si-Substrats) verwendet werden, die durch Defekte (z. B. nicht abgesättigte Bindungen) entlang der oberen Fläche des Substrats 202 und in einem Bereich in der Nähe der oberen Fläche des Substrats 202 eingefangen werden können. Insbesondere kann das Material der Gruppe VIIIA zum Neutralisieren eingefangener Ladungen entlang eines Flächenbereichs des Substrats 202 (z. B. wie eines Si-Substrats) in der Nähe der Ge-Schicht (oder Ge-Fotodiodenschicht) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen.
  • Das Verfahren 100 geht dann zu Block 110 über, bei dem die Oxidschicht entfernt wird und eine Germaniumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 6 kann in einer Ausführungsform von Block 110 nach der Bildung des Implantationsbereichs 506 (Block 108) die Oxidschicht 402 unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination davon von dem Graben 302 (z. B. sowohl von Seitenwandflächen als auch unteren Fläche des Grabens 302) entfernt werden. Somit legt die Entfernung der Oxidschicht 402 den Implantationsbereich 506 entlang von Seitenwandflächen und unteren Fläche des Grabens 302 frei. Nach dem Entfernen der Oxidschicht 402 von dem Graben 302 kann die Implantationsmaskierungsschicht 502 unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination davon entfernt werden. Es ist zu beachten, dass nach der Entfernung der Implantationsmaskierungsschicht 502 Abschnitte der Oxidschicht 402, die unter der Implantationsmaskierungsschicht 502 angeordnet sind, auf Flächen der Vorrichtung 200 außerhalb des Grabens 302 verbleiben können. Nach der Entfernung der Oxidschicht 402 (z. B. von dem Graben 302) und der Implantationsmaskierungsschicht 502 und in einer weiteren Ausführungsform von Block 110 wird eine Germanium-Schicht (Ge-Schicht) 602 innerhalb des Grabens 302 und über dem Implantationsbereich 506 (z. B. aufweisend über dem Implantationsbereich 506 entlang von Seitenwandflächen und unteren Fläche des Grabens 302) gebildet. Wie gezeigt, kann die Ge-Schicht 602 in einigen Fällen aus Graben 302 überfließen, was zu einer Flächentopografie 604 der Ge-Schicht 602 führt. In einigen Ausführungsformen wird die Ge-Schicht 602 durch ALD, CVD, Molekularstrahlepitaxie (MBE), metallorganische (MOCVD) und/oder andere geeignete Aufwachsprozesse gebildet. Zusätzlich weist die Ge-Schicht 602 in einigen Beispielen eine intrinsische Ge-Schicht auf. Somit kann die Ge-Schicht 602 in einigen Ausführungsformen im Wesentlichen undotiert sein (die z. B. nur eine geringfügige Menge einer vorhandenen Dotierungsspezies aufweist). Es ist zu beachten, dass nach der Entfernung der Implantationsmaskierungsschicht 502 die Abschnitte der Oxidschicht 402, die auf Flächen der Vorrichtung 200 (z. B. außerhalb des Grabens 302) verbleiben, Aufwachsen der Ge-Schicht 602 auf der verbleibenden Oxidschicht 402 (z. B. außerhalb des Grabens 302) verhindern können.
  • Das Verfahren 100 geht dann zu Block 112 über, bei dem ein chemisch-mechanischer Polieren-Prozess (CMP-Prozess) durchgeführt wird. Unter Bezugnahme auf das Beispiel von 7 wird in einer Ausführungsform von Block 112 ein CMP-Prozess nach der Bildung der Ge-Schicht 602 innerhalb des Grabens 302 durchgeführt. In verschiedenen Ausführungsformen dient der CMP-Prozess zum Entfernen überschüssiger Abschnitte der Ge-Schicht 602 (z. B. des Abschnitts der Ge-Schicht 602, der sich aus dem Graben 302 heraus erstreckt und die Flächentopografie 604 aufweist) und zum Planarisieren einer oberen Fläche der Vorrichtung 200. In verschiedenen Ausführungsformen kann der CMP-Prozess auch die Abschnitte der Oxidschicht 402 entfernen, die auf Flächen der Vorrichtung 200 (z. B. außerhalb des Grabens 302) verbleiben. Als Ergebnis des CMP-Prozesses sind eine obere Fläche des Substrats 202 (z. B. benachbart zum Graben 302), eine obere Fläche der Ge-Schicht 602 und eine obere Fläche von Abschnitten des Implantationsbereichs 506 entlang von Seitenwandflächen des Grabens 302 im Wesentlichen koplanar (bündig) miteinander.
  • Das Verfahren 100 geht dann zu Block 114 über, bei dem ein optischer Sensor und eine Logikvorrichtung gebildet werden. Unter Bezugnahme auf das Beispiel von 8 wird in einer Ausführungsform von Block 114 ein optischer Sensor 802 im optoelektronischen Bereich 204 innerhalb der Ge-Schicht 602 gebildet, und Logikvorrichtungen 804, 806 werden innerhalb des Logikbereichs 206 gebildet. Zunächst kann unter Bezugnahme auf den optoelektronischen Bereich 204 in einigen Ausführungsformen der optische Sensor 802 einen optischen Ge-Sensor, wie eine Ge-Fotodiode (PD), aufweisen. Der optische Sensor 802 kann einen n-Bereich 808 und einen p-Bereich 810 aufweisen, die innerhalb der Ge-Schicht 602 ausgebildet sind. In einigen Ausführungsformen können der p-Bereich 808 und der p-Bereich 810 durch Ionenimplantation gebildet werden, wie nachstehend ferner erörtert. In verschiedenen Beispielen kann der n-Bereich 808 eine Kathode für den optischen Sensor 802 bereitstellen, und der p-Bereich 810 kann eine Anode für den optischen Sensor 802 bereitstellen. Somit können der p-Bereich 810, der Bereich der (intrinsischen) Ge-Schicht 602 zwischen dem p-Bereich 810 und dem n-Bereich 808 und der n-Bereich 808 zusammen eine p-i-n-Ge-PD (oder einfach ein p-n-Ge-PD) definieren. In einigen Beispielen wird ein Elektron-Loch-Paar erzeugt, wenn ein Photon mit ausreichender Energie auf den optischen Sensor 802 auftrifft. Wenn eine Photonenabsorption im intrinsischen (oder verarmten) Bereich des optischen Sensors 802 auftritt, werden dann in einigen Fällen die erzeugten Elektronen zur Kathode (n-Bereich 808) abgelenkt, und die erzeugten Löcher werden zur Anode (p-Bereich 810) abgelenkt, wodurch ein Fotostrom erzeugt wird.
  • In verschiedenen Ausführungsformen ist ein Gesamtstrom durch den optischen Sensor 802 (Ge-PD) die Summe des Dunkelstroms und des Fotostroms. Gemäß einigen Ausführungsformen dient der Implantationsbereich 506, der in der Nähe der Ge-Si-Grenzfläche (z. B. in der Nähe der Ge-Schicht 602 innerhalb des Substrats 202) angeordnet ist, jedoch so, dass er Strom (Elektronen) vom Substrat 202 (z. B. wie Si) auf wirksame Weise daran hindert, in die Ge-Schicht 602 einzudringen. Als Ergebnis wird die Dunkelstromkomponente des Gesamtstroms durch den optischen Sensor 802 verringert und die Leistung des optischen Sensors 802 wird verbessert. Wie vorstehend erörtert, kann zum Beispiel das p-Material innerhalb des Implantationsbereichs 506 verwendet werden, um eine Trägerkonzentration des Substrats 202 zu ändern, und/oder ein Material der Gruppe VIIA innerhalb des Implantationsbereichs 506 kann verwendet werden, um eingefangene Ladungen im Substrat 202 zu neutralisieren, wodurch die Ge-Si-Grenzfläche optimiert wird.
  • Nunmehr unter Bezugnahme auf den Logikbereich 206 kann in einigen Ausführungsformen die Logikvorrichtung 804 einen n-MOS-Transistor (NMOS-Transistor) aufweisen, und die Logikvorrichtung 806 kann einen p-MOS-Transistor (PMOS-Transistor) aufweisen. Zusätzlich kann die Logikvorrichtung 804 einen Gate-Stapel 816 aufweisen, und die Logikvorrichtung 806 kann einen Gate-Stapel 818 aufweisen. In verschiedenen Beispielen kann jeder der Gate-Stapel 816, 818 ein Gate-Dielektrikum und eine Gate-Elektrode über dem Gate-Dielektrikum aufweisen, und Seitenwandabstandshalter können auf Seitenwänden der Gate-Stapel 816, 818 gebildet werden. Zusätzlich kann die Logikvorrichtung 804 in verschiedenen Beispielen n-Source/Drain-Bereiche 812 aufweisen, die innerhalb des Substrats 202 benachbart zum Gate-Stapel 816 und auf jeder Seite desselben ausgebildet sind, und die Logikvorrichtung 806 kann p-Source/Drain-Bereiche 814 aufweisen, die innerhalb des Substrats 202 benachbart zum Gate-Stapel 818 und auf jeder Seite desselben ausgebildet sind.
  • In einigen Ausführungsformen kann das Gate-Dielektrikum der Gate-Stapel 816, 818 eine Grenzschicht, wie eine Siliziumoxidschicht (SiO2) oder Siliziumoxynitrid (SiON), aufweisen. In einigen Beispielen weist das Gate-Dielektrikum der Gate-Stapel 816, 818 eine dielektrische Schicht mit hohem k-Wert, wie Hafniumoxid (HfO2), auf. Alternativ kann die dielektrische Schicht mit hohem k-Wert andere Dielektrika mit hohem k-Wert, wie TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, Oxynitride (SiON), Kombinationen davon oder ein anderes geeignetes Material, enthalten. Gate-Dielektrika mit hohem k-Wert, wie sie hierin verwendet und beschrieben sind, enthalten dielektrische Materialien mit einer hohen dielektrischen Konstante, zum Beispiel einer dielektrischen Konstante, die größer ist als diejenige von thermischem Siliziumoxid (~3,9). Das Gate-Dielektrikum der Gate-Stapel 816, 818 kann in noch anderen Ausführungsformen Siliziumdioxid oder ein anderes geeignetes Dielektrikum enthalten.
  • In einigen Ausführungsformen kann die Gate-Elektrode der Gate-Stapel 816, 818 als Teil eines Gate-zuerst- oder Gate-zuletzt-Prozesses (z. B. Ersatz-Gate) abgeschieden werden, und die Gate-Elektrode kann eine leitfähige Schicht, wie W, Ti, TiN, TiAl, TiAlN, Ta, TaN, WN, Re, Ir, Ru, Mo, Al, Cu, Co, CoSi, Ni, NiSi, Kombinationen davon und/oder andere geeignete Kombinationen, aufweisen. In einigen Beispielen können die Gate-Elektroden der Gate-Stapel 816, 818 ein erstes Metallmaterial für den NMOS-Transistor (die Logikvorrichtung 804) und ein zweites Metallmaterial für den PMOS-Transistor (die Logikvorrichtung 806) enthalten. Somit können die Logikvorrichtungen 804, 806 eine Konfiguration mit doppeltem Austrittsarbeits-Metall-Gate aufweisen. Zum Beispiel kann das erste Metallmaterial (z. B. für den NMOS-Transistor) Metalle enthalten, die eine Austrittsarbeit aufweisen, die im Wesentlichen mit einer Austrittsarbeit des Substratleitungsbandes ausgerichtet ist oder zumindest im Wesentlichen mit einer Austrittsarbeit des Leitungsbandes des Kanalbereichs der Logikvorrichtung 804 ausgerichtet ist. In ähnlicher Weise kann das zweite Metallmaterial (z. B. für den PMOS-Transistor) Metalle enthalten, die eine Austrittsarbeit aufweisen, die im Wesentlichen mit einer Austrittsarbeit des Valenzbandes des Substrats oder zumindest im Wesentlichen mit einer Austrittsarbeit des Valenzbandes des Kanalbereichs der Logikvorrichtung 806 ausgerichtet ist. Somit kann die Gate-Elektrode der Gate-Stapel 816, 818 sowohl eine Gate-Elektrode für NMOS-Transistoren als auch PMOS-Transistoren bereitstellen. In einigen Ausführungsformen kann die Gate-Elektrode der Gate-Stapel 816, 818 alternativ oder zusätzlich eine Polysiliziumschicht aufweisen. In einigen Ausführungsformen können die Seitenwandabstandshalter (die auf Seitenwänden der Gate-Stapel 816, 818 ausgebildet sind) ein dielektrisches Material wie Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxynitrid oder Kombinationen davon enthalten.
  • In verschiedenen Ausführungsformen weisen die n-Source/Drain-Bereiche 812 und die p-Source/Drain-Bereiche 814 Diffusions-Source/Drain-Bereiche, ionenimplantierte Source/Drain-Bereiche, epitaktisch aufgewachsene Source/Drain-Bereiche oder Kombinationen davon auf. Der Kanalbereich der Logikvorrichtung 804 ist als Bereich zwischen den n-Source-/Drain-Bereichen 812 unter dem Gate-Stapel 816 und innerhalb des Substrats 202 definiert. In ähnlicher Weise ist ein Kanalbereich der Logikvorrichtung 806 als Bereich zwischen den p-Source-/Drain-Bereichen 814 unter dem Gate-Stapel 818 und innerhalb des Substrats 202 definiert. Wenn eine Vorspannung, die größer als eine Schwellenspannung (Vt) (d. h. Einschaltspannung) für die Logikvorrichtungen 804, 806 ist, zusammen mit einer gleichzeitig zwischen ihren jeweiligen Source- und Drain-Bereichen angelegten Vorspannung an ihre jeweiligen Gate-Elektroden angelegt wird, fließt ein elektrischer Strom (z. B. ein Transistortreiberstrom) zwischen den jeweiligen Source- und Drain-Bereichen durch den jeweiligen Kanalbereich. Die Menge an Treiberstrom, die für eine gegebene Vorspannung erzeugt wird (z. B. an die Gate-Elektrode oder zwischen den Source- und Drain-Bereichen angelegt wird), ist u. a. eine Funktion der Beweglichkeit des Materials, das zum Bilden des Kanalbereichs innerhalb einer jeden der Logikvorrichtungen 804, 806 verwendet wird. In einigen Beispielen enthält der Kanalbereich Silizium (Si) und/oder ein Material mit hoher Beweglichkeit, wie Germanium, das epitaktisch aufgewachsen werden kann, sowie einen beliebigen der Mehrzahl von Verbindungshalbleitern oder Legierungshalbleitern. Materialien mit hoher Beweglichkeit enthalten jene Materialien mit einer höheren Elektronen- und/oder Löcherbeweglichkeit als die von Silizium (Si), das eine intrinsische Elektronenbeweglichkeit von etwa 1350 cm2/V-s und eine Löcherbeweglichkeit von etwa 480 cm2/V-s bei Raumtemperatur (300 K) aufweist.
  • In einigen Ausführungsformen können der n-Bereich 808 und der p-Bereich 810 durch Ionenimplantation innerhalb der Ge-Schicht 602 gebildet werden, wie vorstehend angemerkt. In ähnlicher Weise können in einigen Ausführungsformen die n-Source-/Drain-Bereiche 812 und die p-Source-/Drain-Bereiche 814 durch Ionenimplantation gebildet werden, wie auch vorstehend angemerkt. Insbesondere kann in einigen Beispielen ein Ionenimplantationsprozess, der zum Bilden der n-Source/Drain-Bereiche 812 verwendet wird, gleichzeitig den n-Bereich 808 innerhalb der Ge-Schicht 602 bilden. In einigen Ausführungsformen kann der Ionenimplantationsprozess, der zum Bilden der p-Source/Drain-Bereiche 814 verwendet wird, auch gleichzeitig den p-Bereich 810 innerhalb der Ge-Schicht 602 bilden. Mit anderen Worten kann die Source/Drain-Implantation für die Logikvorrichtung 804 (NMOS-Transistor) verwendet werden, um gleichzeitig den n-Bereich 808 zu bilden, und die Source/Drain-Implantation für die Logikvorrichtung 806 (PMOS-Transistor) kann verwendet werden, um gleichzeitig den p-Bereich 810 zu bilden. Natürlich können in einigen Ausführungsformen separate Ionenimplantationsprozesse für die n-Source/Drain-Bereiche 812 und den n-Bereich 808 durchgeführt werden, und separate Ionenimplantationsprozesse können für die p-Source/Drain-Bereiche 814 und den p-Bereich 810 durchgeführt werden. In verschiedenen Beispielen kann die Dotierungsspezies, die zum Dotieren der n-Source-/Drain-Bereiche 812 und des n-Bereichs 808 verwendet wird, Phosphor (P), Arsen (As), Antimon (Sb), Kombinationen davon oder einen anderen angemessenen n-Dotierstoff enthalten. In einigen Ausführungsformen kann die Dotierungsspezies, die zum Dotieren der p-Source/Drain-Bereiche 814 und des p-Bereichs 810 verwendet wird, Bor (B), Aluminium (Al), Gallium (Ga), Indium (In) oder einen anderen angemessenen p-Dotierstoff enthalten.
  • Es ist zu beachten, dass, obwohl die Logikvorrichtung 804 und die Logikvorrichtung 806 so gezeigt und beschrieben worden sind, dass sie MOS-Transistoren aufweisen, diese Beispiele nicht einschränkend sein sollen, und es versteht sich, dass eine Vielfalt von anderen Vorrichtungstypen innerhalb des Logikbereichs 206 gleichermaßen gefertigt werden können, ohne den Schutzbereich der vorliegenden Offenbarung zu verlassen. In anderen Ausführungsformen kann der Logikbereich 206 zum Beispiel alternativ oder zusätzlich FinFETs, GAA-Transistoren, CMOS-Transistoren, verspannte Halbleitervorrichtungen, SOI-Vorrichtungen, bipolare Sperrschichttransistoren, Widerstände, Kondensatoren, Induktivitäten, Dioden, Sicherungen, Speichervorrichtungen wie SRAM-Vorrichtungen, E/A-Transistoren und/oder andere Vorrichtungen und/oder Schaltungen aufweisen.
  • Das Verfahren 100 geht dann zu Block 116 über, bei dem Kontakte gebildet werden und eine Back-End-of-Line-Verarbeitung (BEOL-Verarbeitung) durchgeführt wird. Unter Bezugnahme auf das Beispiel von 9 wird in einer Ausführungsform von Block 116 eine dielektrische Schicht 902 über dem Substrat 202 und über jedem des optischen Sensors 802 innerhalb des optoelektronischen Bereichs 204 und der Logikvorrichtungen 804, 806 innerhalb des Logikbereichs 206 gebildet. In verschiedenen Ausführungsformen kann die dielektrische Schicht 902 eine Mehrzahl von dielektrischen Schichten aufweisen. In einigen Beispielen kann die dielektrische Schicht 902 eine Zwischenschichtdielektrikumschicht (ILD-Schicht) aufweisen, die solche Materialien wie Tetraethylorthosilikat-(TEOS-)Oxid, undotiertes Silikatglas oder dotiertes Siliziumoxid wie Borphosphorsilikatglas (BPSG), Fluorsilikatglas (FSG), Phosphorsilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien enthalten kann.
  • In verschiedenen Beispielen kann die dielektrische Schicht 902 unter Verwendung einer geeigneten Kombination aus Lithografie- und Ätzprozessen (z. B. Nass- oder Trockenätzen) strukturiert werden, um Öffnungen zu bilden, innerhalb derer Metallschichten abgeschieden werden können, um einen elektrischen Kontakt zu den darunterliegenden Substratelementen bereitzustellen. In einigen Ausführungsformen kann die Bildung solcher Kontakte Teil der BEOL-Verarbeitung sein. Zum Beispiel kann eine Metallschicht 904 gebildet werden, um einen elektrischen Kontakt zu dem n-Bereich 808 bereitzustellen, eine Metallschicht 906 kann gebildet werden, um einen elektrischen Kontakt zu dem p-Bereich 810 bereitzustellen, Metallschichten 908 können gebildet werden, um einen elektrischen Kontakt zu den n-Source/Drain-Bereichen 812 bereitzustellen, eine Metallschicht 910 kann gebildet werden, um einen elektrischen Kontakt zu der Gate-Elektrode des Gate-Stapels 816 bereitzustellen, Metallschichten 912 können gebildet werden, um einen elektrischen Kontakt zu den Drain-Bereichen 814 bereitzustellen, und eine Metallschicht 914 kann gebildet werden, um einen elektrischen Kontakt zu der Gate-Elektrode des Gate-Stapels 818 bereitzustellen. In einigen Beispielen können die Metallschichten 904, 906, 908, 910, 912, 914 W, Cu, Co, Ru, Al, Rh, Mo, Ta, Ti, TiN, TaN, WN, Silizide, Kombinationen davon oder ein anderes geeignetes leitfähiges Material enthalten.
  • Nach dem Bilden der Kontakte zu den darunterliegenden Substratelementen (die z. B. die Metallschichten 904, 906, 908, 910, 912, 914 aufweisen) kann eine weitere BEOL-Verarbeitung durchgeführt werden. Zum Beispiel können verschiedene Durchkontaktierungen/Metallleitungen und Mehrschicht-Interconnect-Elemente (z. B. Metallschichten und Zwischenschichtdielektrika, die Abschnitte der dielektrischen Schicht 902 aufweisen) über dem Substrat 202 gebildet und dazu eingerichtet sein, die verschiedenen darunterliegenden Substratelemente zu verbinden, um eine Funktionsschaltung (z. B. wie eine optoelektronische Schaltung) zu bilden, die einen oder mehrere optische Sensoren 802 vom optoelektronischen Bereich 204 und ein oder mehrere Logikvorrichtungen 804, 806 vom Logikbereich 206 aufweisen kann. Wie in dem Beispiel 9 gezeigt, kann ein Mehrschicht-Interconnection-Bereich (MLI-Bereich) 915 vertikale Interconnects, wie Durchkontaktierungen oder Kontakte, und horizontale Interconnects, wie Metallleitungen, aufweisen. Die verschiedenen Interconnection-Elemente können verschiedene leitfähige Materialien, die Kupfer, Wolfram und/oder Silizid enthalten, einsetzen. In einem Beispiel wird ein Damascene- und/oder ein Dual-Damascene-Prozess verwendet, um eine kupferbezogene Mehrschicht-Interconnection-Struktur zu bilden. In einigen Ausführungsformen kann ein Abschnitt 916 des MLI-Bereichs 915 gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 904 bereitzustellen, die mit dem n-Bereich 808 in Kontakt ist, ein Abschnitt 918 des MLI-Bereichs 915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 906 bereitzustellen, die mit dem p-Bereich 810 in Kontakt ist, Abschnitte 920/924 des MLI-Bereichs 915 können gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 908 bereitzustellen, die mit den n-Source/Drain-Bereichen 812 in Kontakt sind, ein Abschnitt 922 des MLI-Bereichs 915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 910 bereitzustellen, die mit der Gate-Elektrode des Gate-Stapels 816 in Kontakt ist, Abschnitte 926/930 des MLI-Bereichs 915 können gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 912 bereitzustellen, die mit den p-Source/Drain-Bereichen 814 in Kontakt sind, und ein Abschnitt 928 des MLI-Bereichs 915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 914 bereitzustellen, die mit der Gate-Elektrode des Gate-Stapels 818 in Kontakt ist.
  • Nunmehr unter Bezugnahme auf 10 ist ein Verfahren 1000 zum Fertigen einer Halbleitervorrichtung 1100, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 1000 wird nachstehend unter Bezugnahme auf 11 bis 17 erörtert, die Querschnittsansichten der Halbleitervorrichtung 1100 in verschiedenen Fertigungsstufen gemäß einem oder mehreren Schritten des Verfahrens 1000 bereitstellen. Es ist zu beachten, dass verschiedene Aspekte des Verfahrens 1000 dem vorstehend erörterten Verfahren 100 ähneln können. Somit werden zur Klarheit der Erörterung bestimmte Aspekte des Verfahrens 1000 nur kurz erörtert.
  • Das Verfahren 1000 beginnt bei Block 1002, bei dem ein Substrat bereitgestellt wird. Unter Bezugnahme auf das Beispiel von 11 wird in einer Ausführungsform von Block 1002 ein Substrat 1202 bereitgestellt. In verschiedenen Ausführungsformen kann das Substrat 1202 ein Halbleitersubstrat, wie ein Siliziumsubstrat, sein. Allgemeiner kann das Substrat 1202 im Wesentlichen gleich wie das Substrat 202 sein, und es kann eine oder mehrere Schichten oder Elemente aufweisen, wie vorstehend unter Bezugnahme auf 2 beschrieben.
  • Statt separate optoelektronische und Logikbereiche aufzuweisen, wie vorstehend unter Bezugnahme auf das Verfahren 100 erörtert, kann das Substrat 1202 in einigen Ausführungsformen ein dediziertes optoelektronisches Substrat aufweisen, das eine oder mehrere optoelektronische Vorrichtungen (z. B. wie Fotodioden) aufweist, die einem oder mehreren Bildpixeln zugeordnet sind. Natürlich kann das Substrat 1202 in wenigstens einigen Ausführungsformen auch ein oder mehrere Logikvorrichtungen und/oder -schaltungen aufweisen. Wie nachstehend ausführlicher beschrieben, kann in einigen Ausführungsformen ein separates Vorrichtungssubstrat (das neben anderen Vorrichtungen z. B. Kern-(Logik-)Transistoren und -Schaltungen aufweist) in einer späteren Verarbeitungsstufe an das optoelektronische Substrat gebondet werden. In wenigstens einigen Beispielen können die Vorrichtungen und/oder Schaltungen innerhalb des separaten Vorrichtungssubstrats planare MOSFETs, FinFETs, GAA-Transistoren, CMOS-Transistoren, verspannte Halbleitervorrichtungen, SOI-Vorrichtungen, bipolare Sperrschichttransistoren, Widerstände, Kondensatoren, Induktivitäten, Dioden, Sicherungen, Speichervorrichtungen wie SRAM-Vorrichtungen, E/A-Transistoren und/oder andere Logikvorrichtungen und/oder Schaltungen aufweisen. In einigen Ausführungsformen kann das separate Vorrichtungssubstrat eine anwendungsspezifische integrierte Schaltung (ASIC) aufweisen. In einigen Fällen können nach dem Bondprozess ein oder mehrere Vorrichtungen innerhalb des optoelektronischen Substrats mit einem oder mehreren Vorrichtungen im separaten Vorrichtungssubstrat gekoppelt werden, um zum Beispiel zusammen eine Pixelschaltung oder eine andere optoelektronische Schaltung zu definieren.
  • Das Verfahren 1000 geht dann zu Block 1004 über, bei dem eine Oxidschicht abgeschieden wird. Unter Bezugnahme auf das Beispiel von 12 wird in einer Ausführungsform von Block 1004 eine Oxidschicht 1402 über dem Substrat 1202 abgeschieden. Die Oxidschicht 1402 kann eine SiO2 oder eine andere geeignete Oxidschicht aufweisen. In verschiedenen Beispielen kann die Oxidschicht 1402 durch CVD, PVD, ALD oder durch einen anderen geeigneten Prozess abgeschieden werden. In einigen Fällen ist die Oxidschicht 1402 eine konforme Schicht mit einer im Wesentlichen gleichmäßigen Dicke über dem Substrat 1202. In verschiedenen Ausführungsformen kann die Oxidschicht 1402 ähnlich der vorstehend erörterten Oxidschicht 402 verwendet werden, um eine Tiefe (z. B. die geplante Reichweite) eines anschließend durchgeführten Ionenimplantationsprozesses zu steuern. Zum Beispiel kann die Tiefe der Ionenimplantation mit zunehmender Dicke der Oxidschicht 1402 abnehmen. In einigen Ausführungsformen kann die Oxidschicht 1402 ähnlich wie die Oxidschicht 402 die Dicke „T“ aufweisen, die im Bereich von etwa 50 bis 5000 Angström liegt.
  • Das Verfahren 1000 geht dann zu Block 1006 über, bei dem ein Ionenimplantationsprozess durchgeführt wird. Unter Bezugnahme auf das Beispiel von 13 wird in einer Ausführungsform von Block 1006 der Ionenimplantationsprozess 1504 in die Vorrichtung 1100 durchgeführt. In einigen Beispielen können die Dotierungsspezies, die für den Ionenimplantationsprozess 1504 verwendet werden, ein p-Material (z. B. Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) und/oder Gruppe VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten. In zumindest einigen Ausführungsformen umfasst der Ionenimplantationsprozess 1504 eine Mehrzahl von Ionenimplantationen, wobei die Mehrzahl von Ionenimplantationen eine unterschiedliche Dotierungsspezies implantiert, oder wobei die Mehrzahl von Ionenimplantationen die gleichen Dotierungsspezies unter Verwendung unterschiedlicher Ionenimplantationsparameter (z. B. wie Dosis und Energie) implantiert. Als Ergebnis des Ionenimplantationsprozesses 1504 wird ein Implantationsbereich 1506 innerhalb des Substrats 1202 und entlang einer oberen Fläche desselben gebildet. In Ausführungsformen, in denen das Substrat 1202 Si enthält, definiert der Implantationsbereich 1506 einen ionenimplantierten Si-Bereich.
  • Nach der nachfolgenden Bildung der Ge-Schicht über dem Implantationsbereich 506, wie nachstehend beschrieben, befindet sich der Implantationsbereich 506 in der Nähe der Ge-Si-Grenzfläche. In einigen Ausführungsformen kann das p-Material, das für den Ionenimplantationsprozess 1504 verwendet wird, zum Ändern einer Trägerkonzentration des Substrats 1202 (z. B. wie eines Si-Substrats) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen. In einigen Fällen kann das Material der Gruppe VIIA, das für den Ionenimplantationsprozess 1504 verwendet wird, zum Neutralisieren eingefangener Ladungen innerhalb des Substrats 1202 (z. B. wie eines Si-Substrats) verwendet werden, die durch Defekte (z. B. nicht abgesättigte Bindungen) entlang der oberen Fläche des Substrats 1202 und in einem Bereich in der Nähe der oberen Fläche des Substrats 1202 eingefangen werden können. Insbesondere kann das Material der Gruppe VIIIA zum Neutralisieren eingefangener Ladungen entlang eines Flächenbereichs des Substrats 1202 (z. B. wie eines Si-Substrats) in der Nähe der Ge-Schicht (oder Ge-Fotodiodenschicht) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen.
  • Das Verfahren 1000 geht dann zu Block 1008 über, bei dem die Oxidschicht entfernt wird und eine Germaniumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 14 wird in einer Ausführungsform von Block 1008 die Oxidschicht 1402 nach der Bildung der Implantationsbereiche 1506 entfernt, um den Implantationsbereich 1506 freizulegen. In einigen Ausführungsformen kann die Oxidschicht 1402 unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination davon entfernt werden. Nach der Entfernung der Oxidschicht 1402 wird in einer weiteren Ausführungsform von Block 1008 eine Germanium-Schicht (Ge-Schicht) 1602 über dem Substrat 1202 (z. B. aufweisend über dem Implantationsbereich 1506) gebildet. In einigen Ausführungsformen wird die Ge-Schicht 1602 durch ALD, CVD, Molekularstrahlepitaxie (MBE), metallorganische (MOCVD) und/oder andere geeignete Aufwachsprozesse gebildet. Zusätzlich weist die Ge-Schicht 1602 in einigen Beispielen eine intrinsische Ge-Schicht auf. Somit kann die Ge-Schicht 1602 in einigen Ausführungsformen im Wesentlichen undotiert sein (die z. B. nur eine geringfügige Menge einer vorhandenen Dotierungsspezies aufweist). In einigen Ausführungsformen kann optional ein CMP-Prozess durchgeführt werden, um die Ge-Schicht 1602 zu planarisieren und/oder zu dünnen.
  • Das Verfahren 1000 geht dann zu Block 1010 über, bei dem ein optischer Sensor gebildet wird. Unter Bezugnahme auf das Beispiel 15 werden in einer Ausführungsform von Block 1010 ein oder mehrere optische Sensoren 1802 innerhalb der Ge-Schicht 1602 gebildet. In einigen Ausführungsformen können der eine oder die mehreren optischen Sensoren 1802 optische Ge-Sensoren, wie Ge-Fotodioden (PD), aufweisen. Jeder des einen oder der mehreren optischen Sensoren 1802 kann einen n-Bereich 1808 und einen p-Bereich 1810 aufweisen, die innerhalb der Ge-Schicht 1602 ausgebildet sind. In einigen Ausführungsformen können die n-Bereiche 1808 und die p-Bereiche 1810 durch Ionenimplantation gebildet werden. In verschiedenen Beispielen kann die Dotierungsspezies, die zum Dotieren der n-Bereiche 1808 verwendet wird, Phosphor (P), Arsen (As), Antimon (Sb), Kombinationen davon oder einen anderen angemessenen n-Dotierstoff enthalten. In einigen Ausführungsformen kann die Dotierungsspezies, die zum Dotieren der p-Bereiche 1810 verwendet wird, Bor (B), Aluminium (Al), Gallium (Ga), Indium (In) oder einen anderen angemessenen p-Dotierstoff enthalten. In verschiedenen Beispielen können die n-Bereiche 1808 Kathoden für jeweilige optische Sensoren 1802 bereitstellen, und die p-Bereiche 1810 können Anoden für jeweilige optische Sensoren 1802 bereitstellen. Somit können für jeden der optischen Sensoren 1802 der n-Bereich 1810 der (intrinsischen) Ge-Schicht 1602 zwischen dem p-Bereich 1810 und dem n-Bereich 1808 und der n-Bereich 1808 zusammen eine p-i-n-Ge-PD (oder einfach eine p-n-Ge-PD) definieren. In einigen Beispielen werden Elektron-Loch-Paare erzeugt, wenn ein Photon mit ausreichender Energie auf den einen oder die mehreren optischen Sensor 1802 auftrifft. Wenn eine Photonenabsorption im intrinsischen (oder verarmten) Bereich des einen oder der mehreren optischen Sensoren 1802 auftritt, werden dann in einigen Fällen die erzeugten Elektronen zur Kathode (n-Bereiche 1808) abgelenkt, und die erzeugten Löcher werden zur Anode (p-Bereiche 1810) abgelenkt, wodurch ein Fotostrom erzeugt wird.
  • Wie zuvor erörtert, ist ein Gesamtstrom durch einen optischen Sensor (z. B. wie den einen oder die mehreren optischen Sensoren 1802) die Summe des Dunkelstroms und des Fotostroms. Gemäß einigen Ausführungsformen dient der Implantationsbereich 1506, der in der Nähe der Ge-Si-Grenzfläche (z. B. in der Nähe der Ge-Schicht 1602 innerhalb des Substrats 1202) angeordnet ist, jedoch so, dass er Strom (Elektronen) vom Substrat 1202 (z. B. wie Si) auf wirksame Weise daran hindert, in die Ge-Schicht 1602 einzudringen. Als Ergebnis wird die Dunkelstromkomponente des Gesamtstroms durch den einen oder die mehreren optischen Sensoren 1802 verringert und die Leistung des einen oder der mehreren optischen Sensoren 1802 wird verbessert. Wie vorstehend erörtert, kann zum Beispiel das p-Material innerhalb des Implantationsbereichs 1506 verwendet werden, um eine Trägerkonzentration des Substrats 1202 zu ändern, und/oder ein Material der Gruppe VIIA innerhalb des Implantationsbereichs 1506 kann verwendet werden, um eingefangene Ladungen im Substrat 1202 zu neutralisieren, wodurch die Ge-Si-Grenzfläche optimiert wird.
  • Das Verfahren 1000 geht dann zu Block 1012 über, bei dem Kontakte gebildet werden und eine BEOL-Verarbeitung durchgeführt wird. Unter Bezugnahme auf das Beispiel von 16 wird in einer Ausführungsform von Block 1012 eine dielektrische Schicht 1902 über dem Substrat 1202 und über dem einen oder den mehreren optischen Sensoren 1802 gebildet. In verschiedenen Ausführungsformen kann die dielektrische Schicht 1902 eine Mehrzahl von dielektrischen Schichten aufweisen. In einigen Beispielen kann die dielektrische Schicht 1902 eine ILD-Schicht aufweisen, die solche Materialien wie TEOS-Oxid, undotiertes Silikatglas oder dotiertes Siliziumoxid wie BPSG, FSG, PSG, BSG, und/oder andere geeignete dielektrische Materialien enthalten kann.
  • In verschiedenen Beispielen kann die dielektrische Schicht 1902 unter Verwendung einer geeigneten Kombination aus Lithografie- und Ätzprozessen (z. B. Nass- oder Trockenätzen) strukturiert werden, um Öffnungen zu bilden, innerhalb derer Metallschichten abgeschieden werden können, um einen elektrischen Kontakt zu den darunterliegenden Substratelementen bereitzustellen. In einigen Ausführungsformen kann die Bildung solcher Kontakte Teil der BEOL-Verarbeitung sein. Zum Beispiel kann eine Metallschicht 1904 gebildet werden, um einen elektrischen Kontakt zu den n-Bereichen 1808 bereitzustellen, und eine Metallschicht 1906 kann gebildet werden, um einen elektrischen Kontakt zu den p-Bereichen 1810 bereitzustellen. In einigen Beispielen können die Metallschichten 1904, 1906 W, Cu, Co, Ru, Al, Rh, Mo, Ta, Ti, TiN, TaN, WN, Silizide, Kombinationen davon oder ein anderes geeignetes leitfähiges Material enthalten.
  • Nach dem Bilden der Kontakte zu den darunterliegenden Substratelementen (die z. B. die Metallschichten 1904, 1906 aufweisen) kann eine weitere BEOL-Verarbeitung durchgeführt werden. Zum Beispiel können verschiedene Durchkontaktierungen/Metallleitungen und Mehrschicht-Interconnection-Elemente (z. B. Metallschichten und Zwischenschichtdielektrika, die Abschnitte der dielektrischen Schicht 1902 aufweisen) über dem Substrat 1202 gebildet und dazu eingerichtet werden, die verschiedenen darunterliegenden Substratelemente zu verbinden (sowohl miteinander als auch mit einem oder mehreren Vorrichtungen auf einem separaten Vorrichtungssubstrat, das anschließend an das Substrat 1202 gebondet wird), um eine Funktionsschaltung (z. B wie eine optoelektronische Schaltung) zu bilden, die den einen oder die mehreren optischen Sensoren 1802 und in einigen Fällen ein oder mehrere Vorrichtungen (z. B. wie Logikvorrichtungen oder andere Vorrichtungen) vom separaten Vorrichtungssubstrat aufweisen kann. Wie in dem Beispiel 16 gezeigt, kann ein Mehrschicht-Interconnection-Bereich (MLI-Bereich) 1915 vertikale Interconnects, wie Durchkontaktierungen oder Kontakte, und horizontale Interconnects, wie Metallleitungen, aufweisen. Die verschiedenen Interconnection-Elemente können verschiedene leitfähige Materialien, die Kupfer, Wolfram und/oder Silizid enthalten, einsetzen. In einem Beispiel wird ein Damascene- und/oder ein Dual-Damascene-Prozess verwendet, um eine kupferbezogene Mehrschicht-Interconnection-Struktur zu bilden. In einigen Ausführungsformen kann der Abschnitt 1916 des MLI-Bereichs 1915 gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 1904 bereitzustellen, die mit den n-Bereichen 1808 in Kontakt sind, und der Abschnitt 1918 des MLI-Bereichs 1915 kann gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 1906 bereitzustellen, die mit dem p-Bereich 1810 in Kontakt sind.
  • Das Verfahren 1000 geht dann zu Block 1014 über, bei dem das optoelektronische Substrat an ein separates Vorrichtungssubstrat gebondet wird. Unter Bezugnahme auf das Beispiel von 17 wird in einer Ausführungsform von Block 1014 die Vorrichtung 1100 (welche das optoelektronische Substrat aufweist) an ein separates Vorrichtungssubstrat 1950 gebondet. Wie zuvor angemerkt, kann das separate Vorrichtungssubstrat 1950 neben anderen Vorrichtungen Kern-(Logik-)Transistoren und -Schaltungen aufweisen. In wenigstens einigen Beispielen können die Vorrichtungen und/oder Schaltungen innerhalb des separaten Vorrichtungssubstrats 1950 planare MOSFETs, FinFETs, GAA-Transistoren, CMOS-Transistoren, verspannte Halbleitervorrichtungen, SOI-Vorrichtungen, bipolare Sperrschichttransistoren, Widerstände, Kondensatoren, Induktivitäten, Dioden, Sicherungen, Speichervorrichtungen wie SRAM-Vorrichtungen, E/A-Transistoren und/oder andere Logikvorrichtungenund/oder Schaltungen aufweisen. In einigen Ausführungsformen kann das separate Vorrichtungssubstrat 1950 eine anwendungsspezifische integrierte Schaltung (ASIC) aufweisen. In einigen Fällen können nach dem Bondprozess von Block 1014 ein oder mehrere Vorrichtungen innerhalb des optoelektronischen Substrats (die Vorrichtung 1100) mit einem oder mehreren Vorrichtungen im separaten Vorrichtungssubstrat 1950 gekoppelt werden, um zum Beispiel zusammen eine Pixelschaltung oder eine andere optoelektronische Schaltung zu definieren. In einigen Beispielen kann die Vorrichtung 1100 (die das optoelektronische Substrat aufweist) unter Verwendung einer Vielfalt von Strukturen und/oder Verfahren, wie Kupfer-Kupfer-Hybridbonden, Interposem, durchgehenden Silizium-Durchkontaktierungen (TSV), Umverteilungsschichten (RDL), Bondpads, Kupfer-Mikrobondhügeln und -Säulen, Drahtbonden, Flip-Chip-Bonden, Packaging auf Waferebene (WLP) oder anderen angemessenen Wafer-Bondtechniken, die 2,5D- und 3D-IC-Packagingstechniken aufweisen, an ein separates Vorrichtungssubstrat 1950 gebondet werden.
  • Nunmehr unter Bezugnahme auf 18 ist ein Verfahren 2000 zum Fertigen einer Halbleitervorrichtung 2100, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 2000 wird nachstehend unter Bezugnahme auf 19 bis 25 erörtert, die Querschnittsansichten der Halbleitervorrichtung 2100 in verschiedenen Fertigungsstufen gemäß einem oder mehreren Schritten des Verfahrens 2000 bereitstellen. Es ist zu beachten, dass verschiedene Aspekte des Verfahrens 2000 dem vorstehend erörterten Verfahren 100 ähneln können. Somit werden zur Klarheit der Erörterung bestimmte Aspekte des Verfahrens 2000 nur kurz erörtert. Allgemein bildet das Verfahren 2000 in einigen Ausführungsformen einen dotierten Si-Bereich im Graben durch dotiertes epitaktisches Aufwachsen der Si-Schicht, wie nachfolgend erörtert, während das Verfahren 100 einen dotierten Si-Bereich in einem Graben unter Verwendung von Ionenimplantation bildet.
  • Das Verfahren 2000 beginnt bei Block 2002, bei dem ein Substrat bereitgestellt wird. Unter Bezugnahme auf das Beispiel von 19 wird in einer Ausführungsform von Block 2002 ein Substrat 2202 bereitgestellt. In einigen Ausführungsformen kann das Substrat 2202 ein Halbleitersubstrat, wie ein Siliziumsubstrat, sein. Allgemeiner kann das Substrat 2202 im Wesentlichen gleich wie das Substrat 202 sein, und es kann eine oder mehrere Schichten oder Elemente aufweisen, wie vorstehend unter Bezugnahme auf 2 beschrieben.
  • Ähnlich wie beim vorstehend erörterten Vorrichtungen 200 weist das Substrat 2202 auch einen optoelektronischen Bereich 2204 (Pixelbereich) und einen Logikbereich 2206 auf. In einigen Ausführungsformen weisen der optoelektronische Bereich 2204 und der Logikbereich 2206 verschiedene Elemente und/oder Vorrichtungen und Schaltungen auf, wie vorstehend unter Bezugnahme auf den optoelektronischen Bereich 204 und den Logikbereich 206 der Vorrichtung 200 erörtert. Zusätzlich können in einigen Ausführungsformen ein oder mehrere Vorrichtungen innerhalb des Logikbereichs 2206 mit einem oder mehreren Vorrichtungen in dem optoelektronischen Bereich 2204 gekoppelt werden, um zum Beispiel zusammen eine Pixelschaltung oder eine andere optoelektronische Schaltung zu definieren.
  • Das Verfahren 2000 geht dann zu Block 2004 über, bei dem ein Graben gebildet wird. Unter Bezugnahme auf das Beispiel von 20 wird in einer Ausführungsform von Block 2004 ein Graben 2302 innerhalb des optoelektronischen Bereichs 2204 gebildet. In einigen Ausführungsformen wird vor der Bildung des Grabens 2302 eine Hartmaskenschicht (HM-Schicht) 2304 über dem Substrat 2202 abgeschieden. Die HM-Schicht 2304 kann eine Siliziumnitridschicht, zum Beispiel Si3N4, Siliziumoxynitrid, Siliziumkarbid, eine Oxidschicht oder eine Kombination davon aufweisen. In verschiedenen Beispielen kann die HM-Schicht 2304 anfänglich unter Verwendung eines Fotolithografie- und Ätzprozesses strukturiert werden, wie vorstehend unter Bezugnahme auf die Vorrichtung 200 beschrieben. Danach kann ein Ätzprozess (z. B. wie eine Trockenätzung, eine Nassätzung oder eine Kombination davon) unter Verwendung der strukturierten HM-Schicht 2304 als Maske zum Ätzen des Substrats 2202 durchgeführt werden, um den Graben 2302 innerhalb des Substrats 2202 zu bilden.
  • Das Verfahren 2000 geht dann zu Block 2006 über, bei dem eine dotierte Siliziumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 21 wird in einer Ausführungsform von Block 2006 eine dotierte Silizium-Schicht (Si-Schicht) 2506 innerhalb des Grabens 2302 (z. B. aufweisend entlang von Seitenwandflächen und unteren Fläche des Grabens 2302) gebildet. In einigen Beispielen kann die dotierte Si-Schicht 2506 eine epitaktisch aufgewachsene Si-Schicht aufweisen. Allgemeiner wird die dotierte Si-Schicht 2506 in einigen Ausführungsformen durch ALD, CVD, Molekularstrahlepitaxie (MBE), metallorganische (MOCVD) und/oder andere geeignete Aufwachsprozesse gebildet. Um die Dotierung für die dotierte Si-Schicht 2506 bereitzustellen, können eine angemessene Dotierungsspezies während des Aufwachsens der Si-Schicht (z. B. wie in einem In-situ-Dotierungsprozess) in eine Prozesskammer eingeführt werden, um die dotierte Si-Schicht 2506 bereitzustellen. In einigen Beispielen kann die Dotierungsspezies ein p-Material (z. B. ein Material der Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) und/oder VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten.
  • Wie nachstehend ausführlicher beschrieben, befindet sich die dotierte Si-Schicht 2506 in der Nähe der Ge-Si-Grenzfläche (z. B. nach der Bildung einer Ge-Schicht innerhalb des Grabens 2302). In einigen Ausführungsformen kann das p-Material, das zum Bilden der dotierten Si-Schicht 2506 verwendet wird, zum Ändern einer Trägerkonzentration des Substrats 2202 (z. B. wie eines Si-Substrats) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen. In einigen Fällen kann das Material der Gruppe VIIA, das zum Bilden der dotierten Si-Schicht 2506 verwendet wird, zum Neutralisieren eingefangener Ladungen innerhalb des Substrats 2202 (z. B. wie eines Si-Substrats) verwendet werden, die durch Defekte (z. B. nicht abgesättigte Bindungen) entlang der oberen Fläche des Substrats 2202 und in einem Bereich in der Nähe der oberen Fläche des Substrats 2202 eingefangen werden können. Insbesondere kann das Material der Gruppe VIIIA zum Neutralisieren eingefangener Ladungen entlang eines Flächenbereichs des Substrats 2202 (z. B. wie eines Si-Substrats) in der Nähe der Ge-Schicht (oder Ge-Fotodiodenschicht) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen.
  • Das Verfahren 2000 geht dann zu Block 2008 über, bei dem eine Germaniumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 22 wird in einer Ausführungsform von Block 2008 nach der Bildung der dotierten Si-Schicht 2506 entlang von Seitenwandflächen und unteren Fläche des Grabens 2302 eine Germanium-(Ge-)Schicht 2602 innerhalb des Grabens 2302 und über der dotierten Si-Schicht 2506 des Implantationsbereichs gebildet. Wie gezeigt, kann die Ge-Schicht 2602 in einigen Fällen aus Graben 2302 überfließen, was zu einer Flächentopografie 2604 der Ge-Schicht 2602 führt. In einigen Ausführungsformen wird die Ge-Schicht 2602 durch ALD, CVD, Molekularstrahlepitaxie (MBE), metallorganische (MOCVD) und/oder andere geeignete Aufwachsprozesse gebildet. Zusätzlich weist die Ge-Schicht 2602 in einigen Beispielen eine intrinsische Ge-Schicht auf. Somit kann die Ge-Schicht 2602 in einigen Ausführungsformen im Wesentlichen undotiert sein (die z. B. nur eine geringfügige Menge einer vorhandenen Dotierungsspezies aufweist). Es ist zu beachten, dass die Abschnitte der HM-Schicht 2304, die auf Flächen der Vorrichtung 2100 (z. B. außerhalb des Grabens 2302) verbleiben, Aufwachsen der Ge-Schicht 2602 auf der HM-Schicht 2304 (z. B. außerhalb des Grabens 2302) verhindern können.
  • Das Verfahren 2000 geht dann zu Block 2010 über, bei dem ein Prozess zum chemisch-mechanischen Polieren (CMP) durchgeführt wird. Unter Bezugnahme auf das Beispiel von 23 wird in einer Ausführungsform von Block 2010 ein CMP-Prozess nach der Bildung der Ge-Schicht 2602 durchgeführt. In verschiedenen Ausführungsformen dient der CMP-Prozess zum Entfernen überschüssiger Abschnitte der Ge-Schicht 2602 (z. B. des Abschnitts der Ge-Schicht 2602, der sich aus dem Graben 2302 heraus erstreckt und die Flächentopografie 2604 aufweist) und zum Planarisieren einer oberen Fläche der Vorrichtung 2100. In einigen Ausführungsformen kann der CMP-Prozess von Block 2010 auch zum Entfernen der HM-Schicht 2304 dienen, wie gezeigt. Als Ergebnis des CMP-Prozesses sind eine obere Fläche des Substrats 2202 (z. B. benachbart zum Graben 2302), eine obere Fläche der Ge-Schicht 2602 und obere Flächen von Abschnitten der dotierten Si-Schicht 2506 entlang von Seitenwandflächen des Grabens 2302 im Wesentlichen koplanar (bündig) miteinander.
  • Das Verfahren 2000 geht dann zu Block 2012 über, bei dem ein optischer Sensor gebildet wird. Unter Bezugnahme auf das Beispiel von 24 wird in einer Ausführungsform von Block 2012 ein optischer Sensor 2802 im optoelektronischen Bereich 2204 innerhalb der Ge-Schicht 2602 gebildet. In einigen Ausführungsformen kann der optische Sensor 2802 einen optischen Ge-Sensor, wie eine Fotodiode (PD), aufweisen. Der optische Sensor 2802 kann einen n-Bereich 2808 und einen p-Bereich 2810 aufweisen, die innerhalb der Ge-Schicht 2602 ausgebildet sind. In einigen Ausführungsformen können der n-Bereich 2808 und der p-Bereich 2810 durch Ionenimplantation gebildet werden. In verschiedenen Beispielen kann die Dotierungsspezies, die zum Dotieren des n-Bereichs 2808 verwendet wird, Phosphor (P), Arsen (As), Antimon (Sb), eine Kombination davon oder einen anderen angemessenen n-Dotierstoff enthalten. In einigen Ausführungsformen kann die Dotierungsspezies, die zum Dotieren des p-Bereichs 2810 verwendet wird, Bor (B), Aluminium (Al), Gallium (Ga), Indium (In) oder einen anderen angemessenen p-Dotierstoff enthalten. In verschiedenen Beispielen kann der n-Bereich 2808 eine Kathode für den optischen Sensor 2802 bereitstellen, und der p-Bereich 2810 kann eine Anode für den optischen Sensor 2802 bereitstellen. Somit können der p-Bereich 2810, der Bereich der (intrinsischen) Ge-Schicht 2602 zwischen dem p-Bereich 2810 und dem n-Bereich 2808 und der n-Bereich 2808 zusammen eine p-i-n-Ge-PD (oder einfach eine p-n-Ge-PD) definieren. In einigen Beispielen wird ein Elektron-Loch-Paar erzeugt, wenn ein Photon mit ausreichender Energie auf den optischen Sensor 2802 auftrifft. Wenn eine Photonenabsorption im intrinsischen (oder verarmten) Bereich des optischen Sensors 2802 auftritt, werden dann in einigen Fällen die erzeugten Elektronen zur Kathode (n-Bereich 2808) abgelenkt, und die erzeugten Löcher werden zur Anode (p-Bereich 2810) abgelenkt, wodurch ein Fotostrom erzeugt wird.
  • In verschiedenen Ausführungsformen ist ein Gesamtstrom durch den optischen Sensor 2802 (Ge-PD) die Summe des Dunkelstroms und des Fotostroms. Gemäß einigen Ausführungsformen dient die dotierte Si-Schicht 2506, die in der Nähe der Ge-Si-Grenzfläche (z. B. in der Nähe der Ge-Schicht 2602) angeordnet ist, jedoch so, dass sie Strom (Elektronen) vom Substrat 2202 (z. B. wie Si) auf wirksame Weise daran hindert, in die Ge-Schicht 2602 einzudringen. Als Ergebnis wird die Dunkelstromkomponente des Gesamtstroms durch den optischen Sensor 2802 verringert und die Leistung des optischen Sensors 2802 wird verbessert. Wie vorstehend erörtert, kann zum Beispiel das p-Material innerhalb der dotierten Si-Schicht 2506 verwendet werden, um eine Trägerkonzentration des Substrats 2202 zu ändern, und/oder ein Material der Gruppe VIIA innerhalb der dotierten Si-Schicht 2506 kann verwendet werden, um eingefangene Ladungen im Substrat 2202 zu neutralisieren, wodurch die Ge-Si-Grenzfläche optimiert wird.
  • Das Verfahren 2000 geht dann zu Block 2014 über, bei dem eine Logikvorrichtung gebildet wird, Kontakte gebildet werden und eine BEOL-Verarbeitung durchgeführt wird. Unter Bezugnahme auf das Beispiel von 25 werden in einer Ausführungsform von Block 2014 Logikvorrichtungen 2804, 2806 innerhalb des Logikbereichs 2206 gebildet. In einigen Ausführungsformen kann die Logikvorrichtung 2804 einen NMOS-Transistor aufweisen, und die Logikvorrichtung 2806 kann einen PMOS-Transistor aufweisen. Zusätzlich kann die Logikvorrichtung 2804 einen Gate-Stapel 2816 aufweisen, und die Logikvorrichtung 2806 kann einen Gate-Stapel 2818 aufweisen. In verschiedenen Beispielen kann jeder der Gate-Stapel 2816, 2818 ein Gate-Dielektrikum und eine Gate-Elektrode über dem Gate-Dielektrikum aufweisen, und Seitenwandabstandshalter können auf Seitenwänden der Gate-Stapel 2816, 2818 gebildet werden. Zusätzlich kann die Logikvorrichtung 2804 in verschiedenen Beispielen n-Source/Drain-Bereiche 2812 aufweisen, die innerhalb des Substrats 2202 benachbart zum Gate-Stapel 2816 und auf jeder Seite desselben ausgebildet sind, und die Logikvorrichtung 2806 kann p-Source/Drain-Bereiche 2814 aufweisen, die innerhalb des Substrats 2202 benachbart zum Gate-Stapel 2818 und auf jeder Seite desselben ausgebildet sind.
  • In verschiedenen Ausführungsformen kann das Gate-Dielektrikum der Gate-Stapel 2816, 2818 im Wesentlichen gleich wie das Gate-Dielektrikum der Gate-Stapel 816, 818 sein, das vorstehend unter Bezugnahme auf 8 beschrieben wurde. In einigen Ausführungsformen kann die Gate-Elektrode der Gate-Stapel 2816, 2818 im Wesentlichen gleich wie die Gate-Elektrode der Gate-Stapel 816, 818 sein, die vorstehend unter Bezugnahme auf 8 beschrieben wurde. In einigen Beispielen können die auf Seitenwänden der Gate-Stapel 2816, 2818 ausgebildeten Seitenwandabstandshalter im Wesentlichen gleich wie die auf Seitenwänden der Gate-Stapel 816, 818 ausgebildeten Seitenwandabstandshalter sein, die vorstehend unter Bezugnahme auf 8 beschrieben wurden. Ferner können in einigen Ausführungsformen die n-Source/Drain-Bereiche 2812 und die p-Source/Drain-Bereiche 2814 im Wesentlichen gleich wie die n-Source/Drain-Bereiche 812 und die p-Source/Drain-Bereiche 814 sein, die vorstehend unter Bezugnahme auf 8 beschrieben wurden. Der Kanalbereich der Logikvorrichtung 2804 ist als Bereich zwischen den n-Source-/Drain-Bereichen 2812 unter dem Gate-Stapel 2816 und innerhalb des Substrats 2202 definiert. In ähnlicher Weise ist ein Kanalbereich der Logikvorrichtung 2806 als Bereich zwischen den p-Source-/Drain-Bereichen 2814 unter dem Gate-Stapel 2818 und innerhalb des Substrats 2202 definiert. In einigen Beispielen enthält der Kanalbereich Silizium (Si) und/oder ein Material mit hoher Beweglichkeit, wie Germanium, das epitaktisch aufgewachsen werden kann, sowie einen beliebigen der Mehrzahl von Verbindungshalbleitern oder Legierungshalbleitern.
  • In wenigstens einigen Beispielen kann ein Ionenimplantationsprozess, der zum Bilden der n-Source/Drain-Bereiche 2812 verwendet, gleichzeitig den n-Bereich 2808 innerhalb der Ge-Schicht 2602 bilden. In einigen Ausführungsformen kann der Ionenimplantationsprozess, der zum Bilden der p-Source/Drain-Bereiche 2814 verwendet wird, auch gleichzeitig den p-Bereich 2810 innerhalb der Ge-Schicht 2602 bilden. In einigen Beispielen können separate Ionenimplantationsprozesse für die n-Source/Drain-Bereiche 2812 und den n-Bereich 2808 durchgeführt werden, und separate Ionenimplantationsprozesse können für die p-Source/Drain-Bereiche 2814 und den p-Bereich 2810 durchgeführt werden. In verschiedenen Beispielen kann die Dotierungsspezies, die zum Dotieren der n-Source/Drain-Bereiche 2812 verwendet wird, Phosphor (P), Arsen (As), Antimon (Sb), Kombinationen davon oder einen anderen angemessenen n-Dotierstoff enthalten. In einigen Ausführungsformen kann die Dotierungsspezies, die zum Dotieren der p-Source/Drain-Bereiche 2814 verwendet wird, Bor (B), Aluminium (Al), Gallium (Ga), Indium (In) oder einen anderen angemessenen p-Dotierstoff enthalten.
  • Es ist zu beachten, dass, obwohl die Logikvorrichtung 2804 und die Logikvorrichtung 2806 so gezeigt und beschrieben worden sind, dass sie MOS-Transistoren aufweisen, diese Beispiele nicht einschränkend sein sollen, und es versteht sich, dass eine Vielfalt von anderen Vorrichtungstypen innerhalb des Logikbereichs 2206 gleichermaßen gefertigt werden können, ohne den Schutzbereich der vorliegenden Offenbarung zu verlassen. In anderen Ausführungsformen kann der Logikbereich 2206 zum Beispiel alternativ oder zusätzlich FinFETs, GAA-Transistoren, CMOS-Transistoren, verspannte Halbleitervorrichtungen, SOI-Vorrichtungen, bipolare Sperrschichttransistoren, Widerstände, Kondensatoren, Induktivitäten, Dioden, Sicherungen, Speichervorrichtungen wie SRAM-Vorrichtungen, E/A-Transistoren und/oder andere Vorrichtungen und/oder Schaltungen aufweisen.
  • In einer weiteren Ausführungsform des Blocks 2014 wird immer noch unter Bezugnahme auf das Beispiel von 25 eine dielektrische Schicht 2902 über dem Substrat 2202 und über jedem des optischen Sensors 2802 innerhalb des optoelektronischen Bereichs 2204 und der Logikvorrichtungen 2804, 2806 innerhalb des Logikbereichs 2206 gebildet. In verschiedenen Ausführungsformen kann die dielektrische Schicht 2902 im Wesentlichen gleich wie die dielektrische Schicht 902 sein, die vorstehend unter Bezugnahme auf 9 beschrieben wurde.
  • Ähnlich wie die dielektrische Schicht 902 kann die dielektrische Schicht 2902 strukturiert werden, um Öffnungen zu bilden, innerhalb derer Metallschichten abgeschieden werden können, um einen elektrischen Kontakt zu den darunterliegenden Substratelementen bereitzustellen. Zum Beispiel kann eine Metallschicht 2904 gebildet werden, um einen elektrischen Kontakt zu dem n-Bereich 2808 bereitzustellen, eine Metallschicht 2906 kann gebildet werden, um einen elektrischen Kontakt zu dem p-Bereich 2810 bereitzustellen, Metallschichten 2908 können gebildet werden, um einen elektrischen Kontakt zu den n-Source/Drain-Bereichen 2812 bereitzustellen, eine Metallschicht 2910 kann gebildet werden, um einen elektrischen Kontakt zu der Gate-Elektrode des Gate-Stapels 2816 bereitzustellen, Metallschichten 2912 können gebildet werden, um einen elektrischen Kontakt zu den Drain-Bereichen 2814 bereitzustellen, und eine Metallschicht 2914 kann gebildet werden, um einen elektrischen Kontakt zu der Gate-Elektrode des Gate-Stapels 2818 bereitzustellen. In einigen Beispielen können die Metallschichten 2904, 2906, 2908, 2910, 2912, 2914 W, Cu, Co, Ru, Al, Rh, Mo, Ta, Ti, TiN, TaN, WN, Silizide, Kombinationen davon oder ein anderes geeignetes leitfähiges Material enthalten.
  • Nach dem Bilden der Kontakte zu den darunterliegenden Substratelementen (die z. B. die Metallschichten 2904, 2906, 2908, 2910, 2912, 2914 aufweisen) kann eine weitere BEOL-Verarbeitung durchgeführt werden. Zum Beispiel können verschiedene Durchkontaktierungen/Metallleitungen und Mehrschicht-Interconnection-Elemente (z. B. Metallschichten und Zwischenschichtdielektrika, die Abschnitte der dielektrischen Schicht 2902 aufweisen) über dem Substrat 2202 gebildet und dazu eingerichtet sein, die verschiedenen darunterliegenden Substratelemente zu verbinden, um eine Funktionsschaltung (z. B. wie eine optoelektronische Schaltung) zu bilden, die einen oder mehrere optische Sensoren 2802 vom optoelektronischen Bereich 2204 und ein oder mehrere Logikvorrichtungen 2804, 2806 vom Logikbereich 2206 aufweisen kann. Wie in 25 gezeigt, kann der Mehrschicht-Interconnection-Bereich (MLI-Bereich) 2915 vertikale Interconnects, wie Durchkontaktierungen oder Kontakte, und horizontale Interconnects, wie Metallleitungen, aufweisen. Die verschiedenen Interconnection-Elemente können verschiedene leitfähige Materialien, die Kupfer, Wolfram und/oder Silizid enthalten, einsetzen. In einem Beispiel wird ein Damascene- und/oder ein Dual-Damascene-Prozess verwendet, um eine kupferbezogene Mehrschicht-Interconnection-Struktur zu bilden. In einigen Ausführungsformen kann ein Abschnitt 2916 des MLI-Bereichs 2915 gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 2904 bereitzustellen, die mit dem n-Bereich 2808 in Kontakt ist, ein Abschnitt 2918 des MLI-Bereichs 2915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 2906 bereitzustellen, die mit dem p-Bereich 2810 in Kontakt ist, Abschnitte 2920/2924 des MLI-Bereichs 2915 können gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 2908 bereitzustellen, die mit den n-Source/Drain-Bereichen 2812 in Kontakt sind, ein Abschnitt 2922 des MLI-Bereichs 2915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 2910 bereitzustellen, die mit der Gate-Elektrode des Gate-Stapels 2816 in Kontakt ist, Abschnitte 2926/2930 des MLI-Bereichs 2915 können gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 2912 bereitzustellen, die mit den p-Source/Drain-Bereichen 2814 in Kontakt sind, und ein Abschnitt 2928 des MLI-Bereichs 2915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 2914 bereitzustellen, die mit der Gate-Elektrode des Gate-Stapels 2818 in Kontakt ist.
  • Nunmehr unter Bezugnahme auf 26 ist ein Verfahren 3000 zum Fertigen einer Halbleitervorrichtung 3100, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 3000 wird nachstehend unter Bezugnahme auf 27 bis 32 erörtert, die Querschnittsansichten der Halbleitervorrichtung 3100 in verschiedenen Fertigungsstufen gemäß einem oder mehreren Schritten des Verfahrens 3000 bereitstellen. Es ist zu beachten, dass verschiedene Aspekte des Verfahrens 3000 den vorstehend erörterten Verfahren 1000 und 2000 ähneln können. Somit werden zur Klarheit der Erörterung nur bestimmte Aspekte der Verfahren 1000 und 2000 kurz erörtert. Allgemein bildet das Verfahren 3000 in einigen Ausführungsformen einen dotierten Si-Bereich durch dotiertes epitaktisches Aufwachsen einer Si-Schicht (ähnlich dem Verfahren 2000), und das Verfahren 3000 stellt ein dediziertes optoelektronisches Substrat bereit, das an ein separates Vorrichtungssubstrat gebondet wird (ähnlich dem Verfahren 1000).
  • Das Verfahren 3000 beginnt bei Block 3002, bei dem ein Substrat bereitgestellt wird. Unter Bezugnahme auf das Beispiel von 27 wird in einer Ausführungsform von Block 3002 ein Substrat 3202 bereitgestellt. In verschiedenen Ausführungsformen kann das Substrat 3202 ein Halbleitersubstrat, wie ein Siliziumsubstrat, sein. Allgemeiner kann das Substrat 3202 im Wesentlichen gleich wie das Substrat 202 sein, und es kann eine oder mehrere Schichten oder Elemente aufweisen, wie vorstehend unter Bezugnahme auf 2 beschrieben.
  • Ähnlich wie bei der vorstehend erörterten Vorrichtung 1100 kann das Substrat 3202 der Vorrichtung 3100 ein dediziertes optoelektronisches Substrat aufweisen, das eine oder mehrere optoelektronische Vorrichtungen (z. B. wie Fotodioden) aufweist, die einem oder mehreren Bildpixeln zugeordnet sind. Natürlich kann das Substrat 3202 in wenigstens einigen Ausführungsformen auch ein oder mehrere Logikvorrichtungen und/oder -schaltungen aufweisen. Wie nachstehend ausführlicher beschrieben, kann in einigen Ausführungsformen ein separates Vorrichtungssubstrat (das neben anderen Vorrichtungen z. B. Kern-(Logik-)Transistoren und -Schaltungen aufweist) in einer späteren Verarbeitungsstufe an das optoelektronische Substrat gebondet werden.
  • Das Verfahren 3000 geht dann zu Block 3004 über, bei dem eine dotierte Siliziumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 28 wird in einer Ausführungsform von Block 3004 eine dotierte Silizium-Schicht (Si-Schicht) 3506 über dem Substrat 3202 gebildet. In einigen Beispielen kann die dotierte Si-Schicht 3506 eine epitaktisch aufgewachsene Si-Schicht aufweisen. Allgemeiner wird in einigen Ausführungsformen die dotierte Si-Schicht 3506 durch ALD, CVD, MBE, MOCVD und/oder andere geeignete Aufwachsprozesse gebildet. Um die Dotierung für die dotierte Si-Schicht 3506 bereitzustellen, können eine angemessene Dotierungsspezies während des Aufwachsens der Si-Schicht (z. B. wie in einem In-situ-Dotierungsprozess) in eine Prozesskammer eingeführt werden, um die dotierte Si-Schicht 3506 bereitzustellen. In einigen Beispielen kann die Dotierungsspezies ein p-Material (z. B. ein Material der Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) und/oder VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten.
  • Nach der nachfolgenden Bildung einer Ge-Schicht, wie nachstehend beschrieben, befindet sich die dotierte Si-Schicht 3506 in der Nähe der Ge-Si-Grenzfläche. In einigen Ausführungsformen kann das p-Material, das zum Bilden der dotierten Si-Schicht 3506 verwendet wird, zum Ändern einer Trägerkonzentration des Substrats 3202 (wie z. B. eines Si-Substrats) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen. In einigen Fällen kann das Material der Gruppe VIIA, das zum Bilden der dotierten Si-Schicht 3506 verwendet wird, zum Neutralisieren eingefangener Ladungen innerhalb des Substrats 3202 (z. B. wie eines Si-Substrats) verwendet werden, die durch Defekte (z. B. nicht abgesättigte Bindungen) entlang der oberen Fläche des Substrats 3202 und in einem Bereich in der Nähe der oberen Fläche des Substrats 3202 eingefangen werden können. Insbesondere kann das Material der Gruppe VIIIA zum Neutralisieren eingefangener Ladungen entlang eines Flächenbereichs des Substrats 3202 (z. B. wie eines Si-Substrats) in der Nähe der Ge-Schicht (oder Ge-Fotodiodenschicht) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen.
  • Das Verfahren 3000 geht dann zu Block 3006 über, bei dem eine Germaniumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 29 wird in einer Ausführungsform von Block 3006 nach der Bildung der dotierten Si-Schicht 3506 über dem Substrat 3202 eine Germanium-Schicht (Ge-Schicht) 3602 über der dotierten Si-Schicht 3506 gebildet. In einigen Ausführungsformen wird die Ge-Schicht 3602 durch ALD, CVD, MBE, MOCVD und/oder einen anderen geeigneten Aufwachsprozess gebildet. Zusätzlich weist die Ge-Schicht 3602 in einigen Beispielen eine intrinsische Ge-Schicht auf. Somit kann die Ge-Schicht 3602 in einigen Ausführungsformen im Wesentlichen undotiert sein (die z. B. nur eine geringfügige Menge einer vorhandenen Dotierungsspezies aufweist). In einigen Ausführungsformen kann optional ein CMP-Prozess durchgeführt werden, um die Ge-Schicht 3602 zu planarisieren und/oder zu dünnen.
  • Das Verfahren 3000 geht dann zu Block 3008 über, bei dem ein optischer Sensor gebildet wird. Unter Bezugnahme auf das Beispiel 30 werden in einer Ausführungsform von Block 3008 ein oder mehrere optische Sensoren 3802 innerhalb der Ge-Schicht 3602 gebildet. In einigen Ausführungsformen können der eine oder die mehreren optischen Sensoren 3802 optische Ge-Sensoren, wie Ge-Fotodioden (PD), aufweisen. Jeder des einen oder der mehreren optischen Sensoren 3802 kann einen n-Bereich 3808 und einen p-Bereich 3810 aufweisen, die innerhalb der Ge-Schicht 3602 ausgebildet sind. In einigen Ausführungsformen können die n-Bereiche 3808 und die p-Bereiche 3810 durch Ionenimplantation gebildet werden. In verschiedenen Beispielen kann die Dotierungsspezies, die zum Dotieren der n-Bereiche 3808 verwendet wird, Phosphor (P), Arsen (As), Antimon (Sb), Kombinationen davon oder einen anderen angemessenen n-Dotierstoff enthalten. In einigen Ausführungsformen kann die Dotierungsspezies, die zum Dotieren der p-Bereiche 3810 verwendet wird, Bor (B), Aluminium (Al), Gallium (Ga), Indium (In) oder einen anderen angemessenen p-Dotierstoff enthalten. In verschiedenen Beispielen können die n-Bereiche 3808 Kathoden für jeweilige optische Sensoren 3802 bereitstellen, und die p-Bereiche 3810 können Anoden für jeweilige optische Sensoren 3802 bereitstellen. Somit können für jeden der optischen Sensoren 3802 der n-Bereich 3810 der (intrinsischen) Ge-Schicht 3602 zwischen dem p-Bereich 3810 und dem n-Bereich 3808 und der n-Bereich 3808 zusammen eine p-i-n-Ge-PD (oder einfach eine p-n-Ge-PD) definieren. In einigen Beispielen werden Elektron-Loch-Paare erzeugt, wenn ein Photon mit ausreichender Energie auf den einen oder die mehreren optischen Sensor 3802 auftrifft. Wenn eine Photonenabsorption im intrinsischen (oder verarmten) Bereich des einen oder der mehreren optischen Sensoren 3802 auftritt, werden dann in einigen Fällen die erzeugten Elektronen zur Kathode (n-Bereiche 3808) abgelenkt, und die erzeugten Löcher werden zur Anode (p-Bereiche 3810) abgelenkt, wodurch ein Fotostrom erzeugt wird.
  • Wie zuvor erörtert, ist ein Gesamtstrom durch einen optischen Sensor (z. B. wie den einen oder die mehreren optischen Sensoren 3802) die Summe des Dunkelstroms und des Fotostroms. Gemäß einigen Ausführungsformen dient die dotierte Si-Schicht 3506, die in der Nähe der Ge-Si-Grenzfläche (z. B. in der Nähe der Ge-Schicht 3602) angeordnet ist, jedoch so, dass sie Strom (Elektronen) vom Substrat 3202 (z. B. wie Si) auf wirksame Weise daran hindert, in die Ge-Schicht 3602 einzudringen. Als Ergebnis wird die Dunkelstromkomponente des Gesamtstroms durch den einen oder die mehreren optischen Sensoren 3802 verringert und die Leistung des einen oder der mehreren optischen Sensoren 3802 wird verbessert. Wie vorstehend erörtert, kann zum Beispiel das p-Material innerhalb der dotierten Si-Schicht 3506 verwendet werden, um eine Trägerkonzentration des Substrats 3202 zu ändern, und/oder ein Material der Gruppe VIIA innerhalb der dotierten Si-Schicht 3506 kann verwendet werden, um eingefangene Ladungen im Substrat 3202 zu neutralisieren, wodurch die Ge-Si-Grenzfläche optimiert wird.
  • Das Verfahren 3000 geht dann zu Block 3010 über, bei dem Kontakte gebildet werden und eine BEOL-Verarbeitung durchgeführt wird. Unter Bezugnahme auf das Beispiel von 31 wird in einer Ausführungsform von Block 3010 eine dielektrische Schicht 3902 über dem Substrat 3202 und über dem einen oder den mehreren optischen Sensoren 3802 gebildet. In verschiedenen Ausführungsformen kann die dielektrische Schicht 2902 im Wesentlichen gleich wie die dielektrische Schicht 902 sein, die vorstehend unter Bezugnahme auf 9 beschrieben wurde.
  • Ähnlich wie die dielektrische Schicht 902 kann die dielektrische Schicht 3902 strukturiert werden, um Öffnungen zu bilden, innerhalb derer Metallschichten abgeschieden werden können, um einen elektrischen Kontakt zu den darunterliegenden Substratelementen bereitzustellen. Zum Beispiel kann eine Metallschicht 3904 gebildet werden, um einen elektrischen Kontakt zu den n-Bereichen 3808 bereitzustellen, und eine Metallschicht 3906 kann gebildet werden, um einen elektrischen Kontakt zu den p-Bereichen 3810 bereitzustellen. In einigen Beispielen können die Metallschichten 3904, 3906 W, Cu, Co, Ru, Al, Rh, Mo, Ta, Ti, TiN, TaN, WN, Silizide, Kombinationen davon oder ein anderes geeignetes leitfähiges Material enthalten.
  • Nach dem Bilden der Kontakte zu den darunterliegenden Substratelementen (die z. B. die Metallschichten 3904, 3906 aufweisen) kann eine weitere BEOL-Verarbeitung durchgeführt werden. Zum Beispiel können verschiedene Durchkontaktierungen/Metallleitungen und Mehrschicht-Interconnection-Elemente (z. B. Metallschichten und Zwischenschichtdielektrika, die Abschnitte der dielektrischen Schicht 3902 aufweisen) über dem Substrat 3202 gebildet und dazu eingerichtet werden, die verschiedenen darunterliegenden Substratelemente zu verbinden (sowohl miteinander als auch mit einem oder mehreren Vorrichtungen auf einem separaten Vorrichtungssubstrat, das anschließend an das Substrat 3202 gebondet wird), um eine Funktionsschaltung (z. B eine optoelektronische Schaltung) zu bilden, die den einen oder die mehreren optischen Sensoren 3802 und in einigen Fällen ein oder mehrere Vorrichtungen (z. B. wie Logikvorrichtungen oder andere Vorrichtungen) vom separaten Vorrichtungssubstrat aufweisen kann. Wie in 31 gezeigt, kann der Mehrschicht-Interconnection-Bereich (MLI-Bereich) 3915 vertikale Interconnects, wie Durchkontaktierungen oder Kontakte, und horizontale Interconnects, wie Metallleitungen, aufweisen. Die verschiedenen Interconnection-Elemente können verschiedene leitfähige Materialien, die Kupfer, Wolfram und/oder Silizid enthalten, einsetzen. In einem Beispiel wird ein Damascene- und/oder ein Dual-Damascene-Prozess verwendet, um eine kupferbezogene Mehrschicht-Interconnection-Struktur zu bilden. In einigen Ausführungsformen kann der Abschnitt 3916 des MLI-Bereichs 3915 gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 3904 bereitzustellen, die mit den n-Bereichen 3808 in Kontakt sind, und der Abschnitt 3918 des MLI-Bereichs 3915 kann gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 3906 bereitzustellen, die mit dem p-Bereich 3810 in Kontakt sind.
  • Das Verfahren 3000 geht dann zu Block 3012 über, bei dem das optoelektronische Substrat an ein separates Vorrichtungssubstrat gebondet wird. Unter Bezugnahme auf das Beispiel von 32 wird in einer Ausführungsform von Block 3012 die Vorrichtung 3100 (welche das optoelektronische Substrat aufweist) an ein separates Vorrichtungssubstrat 3950 gebondet. Wie zuvor angemerkt, kann das separate Vorrichtungssubstrat 3950 neben anderen Vorrichtungen Kern-(Logik-)Transistoren und -Schaltungen aufweisen. In wenigstens einigen Beispielen können die Vorrichtungen und/oder Schaltungen innerhalb des separaten Vorrichtungssubstrats 3950 planare MOSFETs, FinFETs, GAA-Transistoren, CMOS-Transistoren, verspannte Halbleitervorrichtungen, SOI-Vorrichtungen, bipolare Sperrschichttransistoren, Widerstände, Kondensatoren, Induktivitäten, Dioden, Sicherungen, Speichervorrichtungen wie SRAM-Vorrichtungen, E/A-Transistoren und/oder andere Logikvorrichtungen und/oder Schaltungen aufweisen. In einigen Ausführungsformen kann das separate Vorrichtungssubstrat 3950 eine anwendungsspezifische integrierte Schaltung (ASIC) aufweisen. In einigen Fällen können nach dem Bondprozess von Block 3012 ein oder mehrere Vorrichtungen innerhalb des optoelektronischen Substrats (die Vorrichtung 3100) mit einem oder mehreren Vorrichtungen im separaten Vorrichtungssubstrat 3950 gekoppelt werden, um zum Beispiel zusammen eine Pixelschaltung oder eine andere optoelektronische Schaltung zu definieren. In einigen Beispielen kann die Vorrichtung 3100 (die das optoelektronische Substrat aufweist) unter Verwendung einer Vielfalt von Strukturen und/oder Verfahren, wie Kupfer-Kupfer-Hybridbonden, Interposem, durchgehenden Silizium-Durchkontaktierungen (TSV), Umverteilungsschichten (RDL), Bondpads, Kupfer-Mikrobondhügeln und -Säulen, Drahtbonden, Flip-Chip-Bonden, Packaging auf Waferebene (WLP) oder anderen angemessenen Wafer-Bondtechniken, die 2,5D- und 3D-IC-Packagingstechniken aufweisen, an ein separates Vorrichtungssubstrat 3950 gebondet werden.
  • In den vorstehend erörterten Verfahren 100, 1000, 2000 und 3000 wurde die Passivierung der Ge-Si-Grenzfläche so beschrieben, dass sie allgemein durch Bilden eines ionenimplantierten Si-Bereichs innerhalb eines Graben in der Nähe einer im Graben ausgebildeten Ge-Schicht (wie in Verfahren 100), durch Bilden einer ionenimplantierten Si-Schicht innerhalb eines dedizierten optoelektronischen Substrats in der Nähe einer über dem optoelektronischen Substrat ausgebildeten Ge-Schicht (wie in Verfahren 1000), durch epitaktisches Aufwachsen einer dotierten Si-Schicht innerhalb eines Grabens in der Nähe einer im Graben ausgebildeten Ge-Schicht (wie in Verfahren 2000), oder durch epitaktisches Aufwachsen einer dotierten Si-Schicht über ein dediziertes optoelektronisches Substrat in der Nähe einer über dem optoelektronischen Substrat ausgebildeten Ge-Schicht (wie in Verfahren 3000) erreicht wird.
  • In einigen alternativen Ausführungsformen können die Verfahren 100, 1000, 2000, 3000 derart modifiziert werden, dass die Ge- (anstelle der Si-)Schicht ionenimplantiert wird, oder derart, dass eine dotierte epitaktische Ge-Schicht (anstelle einer dotierten epitaktischen Si-Schicht) gebildet wird. Diese alternativen Ausführungsformen werden nachstehend unter Bezugnahme auf die Verfahren 4000, 5000, 6000 und 7000 beschrieben. Es ist zu beachten, dass die Verfahren 4000, 5000, 6000 und 7000 im Wesentlichen den Verfahren 100, 1000, 2000 bzw. 3000 ähneln. Zum Beispiel kann im Verfahren 4000, welches das Verfahren 100 reflektiert, die Passivierung der Ge-Si-Grenzfläche allgemein durch Bilden eines ionenimplantierten Ge-Bereichs innerhalb einer Ge-Schicht erreicht werden, die in einem Graben in der Nähe der Ge-Si-Grenzfläche angeordnet ist. Im Verfahren 5000, welches das Verfahren 1000 reflektiert, kann die Passivierung der Ge-Si-Grenzfläche allgemein durch Bilden eines ionenimplantierten Ge-Bereichs (innerhalb einer über einer Si-Schicht ausgebildeten Ge-Schicht) in der Nähe der Ge-Si-Grenzfläche erreicht werden. Im Verfahren 6000, welches das Verfahren 2000 reflektiert, kann die Passivierung der Ge-Si-Grenzfläche allgemein durch epitaktisches Aufwachsen einer dotierten Ge-Schicht innerhalb des Grabens und in der Nähe der Ge-Si-Grenzfläche erreicht werden. Im Verfahren 7000, welches das Verfahren 3000 reflektiert, kann die Passivierung der Ge-Si-Grenzfläche allgemein durch epitaktisches Aufwachsen einer dotierten Ge-Schicht (über einem dedizierten optoelektronischen Substrat) in der Nähe der Ge-Si-Grenzfläche erreicht werden.
  • Es ist auch zu beachten, dass die verschiedene Aspekte, die vorstehend unter Bezugnahme auf die Verfahren 100, 1000, 2000, 3000 erörtert wurden, gleichermaßen auf die nachfolgend erörterten Verfahren 4000, 5000, 6000, 7000 angewendet werden können. Zum Beispiel können die verschiedenen Schichten, Elemente oder Prozessschritte, die vorstehend erörtert wurden, gleichermaßen auf die folgende Erörterung angewendet werden. Zusätzlich können die vorstehend in den jeweiligen Verfahren 100, 1000, 2000, 3000 verwendeten Bezugszeichen und/oder Buchstaben in der Erörterung der Verfahren 4000, 5000, 6000, 7000 wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen vor. Ferner werden im Hinblick auf die jeweilige Ähnlichkeit der Verfahren 4000, 5000, 6000, 7000 mit den Verfahren 100, 1000, 2000, 3000 die Verfahren 4000, 5000, 6000, 7000 im Folgenden der Klarheit halber nur kurz beschrieben, wobei jenen Elementen und/oder Verfahrensschritten, die sich wesentlich unterscheiden, besondere Aufmerksamkeit gewidmet wird.
  • Nunmehr unter Bezugnahme auf 33 ist ein Verfahren 4000 zum Fertigen einer Halbleitervorrichtung 4100, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 4000 wird nachstehend unter Bezugnahme auf 34 bis 41 erörtert, die Querschnittsansichten der Halbleitervorrichtung 4100 in verschiedenen Fertigungsstufen gemäß einem oder mehreren Schritten des Verfahrens 4000 bereitstellen. Wie zuvor angemerkt, reflektiert das Verfahren 4000 in einigen Aspekten das vorstehend erörterte Verfahren 100. Somit werden zur Klarheit der Erörterung bestimmte Aspekte des Verfahrens 4000 nur kurz erörtert. Der Klarheit der Erörterung halber werden die verschiedenen Bezugszeichen und/oder Buchstaben, die vorstehend in der Erörterung des Verfahrens 100 verwendet wurden, auch in der Erörterung des Verfahrens 4000 bei Bezugnahme auf ähnliche Elemente wiederholt.
  • Das Verfahren 4000 beginnt bei Block 4002, bei dem ein Substrat bereitgestellt wird. Block 4002 des Verfahrens 4000 ist in einigen Ausführungsformen im Wesentlichen gleich wie Block 102 des Verfahrens 100. Somit wird unter Bezugnahme auf das Beispiel von 34 in einer Ausführungsform von Block 4002 das Substrat 202 bereitgestellt. Das Substrat 202 kann ein Siliziumsubstrat oder anderes Substrat sein, wie vorstehend beschrieben, und das Substrat 202 weist auch einen optoelektronischen Bereich 204 und einen Logikbereich 206 auf, wie zuvor erörtert.
  • Das Verfahren 4000 geht dann zu Block 4004 über, bei dem ein Graben gebildet wird. Block 4004 des Verfahrens 4000 ist in einigen Ausführungsformen im Wesentlichen gleich wie Block 104 des Verfahrens 100. Somit wird unter Bezugnahme auf das Beispiel von 35 in einer Ausführungsform von Block 4004 der Graben 302 innerhalb des optoelektronischen Bereichs 204 gebildet. Die vorstehend erörterte HM-Schicht 304 ist auch veranschaulicht.
  • Das Verfahren 4000 geht dann zu Block 4006 über, bei dem eine Germaniumschicht gebildet wird. Block 4006 des Verfahrens 4000 ähnelt in einigen Ausführungsformen Block 110 des Verfahrens 100. Unter Bezugnahme auf das Beispiel von 36 wird in einer Ausführungsform von Block 4006 die Germanium-Schicht (Ge-Schicht) 602 innerhalb des Grabens 302 gebildet. Wie gezeigt, kann die Ge-Schicht 602 in einigen Fällen aus Graben 302 überfließen, was zu einer Flächentopografie 604 der Ge-Schicht 602 führt. In einigen Beispielen weist die Ge-Schicht 602 eine intrinsische Ge-Schicht auf. Somit bildet das Verfahren 4000 im Gegensatz zum Verfahren 100 die Ge-Schicht 602 vor der Bildung eines Implantationsbereichs an der Ge-Si-Grenzfläche, wie nachstehend beschrieben.
  • Das Verfahren 4000 geht dann zu Block 4008 fort, bei dem ein CMP-Prozess durchgeführt wird. Block 4008 des Verfahrens 4000 ähnelt in einigen Ausführungsformen Block 112 des Verfahrens 100. Unter Bezugnahme auf das Beispiel von 37 wird in einer Ausführungsform von Block 4008 ein CMP-Prozess durchgeführt, um überschüssige Abschnitte der Ge-Schicht 602 zu entfernen und die obere Fläche der Vorrichtung 4100 zu planarisieren. Als Ergebnis des CMP-Prozesses sind eine obere Fläche des Substrats 202 (z. B. benachbart zum Graben 302) und eine obere Fläche der Ge-Schicht 602 im Wesentlichen koplanar (bündig) miteinander.
  • Das Verfahren 4000 geht dann zu Block 4010 über, bei dem eine Oxidschicht abgeschieden wird. Block 4010 des Verfahrens 4000 ähnelt in einigen Ausführungsformen Block 106 des Verfahrens 100. Unter Bezugnahme auf das Beispiel von 38 wird in einer Ausführungsform von Block 4010 die Oxidschicht 402 über dem Substrat 202 (z. B. innerhalb des optoelektronischen Bereichs 204 und des Logikbereichs 206) abgeschieden, aufweisend über der Ge-Schicht 602, die innerhalb des Grabens 302 abgeschieden wird. Wie vorstehend angemerkt, kann die Oxidschicht 402 in verschiedenen Ausführungsformen verwendet werden, um eine Tiefe (z. B. die geplante Reichweite) eines anschließend durchgeführten Ionenimplantationsprozesses zu steuern.
  • Das Verfahren 4000 geht dann zu Block 4012 über, bei dem ein Ionenimplantationsprozess durchgeführt wird. Block 4012 des Verfahrens 4000 ähnelt in einigen Ausführungsformen Block 108 des Verfahrens 100. Unter Bezugnahme auf das Beispiel von 39 wird in einer Ausführungsform von Block 4012 die strukturierte Implantationsmaskierungsschicht 502 über dem Substrat 202 gebildet, und der Prozess zum Implantieren von Ionen 504 in die Vorrichtung 4100 wird durchgeführt. In einigen Beispielen können die Dotierungsspezies, die für den Ionenimplantationsprozess 504 verwendet werden, ein p-Material (z. B. Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) und/oder Gruppe VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten. Als Ergebnis des Ionenimplantationsprozesses 504 wird ein Implantationsbereich 507 innerhalb und entlang eines unteren Abschnitts der Ge-Schicht 602 in der Nähe der Ge-Si-Grenzfläche gebildet. Somit definiert der Implantationsbereich 507 einen ionenimplantierten Ge-Bereich. Die Implantationsmaskierungsschicht 502 und die Oxidschicht 402 können nach dem Bilden des Implantationsbereichs 507 entfernt werden.
  • In einigen Ausführungsformen kann ein p-Material, das zum Bilden des Implantationsbereichs 507 verwendet wird, zum Ändern einer Trägerkonzentration der Ge-Schicht 602 (z. B. zumindest des unteren Abschnitts der Ge-Schicht 602) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen. In einigen Fällen kann das Material der Gruppe VIIA, das zum Bilden des Implantationsbereichs 507 verwendet wird, zum Neutralisieren eingefangener Ladungen innerhalb der Ge-Schicht 602 verwendet werden, die durch Defekte (z. B. nicht abgesättigte Bindungen) entlang der unteren Fläche der Ge-Schicht 602 in der Nähe der Ge-Si-Grenzfläche eingefangen werden können, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen.
  • Das Verfahren 4000 geht dann zu Block 4014 über, bei dem ein optischer Sensor und eine Logikvorrichtung gebildet werden. Block 4014 des Verfahrens 4000 ähnelt in einigen Ausführungsformen Block 114 des Verfahrens 100. Unter Bezugnahme auf das Beispiel von 40/41 wird in einer Ausführungsform von Block 4014 ein optischer Sensor 803 im optoelektronischen Bereich 204 innerhalb der Ge-Schicht 602 gebildet, und Logikvorrichtungen 804, 806 werden innerhalb des Logikbereichs 206 gebildet. Der optische Sensor 803 kann einen optischen Ge-Sensor, wie eine Ge-Fotodiode (PD), aufweisen, und er kann einen n-Bereich 808 (Kathode) und einen p-Bereich 810 (Anode) aufweisen, die innerhalb der Ge-Schicht 602 ausgebildet sind. Der optische Sensor 803 kann eine p-i-n-Ge-PD (oder einfach eine p-n-Ge-PD) aufweisen.
  • In verschiedenen Ausführungsformen ist ein Gesamtstrom durch den optischen Sensor 803 (Ge-PD) die Summe des Dunkelstroms und des Fotostroms. Gemäß einigen Ausführungsformen dient der Implantationsbereich 507, der in der Nähe der Ge-Si-Grenzfläche (z. B. innerhalb der Ge-Schicht 602) angeordnet ist, jedoch so, dass er Strom (Elektronen) vom Substrat 202 (z. B. wie Si) auf wirksame Weise daran hindert, in die Ge-Schicht 602 einzudringen. Als Ergebnis wird die Dunkelstromkomponente des Gesamtstroms durch den optischen Sensor 803 verringert und die Leistung des optischen Sensors 803 wird verbessert.
  • Wie vorstehend beschrieben, weist der Logikbereich 206 die Logikvorrichtung 804 (NMOS-Transistor) und die Logikvorrichtung 806 (PMOS-Transistor) auf. Die Logikvorrichtung 804 weist den Gate-Stapel 816 auf, und die Logikvorrichtung 806 weist den Gate-Stapel 818 auf, wie vorstehend beschrieben. Seitenwandabstandshalter können auf Seitenwänden der Gate-Stapel 816, 818 gebildet werden. Zusätzlich kann die Logikvorrichtung 804 n-Source/Drain-Bereiche 812 aufweisen, die innerhalb des Substrats 202 benachbart zum Gate-Stapel 816 und auf jeder Seite desselben ausgebildet sind, und die Logikvorrichtung 806 kann p-Source/Drain-Bereiche 814 aufweisen, die innerhalb des Substrats 202 benachbart zum Gate-Stapel 818 und auf jeder Seite desselben ausgebildet sind.
  • In einigen Beispielen kann ein Ionenimplantationsprozess, der zum Bilden der n-Source-/Drain-Bereiche 812 verwendet wird, gleichzeitig den n-Bereich 808 innerhalb der Ge-Schicht 602 bilden. In einigen Ausführungsformen kann der Ionenimplantationsprozess, der zum Bilden der p-Source/Drain-Bereiche 814 verwendet wird, auch gleichzeitig den p-Bereich 810 innerhalb der Ge-Schicht 602 bilden. Alternativ können in einigen Ausführungsformen separate Ionenimplantationsprozesse für die n-Source/Drain-Bereiche 812 und den n-Bereich 808 durchgeführt werden, und separate Ionenimplantationsprozesse können für die p-Source/Drain-Bereiche 814 und den p-Bereich 810 durchgeführt werden. In verschiedenen Beispielen kann die Dotierungsspezies, die zum Dotieren der n-Source-/Drain-Bereiche 812 und des n-Bereichs 808 verwendet wird, Phosphor (P), Arsen (As), Antimon (Sb), Kombinationen davon oder einen anderen angemessenen n-Dotierstoff enthalten. In einigen Ausführungsformen kann die Dotierungsspezies, die zum Dotieren der p-Source/Drain-Bereiche 814 und des p-Bereichs 810 verwendet wird, Bor (B), Aluminium (Al), Gallium (Ga), Indium (In) oder einen anderen angemessenen p-Dotierstoff enthalten.
  • Das Verfahren 4000 geht dann zu Block 4016 über, bei dem Kontakte gebildet werden und eine BEOL-Verarbeitung durchgeführt wird. Block 4016 des Verfahrens 4000 ähnelt in einigen Ausführungsformen Block 116 des Verfahrens 100. Unter Bezugnahme auf das Beispiel von 41 wird in einer Ausführungsform von Block 4016 die dielektrische Schicht 902 über dem Substrat 202 gebildet und über jedem des optischen Sensors 803 innerhalb des optoelektronischen Bereichs 204 und der Logikvorrichtungen 804, 806 innerhalb des Logikbereichs 206 gebildet.
  • In verschiedenen Beispielen kann die dielektrische Schicht 902 strukturiert werden, um Öffnungen zu bilden, innerhalb derer Metallschichten abgeschieden werden können, um einen elektrischen Kontakt zu den darunterliegenden Substratelementen bereitzustellen. Zum Beispiel kann die Metallschicht 904 gebildet werden, um einen elektrischen Kontakt zu dem n-Bereich 808 bereitzustellen, die Metallschicht 906 kann gebildet werden, um einen elektrischen Kontakt zu dem p-Bereich 810 bereitzustellen, die Metallschichten 908 können gebildet werden, um einen elektrischen Kontakt zu den n-Source/Drain-Bereichen 812 bereitzustellen, die Metallschicht 910 kann gebildet werden, um einen elektrischen Kontakt zu der Gate-Elektrode des Gate-Stapels 816 bereitzustellen, die Metallschichten 912 können gebildet werden, um einen elektrischen Kontakt zu den p-Source/Drain-Bereichen 814 bereitzustellen, und die Metallschicht 914 kann gebildet werden, um einen elektrischen Kontakt zu der Gate-Elektrode des Gate-Stapels 818 bereitzustellen.
  • Danach können Mehrschicht-Interconnection-Elemente gebildet werden. Wie vorstehend erörtert, kann der Abschnitt 916 des MLI-Bereichs 915 gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 904 bereitzustellen, die mit dem n-Bereich 808 in Kontakt ist, der Abschnitt 918 des MLI-Bereichs 915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 906 bereitzustellen, die mit dem p-Bereich 810 in Kontakt ist, Abschnitte 920/924 des MLI-Bereichs 915 können gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 908 bereitzustellen, die mit den n-Source/Drain-Bereichen 812 in Kontakt sind, der Abschnitt 922 des MLI-Bereichs 915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 910 bereitzustellen, die mit der Gate-Elektrode des Gate-Stapels 816 in Kontakt ist, Abschnitte 926/930 des MLI-Bereichs 915 können gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 912 bereitzustellen, die mit den p-Source/Drain-Bereichen 814 in Kontakt sind, und der Abschnitt 928 des MLI-Bereichs 915 kann gebildet werden, um einen elektrischen Kontakt zu der Metallschicht 914 bereitzustellen, die mit der Gate-Elektrode des Gate-Stapels 818 in Kontakt ist.
  • Nunmehr unter Bezugnahme auf 42 ist ein Verfahren 5000 zum Fertigen einer Halbleitervorrichtung 5100, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 5000 wird nachstehend unter Bezugnahme auf 43 bis 49 erörtert, die Querschnittsansichten der Halbleitervorrichtung 5100 in verschiedenen Fertigungsstufen gemäß einem oder mehreren Schritten des Verfahrens 5000 bereitstellen. Wie zuvor angemerkt, reflektiert das Verfahren 5000 in einigen Aspekten das vorstehend erörterte Verfahren 1000. Somit werden zur Klarheit der Erörterung bestimmte Aspekte des Verfahrens 5000 nur kurz erörtert. Der Klarheit der Erörterung halber werden die verschiedenen Bezugszeichen und/oder Buchstaben, die vorstehend in der Erörterung des Verfahrens 1000 verwendet wurden, auch in der Erörterung des Verfahrens 5000 bei Bezugnahme auf ähnliche Elemente wiederholt.
  • Das Verfahren 5000 beginnt bei Block 5002, bei dem ein Substrat bereitgestellt wird. Block 5002 des Verfahrens 5000 ist in einigen Ausführungsformen im Wesentlichen gleich wie Block 1002 des Verfahrens 1000. Somit wird unter Bezugnahme auf das Beispiel von 43 in einer Ausführungsform von Block 5002 das Substrat 1202 bereitgestellt. Wie vorstehend beschrieben, kann das Substrat 1202 ein Siliziumsubstrat oder ein anderes Substrat sein. Ferner kann das Substrat 1202 ein dediziertes optoelektronisches Substrat aufweisen, das ein oder mehrere optoelektronischen Vorrichtungen (z. B. wie Fotodioden) aufweist, die einem oder mehreren Bildpixeln zugeordnet sind, wie vorstehend beschrieben. In zumindest einigen Ausführungsformen kann das Substrat 1202 auch ein oder mehrere Logikvorrichtungen und/oder -schaltungen aufweisen. In einigen Ausführungsformen kann in einer späteren Verarbeitungsstufe ein separates Vorrichtungssubstrat an das optoelektronische Substrat gebondet werden.
  • Das Verfahren 5000 geht dann zu Block 5004 über, bei dem eine Germaniumschicht gebildet wird. Block 5004 des Verfahrens 5000 ähnelt in einigen Ausführungsformen Block 1008 des Verfahrens 1000. Unter Bezugnahme auf das Beispiel von 44 wird in einer Ausführungsform von Block 5004 die Germanium-Schicht (Ge-Schicht) 1602 über dem Substrat 1202 gebildet. In einigen Beispielen weist die Ge-Schicht 1602 eine intrinsische Ge-Schicht auf. In einigen Ausführungsformen kann optional ein CMP-Prozess durchgeführt werden, um die Ge-Schicht 1602 zu planarisieren und/oder zu dünnen. Somit bildet das Verfahren 5000 im Gegensatz zum Verfahren 1000 die Ge-Schicht 1602 vor der Bildung eines Implantationsbereichs an der Ge-Si-Grenzfläche, wie nachstehend beschrieben.
  • Das Verfahren 5000 geht dann zu Block 5006 über, bei dem eine Oxidschicht abgeschieden wird. Block 5006 des Verfahrens 5000 ähnelt in einigen Ausführungsformen Block 1004 des Verfahrens 1000. Unter Bezugnahme auf das Beispiel von 45 wird in einer Ausführungsform von Block 5006 die Oxidschicht 1402 über der Ge-Schicht 1602 abgeschieden. Die Oxidschicht 1402 kann verwendet werden, um eine Tiefe (z. B. die geplante Reichweite) eines anschließend durchgeführten Ionenimplantationsprozesses zu steuern.
  • Das Verfahren 5000 geht dann zu Block 5008 über, bei dem ein Ionenimplantationsprozess durchgeführt wird. Block 5008 des Verfahrens 5000 ähnelt in einigen Ausführungsformen Block 1006 des Verfahrens 1000. Unter Bezugnahme auf das Beispiel von 46 wird in einer Ausführungsform von Block 5008 der Ionenimplantationsprozess 1504 in die Vorrichtung 5100 durchgeführt. In einigen Beispielen können die Dotierungsspezies, die für den Ionenimplantationsprozess 1504 verwendet werden, ein p-Material (z. B. Gruppe ΠIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) und/oder Gruppe VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten. Als Ergebnis des Ionenimplantationsprozesses 1504 wird ein Implantationsbereich 1507 innerhalb und entlang eines unteren Abschnitts der Ge-Schicht 1602 in der Nähe der Ge-Si-Grenzfläche gebildet. Somit definiert der Implantationsbereich 15070 einen ionenimplantierten Ge-Bereich. Nach dem Bilden des Implantationsbereichs 1507 kann die Oxidschicht 1402 entfernt werden.
  • In einigen Ausführungsformen kann das p-Material, das zum Bilden des Implantationsbereichs 1507 verwendet wird, zum Ändern einer Trägerkonzentration der Ge-Schicht 1602 (z. B. zumindest des unteren Abschnitts der Ge-Schicht 1602) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen. In einigen Fällen kann das Material der Gruppe VIIA, das zum Bilden des Implantationsbereichs 1507 verwendet wird, zum Neutralisieren eingefangener Ladungen innerhalb der Ge-Schicht 1602 verwendet werden, die durch Defekte (z. B. nicht abgesättigte Bindungen) entlang der unteren Fläche der Ge-Schicht 1602 in der Nähe der Ge-Si-Grenzfläche eingefangen werden können, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen.
  • Das Verfahren 5000 geht dann zu Block 5010 über, bei dem ein optischer Sensor gebildet wird. Block 5010 des Verfahrens 5000 ähnelt in einigen Ausführungsformen Block 1010 des Verfahrens 1000. Unter Bezugnahme auf das Beispiel 47 werden in einer Ausführungsform von Block 5010 ein oder mehrere optische Sensoren 1803 innerhalb der Ge-Schicht 1602 gebildet. In einigen Ausführungsformen können der eine oder die mehreren optischen Sensoren 1803 optische Ge-Sensoren, wie Ge-Fotodioden (PD), aufweisen. Jeder des einen oder der mehreren optischen Sensoren 1803 kann n-Bereiche 1808 (Kathoden) und p-Bereiche 1810 (Anoden) aufweisen, die innerhalb der Ge-Schicht 1602 ausgebildet sind. Der eine oder die mehreren optischen Sensoren 1803 können eine p-i-n-Ge-PD (oder einfach eine p-n-Ge-PD) aufweisen.
  • In verschiedenen Ausführungsformen ist ein Gesamtstrom durch den einen oder die mehreren optischen Sensoren 1803 die Summe des Dunkelstroms und des Fotostroms. Gemäß einigen Ausführungsformen dient der Implantationsbereich 1507, der in der Nähe der Ge-Si-Grenzfläche (z. B. innerhalb der Ge-Schicht 1602) angeordnet ist, jedoch so, dass er Strom (Elektronen) vom Substrat 1202 (z. B. wie Si) auf wirksame Weise daran hindert, in die Ge-Schicht 1602 einzudringen. Als Ergebnis wird die Dunkelstromkomponente des Gesamtstroms durch den einen oder die mehreren optischen Sensoren 1803 verringert und die Leistung des einen oder der mehreren optischen Sensoren 1803 wird verbessert.
  • Das Verfahren 5000 geht dann zu Block 5012 über, bei dem Kontakte gebildet werden und eine BEOL-Verarbeitung durchgeführt wird. Block 5012 des Verfahrens 5000 ähnelt in einigen Ausführungsformen Block 1012 des Verfahrens 1000. Unter Bezugnahme auf das Beispiel von 48 wird in einer Ausführungsform von Block 5012 die dielektrische Schicht 1902 über dem Substrat 1202 und über dem einen oder den mehreren optischen Sensoren 1803 gebildet.
  • In verschiedenen Beispielen kann die dielektrische Schicht 1902 strukturiert werden, um Öffnungen zu bilden, innerhalb derer Metallschichten abgeschieden werden können, um einen elektrischen Kontakt zu den darunterliegenden Substratelementen bereitzustellen. Zum Beispiel kann die Metallschicht 1904 gebildet werden, um einen elektrischen Kontakt zu den n-Bereichen 1808 bereitzustellen, und die Metallschicht 1906 kann gebildet werden, um einen elektrischen Kontakt zu den p-Bereichen 1810 bereitzustellen.
  • Danach können Mehrschicht-Interconnection-Elemente gebildet werden. Wie vorstehend erörtert, können Abschnitte 1916 des MLI-Bereichs 1915 gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 1904 bereitzustellen, die mit den n-Bereichen 1808 in Kontakt sind, und Abschnitte 1918 des MLI-Bereichs 1915 können gebildet werden, um einen elektrischen Kontakt zu den Metallschichten 1906 bereitzustellen, die mit den p-Bereichen 1810 in Kontakt sind.
  • Das Verfahren 5000 geht dann zu Block 5014 über, bei dem das optoelektronische Substrat an ein separates Vorrichtungssubstrat gebondet wird. Block 5014 des Verfahrens 5000 ähnelt in einigen Ausführungsformen Block 1014 des Verfahrens 1000. Unter Bezugnahme auf das Beispiel von 49 wird in einer Ausführungsform von Block 5014 die Vorrichtung 5100 (welche das optoelektronische Substrat aufweist) an ein separates Vorrichtungssubstrat 1950 gebondet, das, wie vorstehend beschrieben, Kern-(Logik-)Transistoren und -Schaltungen neben anderen Vorrichtungen aufweisen kann. In einigen Fällen können nach dem Bondprozess von Block 5014 ein oder mehrere Vorrichtungen innerhalb des optoelektronischen Substrats (die Vorrichtung 5100) mit einem oder mehreren Vorrichtungen im separaten Vorrichtungssubstrat 1950 gekoppelt werden, um zum Beispiel zusammen eine Pixelschaltung oder eine andere optoelektronische Schaltung zu definieren.
  • Nunmehr unter Bezugnahme auf 50 ist ein Verfahren 6000 zum Fertigen einer Halbleitervorrichtung 6100, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 6000 wird nachstehend unter Bezugnahme auf 51 bis 57 erörtert, die Querschnittsansichten der Halbleitervorrichtung 6100 in verschiedenen Fertigungsstufen gemäß einem oder mehreren Schritten des Verfahrens 6000 bereitstellen. Wie zuvor angemerkt, reflektiert das Verfahren 6000 in einigen Aspekten das vorstehend erörterte Verfahren 2000. Zum Beispiel ist im veranschaulichten Ausführungsform das Verfahren 6000 gleich wie das Verfahren 2000, mit der Ausnahme, dass das Verfahren 6000 anstelle des epitaktischen Aufwachsen einer dotierten Si-Schicht im Graben (Block 2006 von Verfahren 2000) ein epitaktisches Aufwachsen einer dotierten Ge-Schicht im Graben (Block 6006 von Verfahren 6000) umfasst. Somit wird das Verfahren 6000 zur Klarheit der Erörterung nur sehr kurz erörtert. Der Klarheit der Erörterung halber werden die verschiedenen Bezugszeichen und/oder Buchstaben, die vorstehend in der Erörterung des Verfahrens 2000 verwendet wurden, auch in der Erörterung des Verfahrens 6000 bei Bezugnahme auf ähnliche Elemente wiederholt.
  • Das Verfahren 6000 beginnt bei Block 6002, bei dem ein Substrat bereitgestellt wird. Unter Bezugnahme auf das Beispiel von 51 wird in einer Ausführungsform von Block 6002 das Substrat 2202 bereitgestellt, wie vorstehend beschrieben. Das Substrat 2202 weist auch einen optoelektronischen Bereich 2204 (Pixelbereich) und einen Logikbereich 2206 auf.
  • Das Verfahren 6000 geht dann zu Block 6004 über, bei dem ein Graben gebildet wird. Unter Bezugnahme auf das Beispiel von 52 wird in einer Ausführungsform von Block 6004 der Graben 2302 innerhalb des optoelektronischen Bereichs 2204 gebildet, wie vorstehend beschrieben. Die strukturierte HM-Schicht 2304 ist auch veranschaulicht.
  • Das Verfahren 6000 geht dann zu Block 6006 über, bei dem eine dotierte Germaniumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 53 wird in einer Ausführungsform von Block 6006 eine dotierte Germanium-Schicht (Ge-Schicht) 2507 innerhalb des Grabens 2302 (z. B. aufweisend entlang von Seitenwandflächen und unteren Fläche des Grabens 2302) gebildet. In einigen Beispielen kann die dotierte Ge-Schicht 2507 eine epitaktisch aufgewachsene Ge-Schicht aufweisen. Allgemeiner wird in einigen Ausführungsformen die dotierte Ge-Schicht 2507 durch ALD, CVD, MBE, MOCVD und/oder andere geeignete Aufwachsprozesse gebildet. Um die Dotierung für die dotierte Ge-Schicht 2507 bereitzustellen, können eine angemessene Dotierungsspezies während des Aufwachsens der Ge-Schicht (z. B. wie in einem In-situ-Dotierungsprozess) in eine Prozesskammer eingeführt werden, um die dotierte Ge-Schicht 2507 bereitzustellen. In einigen Beispielen kann die Dotierungsspezies ein p-Material (z. B. ein Material der Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) und/oder VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten.
  • Die dotierte Ge-Schicht 2507 befindet sich in der Nähe einer Ge-Si-Grenzfläche der anschließend gebildeten Ge-PD, wie nachstehend beschrieben. In einigen Ausführungsformen kann das p-Material, das zum Bilden der dotierten Ge-Schicht 2507 verwendet wird, zum Ändern einer Trägerkonzentration der Ge-PD (z. B. zumindest entlang des unteren Abschnitts der Ge-PD in der Nähe der Ge-Si-Grenzfläche) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen. In einigen Fällen kann das Material der Gruppe VIIA, das zum Bilden der dotierten Ge-Schicht 2507 verwendet wird, zum Neutralisieren eingefangener Ladungen innerhalb der Ge-PD verwendet werden, die durch Defekte (z. B. nicht abgesättigte Bindungen) entlang des unteren Abschnitts der Ge-PD in der Nähe der Ge-Si-Grenzfläche eingefangen werden können, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen.
  • Das Verfahren 6000 geht dann zu Block 6008 über, bei dem eine Germaniumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 54 wird in einer Ausführungsform von Block 6008 nach der Bildung der dotierten Ge-Schicht 2507 entlang von Seitenwandflächen und unteren Fläche des Grabens 2302 die Ge-Schicht 2602 innerhalb des Grabens 2302 und über der dotierten Ge-Schicht 2507 gebildet (wie vorstehend beschrieben). In einigen Beispielen weist die Ge-Schicht 2602 eine intrinsische Ge-Schicht auf.
  • Das Verfahren 6000 geht dann zu Block 6010 über, bei dem ein CMP-Prozess durchgeführt wird. Unter Bezugnahme auf das Beispiel von 55 wird in einer Ausführungsform von Block 6010 ein CMP-Prozess nach der Bildung der Ge-Schicht 2602 durchgeführt. Der CMP-Prozess dient dazu, überschüssige Abschnitte der Ge-Schicht 2602 zu entfernen und eine obere Fläche der Vorrichtung 6100 zu planarisieren. In einigen Ausführungsformen kann der CMP-Prozess von Block 6010 auch zum Entfernen der HM-Schicht 2304 dienen, wie gezeigt. Als Ergebnis des CMP-Prozesses sind eine obere Fläche des Substrats 2202 (z. B. benachbart zum Graben 2302), eine obere Fläche der Ge-Schicht 2602 und obere Flächen von Abschnitten der dotierten Ge-Schicht 2507 entlang der Seitenwandflächen des Grabens 2302 im Wesentlichen koplanar (bündig) miteinander.
  • Das Verfahren 6000 geht dann zu Block 6012 über, bei dem ein optischer Sensor gebildet wird. Unter Bezugnahme auf das Beispiel von 56 wird in einer Ausführungsform von Block 6012 ein optischer Sensor 2803 im optoelektronischen Bereich 2204 innerhalb der Ge-Schicht 2602 gebildet. In einigen Ausführungsformen kann der optische Sensor 2803 einen optischen Ge-Sensor, wie eine Fotodiode (PD), aufweisen. Der optische Sensor 2803 kann den n-Bereich 2808 (Kathode) und den p-Bereich 2810 (Anode) aufweisen, die innerhalb der Ge-Schicht 2602 ausgebildet sind. Der n-Bereich 2808 und der p-Bereich 2810 können im Wesentlichen in der gleichen Weise gebildet werden, wie vorstehend beschrieben. Zusätzlich kann der optische Sensor 2803 eine p-i-n-Ge-PD (oder einfach eine p-n-Ge-PD) aufweisen.
  • In verschiedenen Ausführungsformen ist ein Gesamtstrom durch den optischen Sensor 2803 (Ge-PD) die Summe des Dunkelstroms und des Fotostroms. Gemäß einigen Ausführungsformen dient die dotierte Ge-Schicht 2507, die in der Nähe der Ge-Si-Grenzfläche angeordnet ist, jedoch so, dass sie Strom (Elektronen) vom Substrat 2202 (z. B. wie Si) auf wirksame Weise daran hindert, in die Ge-PD (die z. B. die Ge-Schicht 2602 aufweist) einzudringen. Als Ergebnis wird die Dunkelstromkomponente des Gesamtstroms durch den optischen Sensor 2803 verringert und die Leistung des optischen Sensors 2803 wird verbessert.
  • Das Verfahren 6000 geht dann zu Block 6014 über, bei dem eine Logikvorrichtung gebildet wird, Kontakte gebildet werden und eine BEOL-Verarbeitung durchgeführt wird. Unter Bezugnahme auf das Beispiel von 57 werden in einer Ausführungsform von Block 6014 Logikvorrichtungen 2804, 2806 innerhalb des Logikbereichs 2206 gebildet, wie vorstehend beschrieben.
  • In wenigstens einigen Beispielen kann ein Ionenimplantationsprozess, der zum Bilden der n-Source/Drain-Bereiche 2812 verwendet, gleichzeitig den n-Bereich 2808 innerhalb der Ge-Schicht 2602 bilden. In einigen Ausführungsformen kann der Ionenimplantationsprozess, der zum Bilden der p-Source/Drain-Bereiche 2814 verwendet wird, auch gleichzeitig den p-Bereich 2810 innerhalb der Ge-Schicht 2602 bilden. In einigen Beispielen können separate Ionenimplantationsprozesse für die n-Source/Drain-Bereiche 2812 und den n-Bereich 2808 durchgeführt werden, und separate Ionenimplantationsprozesse können für die p-Source/Drain-Bereiche 2814 und den p-Bereich 2810 durchgeführt werden.
  • In einer weiteren Ausführungsform von Block 6014 wird immer noch unter Bezugnahme auf das Beispiel von 57 die dielektrische Schicht 2902 über dem Substrat 2202 und über jedem des optischen Sensors 2803 innerhalb optoelektronisches Bereichs 2204 und der Logikvorrichtungen 2804, 2806 innerhalb des Logikbereichs 2206 gebildet. Die dielektrische Schicht 2902 kann strukturiert werden, um Öffnungen zu bilden, innerhalb derer Metallschichten abgeschieden werden können, um einen elektrischen Kontakt zu den darunterliegenden Substratelementen bereitzustellen, wie vorstehend beschrieben. Nach dem Bilden der Kontakte zu den darunterliegenden Substratelementen (die z. B. die Metallschichten 2904, 2906, 2908, 2910, 2912, 2914 aufweisen) kann eine weitere BEOL-Verarbeitung durchgeführt werden. In einigen Ausführungsformen kann die weitere BEOL-Verarbeitung die Bildung des MLI-Bereichs 2915 umfassen, der die Abschnitte 2916, 2918, 2920, 2922, 2924, 2926, 2928 und 2930 aufweist, wie vorstehend beschrieben.
  • Nunmehr unter Bezugnahme auf 58 ist ein Verfahren 7000 zum Fertigen einer Halbleitervorrichtung 7100, die einen Ge-basierten optischen Sensor aufweist, gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 7000 wird nachstehend unter Bezugnahme auf 59 bis 64 erörtert, die Querschnittsansichten der Halbleitervorrichtung 7100 in verschiedenen Fertigungsstufen gemäß einem oder mehreren Schritten des Verfahrens 7000 bereitstellen. Wie zuvor angemerkt, reflektiert das Verfahren 7000 in einigen Aspekten das vorstehend erörterte Verfahren 3000. Zum Beispiel ist im veranschaulichten Ausführungsform das Verfahren 7000 gleich wie das Verfahren 3000, mit der Ausnahme, dass das Verfahren 7000 anstelle des epitaktischen Aufwachsen einer dotierten Si-Schicht über ein Substrat (Block 3004 von Verfahren 3000) ein epitaktisches Aufwachsen einer dotierten Ge-Schicht über das Substrat (Block 7004 von Verfahren 7000) umfasst. Somit wird das Verfahren 7000 zur Klarheit der Erörterung nur sehr kurz erörtert. Der Klarheit der Erörterung halber werden die verschiedenen Bezugszeichen und/oder Buchstaben, die vorstehend in der Erörterung des Verfahrens 3000 verwendet wurden, auch in der Erörterung des Verfahrens 7000 bei Bezugnahme auf ähnliche Elemente wiederholt.
  • Das Verfahren 7000 beginnt bei Block 7002, bei dem ein Substrat bereitgestellt wird. Unter Bezugnahme auf das Beispiel von 59 wird in einer Ausführungsform von Block 7002 das Substrat 3202 bereitgestellt, wie vorstehend beschrieben. In einigen Ausführungsformen kann das Substrat 3202 der Vorrichtung 7100 ein dediziertes optoelektronisches Substrat aufweisen, das ein oder mehrere optoelektronische Vorrichtungen (wie z. B. Fotodioden) aufweist, die einem oder mehreren Bildpixeln zugeordnet sind. Natürlich kann das Substrat 3202 in wenigstens einigen Ausführungsformen auch ein oder mehrere Logikvorrichtungen und/oder - schaltungen aufweisen.
  • Das Verfahren 7000 geht dann zu Block 7004 über, bei dem eine dotierte Germaniumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 60 wird in einer Ausführungsform von Block 7004 eine dotierte Germanium-Schicht (Ge-Schicht) 3507 über dem Substrat 3202 gebildet. In einigen Beispielen kann die dotierte Ge-Schicht 3507 eine epitaktisch aufgewachsene Ge-Schicht aufweisen. Allgemeiner wird in einigen Ausführungsformen die dotierte Ge-Schicht 3507 durch ALD, CVD, MBE, MOCVD und/oder andere geeignete Aufwachsprozesse gebildet. Um die Dotierung für die dotierte Ge-Schicht 3507 bereitzustellen, können eine angemessene Dotierungsspezies während des Aufwachsens der Si-Schicht (z. B. wie in einem In-situ-Dotierungsprozess) in eine Prozesskammer eingeführt werden, um die dotierte Ge-Schicht 3507 bereitzustellen. In einigen Beispielen kann die Dotierungsspezies ein p-Material (z. B. ein Material der Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) und/oder VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten.
  • Die dotierte Ge-Schicht 3507 befindet sich in der Nähe einer Ge-Si-Grenzfläche der anschließend gebildeten Ge-PD, wie nachstehend beschrieben. In einigen Ausführungsformen kann das p-Material, das zum Bilden der dotierten Ge-Schicht 3507 verwendet wird, zum Ändern einer Trägerkonzentration der Ge-PD (z. B. zumindest entlang des unteren Abschnitts der Ge-PD in der Nähe der Ge-Si-Grenzfläche) verwendet werden, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen. In einigen Fällen kann das Material der Gruppe VIIA, das zum Bilden der dotierten Ge-Schicht 3507 verwendet wird, zum Neutralisieren eingefangener Ladungen innerhalb der Ge-PD verwendet werden, die durch Defekte (z. B. nicht abgesättigte Bindungen) entlang des unteren Abschnitts der Ge-PD in der Nähe der Ge-Si-Grenzfläche eingefangen werden können, derart dass die Ge-Si-Grenzfläche optimiert wird und Elektronen im Si im Wesentlichen daran gehindert werden, in die Ge-Schicht einzudringen.
  • Das Verfahren 7000 geht dann zu Block 7006 über, bei dem eine Germaniumschicht gebildet wird. Unter Bezugnahme auf das Beispiel von 61 wird in einer Ausführungsform von Block 7006 nach der Bildung der dotierten Ge-Schicht 3507 über dem Substrat 3202 die Ge-Schicht 3602 über der dotierten Ge-Schicht 3507 gebildet (wie vorstehend beschrieben). In einigen Beispielen weist die Ge-Schicht 3602 eine intrinsische Ge-Schicht auf. In einigen Ausführungsformen kann optional ein CMP-Prozess durchgeführt werden, um die Ge-Schicht 3602 zu planarisieren und/oder zu dünnen.
  • Das Verfahren 7000 geht dann zu Block 7008 über, bei dem ein optischer Sensor gebildet wird. Unter Bezugnahme auf das Beispiel 62 werden in einer Ausführungsform von Block 7008 ein oder mehrere optische Sensoren 3803 innerhalb der Ge-Schicht 3602 gebildet. In einigen Ausführungsformen können der eine oder die mehreren optischen Sensoren 3803 optische Ge-Sensoren, wie Ge-Fotodioden (PD), aufweisen. Jeder des einen oder der mehreren optischen Sensoren 3803 kann einen n-Bereich 3808 (Kathode) und einen p-Bereich 3810 (Anode) aufweisen, die innerhalb der Ge-Schicht 3602 ausgebildet sind, im Wesentlichen auf die gleiche Weise wie vorstehend beschrieben. Zusätzlich können der eine oder die mehreren optische Sensoren 3803 eine p-i-n-Ge-PD (oder einfach eine p-n-Ge-PD) aufweisen.
  • In verschiedenen Ausführungsformen ist ein Gesamtstrom durch den einen oder die mehreren optischen Sensoren 3802 (Ge-PDs) die Summe des Dunkelstroms und des Fotostroms. Gemäß einigen Ausführungsformen dient die dotierte Ge-Schicht 3507, die in der Nähe der Ge-Si-Grenzfläche angeordnet ist, jedoch so, dass sie Strom (Elektronen) vom Substrat 3202 (z. B. wie Si) auf wirksame Weise daran hindert, in die Ge-PD (die z. B. die Ge-Schicht 3602 aufweist) einzudringen. Als Ergebnis wird die Dunkelstromkomponente des Gesamtstroms durch den einen oder die mehreren optischen Sensoren 3803 verringert und die Leistung des einen oder der mehreren optischen Sensoren 3803 wird verbessert.
  • Das Verfahren 7000 geht dann zu Block 7010 über, bei dem Kontakte gebildet werden und eine BEOL-Verarbeitung durchgeführt wird. Unter Bezugnahme auf das Beispiel von 63 wird in einer Ausführungsform von Block 7010 die dielektrische Schicht 3902 über dem Substrat 3202 und über dem einen oder den mehreren optischen Sensoren 3803 gebildet. Die dielektrische Schicht 3902 kann strukturiert werden, um Öffnungen zu bilden, innerhalb derer Metallschichten abgeschieden werden können, um einen elektrischen Kontakt zu den darunterliegenden Substratelementen bereitzustellen, wie vorstehend beschrieben. Nach dem Bilden der Kontakte zu den darunterliegenden Substratelementen (die z. B. die Metallschichten 3904, 3906 aufweisen) kann eine weitere BEOL-Verarbeitung durchgeführt werden. In einigen Ausführungsformen kann die weitere BEOL-Verarbeitung die Bildung des MLI-Bereichs 3915 umfassen, der die Abschnitte 3916 und 3918 aufweist, wie vorstehend beschrieben.
  • Das Verfahren 7000 geht dann zu Block 7012 über, bei dem das optoelektronische Substrat an ein separates Vorrichtungssubstrat gebondet wird. Unter Bezugnahme auf das Beispiel von 64 wird in einer Ausführungsform von Block 7012 die Vorrichtung 7100 (welche das optoelektronische Substrat aufweist) an ein separates Vorrichtungssubstrat 3950 gebondet, das, wie vorstehend beschrieben, Kern-(Logik-)Transistoren und -Schaltungen neben anderen Vorrichtungen aufweisen kann. In einigen Fällen können nach dem Bondprozess von Block 7012 ein oder mehrere Vorrichtungen innerhalb des optoelektronischen Substrats (die Vorrichtung 7100) mit einem oder mehreren Vorrichtungen im separaten Vorrichtungssubstrat 3950 gekoppelt werden, um zum Beispiel zusammen eine Pixelschaltung oder eine andere optoelektronische Schaltung zu definieren.
  • Obwohl die vorstehenden Beispiele verschiedene Verfahren zum Dotieren der Ge-Si-Grenzfläche, zum Beispiel durch Implantieren von Dotierungsspezies innerhalb von Si oder Ge an einer Ge-Si-Grenzfläche einer Ge-PD oder durch epitaktisches Aufwachsen einer dotierten Si-Schicht oder einer dotierten Ge-Schicht an der Ge-Si-Grenzfläche der Ge-PD beschrieben, sollen diese Beispiele nicht einschränkend sein, und andere Ausführungsformen können in ähnlicher Weise innerhalb des Schutzumfangs der vorliegenden Offenbarung fallen. Zum Beispiel kann in einigen Fällen für eine gegebene Ge-PD die Ge-Si-Grenzfläche (i) sowohl eine implantierte Si-Schicht als auch eine implantierte Ge-Schicht, (ii) sowohl eine dotierte epitaktische Si-Schicht als auch eine dotierte epitaktische Ge-Schicht, (iii) eine implantierte Si-Schicht und eine dotierte epitaktische Si-Schicht, (iv) eine implantierte Ge-Schicht und eine dotierte epitaktische Ge-Schicht, (v) eine implantierte Si-Schicht und eine dotierte epitaktische Ge-Schicht, (vi) eine implantierte Ge-Schicht und eine dotierte epitaktische Si-Schicht oder eine beliebige andere geeignete Kombination von ionenimplantierten Schichten und einer dotierten epitaktischen Schicht aufweisen. Zusätzlich kann in verschiedenen Ausführungsformen die ionenimplantierte Si-Schicht derart gebildet werden, dass sie an die Ge-Si-Grenzfläche angrenzt oder in einem Abstand von der Ge-Si-Grenzfläche angeordnet ist. Auf ähnliche Weise kann in einigen Beispielen die ionenimplantierte Ge-Schicht derart gebildet werden, dass sie an die Ge-Si-Grenzfläche angrenzt oder in einem Abstand von der Ge-Si-Grenzfläche angeordnet ist.
  • Die verschiedenen hierin beschriebenen Ausführungsformen bieten mehrere Vorteile gegenüber dem Stand der Technik. Es versteht sich, dass nicht alle Vorteile notwendigerweise hierin erörtert wurden, dass bestimmte Vorteile nicht für alle Ausführungsformen benötigt werden und dass andere Ausführungsformen andere Vorteile bieten können. Zum Beispiel weisen die hierin erörterten Ausführungsformen Strukturen und Verfahren zur Passivierung von Grenzflächen zwischen verschiedenen Halbleiterschichten auf, um Dunkelstrom zu verringern und die Leistungsfähigkeit der Vorrichtungen zu verbessern. In einigen Ausführungsformen weist die Passivierungsgrenzfläche eine Ge-Si-Grenzfläche einer Ge-PD auf. In verschiedenen Ausführungsformen kann die Passivierung der Ge-Si-Grenzfläche durch Dotieren der Ge-Si-Grenzfläche, zum Beispiel durch Ionenimplantation von Ge oder Si an der Ge-Si-Grenzfläche oder durch epitaktisches Aufwachsen einer dotierten Si-Schicht oder einer dotierten Ge-Schicht an der Ge-Si-Grenzfläche erreicht werden. In einigen Ausführungsformen können die Dotierungsspezies, die für das Dotieren der Ge-Si-Grenzfläche verwendet werden, ein p-Material (z. B. Gruppe IIIA (z. B. Bor (B), Aluminium (Al), Gallium (Ga), Indium (In)), BF2) oder Gruppe VIIA (z. B. Fluor (F), Chlor (Cl), Brom (Br)) enthalten. Gemäß einem oder mehreren der hierin beschriebenen Verfahren kann die Ge-Si-Grenzfläche durch Einführen einer oder mehrerer dieser Dotierungsspezies in die Ge-Si-Grenzfläche optimiert werden, um es im Wesentlich zu verhindern, dass Elektronen in der Si-Schicht in die Ge-Schicht (die die Ge-PD aufweist) eindringt. Als Ergebnis wird das Problem hinsichtlich des Leckstroms und Dunkelstroms in Ge-basierten Sensoren erheblich verringert. In zumindest einigen Beispielen wird der Leckstrom/Dunkelstrom des Ge-basierten Sensors um etwa 10 % verringert/verbessert.
  • Somit beschrieb eine der Ausführungsformen der vorliegenden Offenbarung ein Verfahren, das Bereitstellen eines Substrats mit einem Pixelbereich und einem Logikbereich umfasst. In einigen Ausführungsformen umfasst das Verfahren ferner Bilden eines Grabens innerhalb des Pixelbereichs. In verschiedenen Beispielen umfasst das Verfahren nach dem Bilden des Grabens ferner Bilden einer dotierten Halbleiterschicht entlang von Seitenwänden und entlang einer unteren Fläche des Grabens. In einigen Ausführungsformen umfasst das Verfahren ferner Bilden einer Germaniumschicht innerhalb des Grabens und über der dotierten Halbleiterschicht. In einigen Beispielen umfasst das Verfahren nach dem Bilden der Germaniumschicht ferner Bilden eines optischen Sensors innerhalb der Germaniumschicht.
  • In einem anderen der Ausführungsformen wird ein Verfahren erörtert, das Bereitstellen eines Substrats und Bilden einer Germaniumschicht über dem Substrat umfasst. In einigen Ausführungsformen umfasst das Verfahren nach dem Bilden der Germaniumschicht ferner Bilden einer dotierten Schicht entlang eines unter Abschnitts der Germaniumschicht, wobei das Bilden der dotierten Schicht Durchführen eines Ionenimplantationsprozesses in die Germaniumschicht umfasst. In einigen Beispielen umfasst das Verfahren nach dem Bilden der dotierten Schicht ferner Bilden eines optischen Sensors innerhalb der Germaniumschicht.
  • In noch einem anderen der Ausführungsformen wird eine Halbleitervorrichtung erörtert, die ein Siliziumsubstrat mit einem Pixelbereich und einem Logikbereich benachbart zum Pixelbereich aufweist. In einigen Ausführungsformen weist die Halbleitervorrichtung ferner eine Germaniumschicht auf, die in einem Graben innerhalb eines Si-Substrats ausgebildet ist, der innerhalb des Pixelbereichs angeordnet ist, wobei eine Ge-Si-Grenzfläche entlang von Seitenwänden und entlang einer unteren Fläche des Grabens definiert ist, und wobei ein Sensor innerhalb der Ge-Schicht angeordnet ist. In einigen Fällen weist die Halbleitervorrichtung ferner einen dotierten Bereich auf, der in der Nähe der Ge-Si-Grenzfläche angeordnet ist, wobei der dotierte Bereich Elektronen innerhalb des Si-Substrats im Wesentlichen daran hindert, in die Ge-Schicht einzudringen.
  • Das Vorstehende umreißt Merkmale mehrerer Ausführungsformen, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte sich darüber im Klaren sein, dass er die vorliegende Offenbarung ohne Weiteres als Grundlage für das Entwerfen oder Abwandeln anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der vorliegend vorgestellten Ausführungsformen zu erzielen. Der Fachmann sollte auch erkennen, dass derartige äquivalente Konstruktionen nicht von dem Geist und Umfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hieran vornehmen kann, ohne von dem Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/060059 [0001]

Claims (20)

  1. Verfahren zum Fertigen einer Halbleitervorrichtung, umfassend: Bereitstellen eines Substrats, das einen Pixelbereich und einen Logikbereich aufweist; Bilden eines Grabens innerhalb des Pixelbereichs; Bilden einer dotierten Halbleiterschicht entlang von Seitenwänden und entlang einer unteren Fläche des Grabens nach dem Bilden des Grabens; Bilden einer Germaniumschicht innerhalb des Grabens und über der dotierten Halbleiterschicht; und Bilden eines optischen Sensors innerhalb der Germaniumschicht nach dem Bilden der Germaniumschicht.
  2. Verfahren nach Anspruch 1, wobei das Bilden der dotierten Halbleiterschicht Durchführen eines Ionenimplantationsprozesses in die Seitenwände und die untere Fläche des Grabens umfasst.
  3. Verfahren nach Anspruch 2, ferner umfassend Bilden einer Oxidschicht über den Seitenwänden und der unteren Fläche des Grabens vor dem Durchführen des Ionenimplantationsprozesses.
  4. Verfahren nach Anspruch 3, ferner umfassend Entfernen der Oxidschicht vor dem Bilden der Germaniumschicht.
  5. Verfahren nach einem der Ansprüche 2 bis 4, wobei der Ionenimplantationsprozess eine dotierte Silizium-Schicht (Si-Schicht) entlang der Seitenwände und der unteren Fläche des Grabens bildet.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei das Bilden der dotierten Halbleiterschicht epitaktisches Aufwachsen einer dotierten Si-Schicht entlang der Seitenwände und der unteren Fläche des Grabens umfasst.
  7. Verfahren nach einem der Ansprüche 1 bis 5, wobei das Bilden der dotierten Halbleiterschicht epitaktisches Aufwachsen einer dotierten Germanium-Schicht (Ge-Schicht) entlang der Seitenwände und der unteren Fläche des Grabens umfasst.
  8. Verfahren nach einem der Ansprüche 1 bis 7, wobei die dotierte Halbleiterschicht wenigstens eines von einem p-Material und einem Material der Gruppe VIIA enthält.
  9. Verfahren nach Anspruch 8, wobei das p-Material Bor (B), Aluminium (Al), Gallium (Ga), Indium (In) und BF2 enthält.
  10. Verfahren nach Anspruch 8, wobei das Material der Gruppe VIIA Fluor (F), Chlor (Cl) und Brom (Br) enthält.
  11. Verfahren, umfassend: Bereitstellen eines Substrats; Bilden einer Germaniumschicht über dem Substrat; Bilden einer dotierten Schicht entlang eines unteren Abschnitts der Germaniumschicht nach dem Bilden der Germaniumschicht, wobei das Bilden der dotierten Schicht Durchführen eines Ionenimplantationsprozesses in die Germaniumschicht umfasst; und Bilden eines optischen Sensors innerhalb der Germaniumschicht nach dem Bilden der dotierten Schicht.
  12. Verfahren nach Anspruch 11, ferner umfassend Bilden einer Oxidschicht über der Germaniumschicht vor dem Durchführen des Ionenimplantationsprozesses.
  13. Verfahren nach Anspruch 12, ferner umfassend Entfernen der Oxidschicht vor dem Bilden des optischen Sensors.
  14. Verfahren nach einem der Ansprüche 11 bis 13, ferner umfassend Bilden von mit dem optischen Sensor gekoppelten Kontakten und Mehrschicht-Interconnection-Elementen nach dem Bilden des optischen Sensors.
  15. Verfahren nach Anspruch 14, ferner umfassend Bonden des Substrats mit dem optischen Sensor an ein separates Vorrichtungssubstrat nach dem Bilden der Kontakte und der Mehrschicht-Interconnection-Elemente.
  16. Verfahren nach einem der Ansprüche 11 bis 15, wobei die dotierte Schicht wenigstens eines von einem p-Material und/oder einem Material der Gruppe VIIA enthält.
  17. Verfahren nach einem der Ansprüche 11 bis 16, ferner umfassend Bilden eines Grabens innerhalb eines Pixelbereichs des Substrats vor dem Bilden der Germaniumschicht und Bilden der Germaniumschicht innerhalb des Grabens.
  18. Halbleitervorrichtung, aufweisend: ein Silizium-Substrat (Si-Substrat), das einen Pixelbereich und einen Logikbereich benachbart zum Pixelbereich aufweist; eine Germanium-Schicht (Ge-Schicht), die in einem Graben innerhalb des Si-Substrats ausgebildet ist, der innerhalb des Pixelbereichs angeordnet ist, wobei eine Ge-Si-Grenzfläche entlang von Seitenwänden und entlang einer unteren Fläche des Grabens definiert ist, und wobei ein Sensor innerhalb der Ge-Schicht angeordnet ist; und einen dotierten Bereich, der benachbart zur Ge-Si-Grenzfläche angeordnet ist, wobei der dotierte Bereich Elektronen innerhalb des Si-Substrats im Wesentlichen daran hindert, in die Ge-Schicht einzudringen.
  19. Halbleitervorrichtung nach Anspruch 18, wobei der dotierte Bereich auf einer Germanium-Seite der Ge-Si-Grenzfläche oder auf einer Silizium-Seite der Ge-Si-Grenzfläche angeordnet ist.
  20. Halbleitervorrichtung nach Anspruch 18 oder 19, wobei der dotierte Bereich wenigstens eines von einem p-Material und einem Material der Gruppe VIIA enthält, wobei das p-Material Bor (B), Aluminium (Al), Gallium (Ga), Indium (In) und BF2 enthält, und wobei das Material der Gruppe VIIA Fluor (F), Chlor (Cl) und Brom (Br) enthält.
DE102021117107.5A 2020-08-01 2021-07-02 Halbleitersensor und verfahren dafür Pending DE102021117107A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063060059P 2020-08-01 2020-08-01
US63/060,059 2020-08-01
US17/337,265 2021-06-02
US17/337,265 US20220037552A1 (en) 2020-08-01 2021-06-02 Semiconductor sensor and methods thereof

Publications (1)

Publication Number Publication Date
DE102021117107A1 true DE102021117107A1 (de) 2022-02-03

Family

ID=78541035

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021117107.5A Pending DE102021117107A1 (de) 2020-08-01 2021-07-02 Halbleitersensor und verfahren dafür

Country Status (5)

Country Link
US (1) US20220037552A1 (de)
KR (1) KR102642677B1 (de)
CN (1) CN113675229A (de)
DE (1) DE102021117107A1 (de)
TW (1) TWI800879B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11610927B2 (en) * 2020-02-27 2023-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Capping structure along image sensor element to mitigate damage to active layer

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7095006B2 (en) * 2003-12-16 2006-08-22 International Business Machines Corporation Photodetector with hetero-structure using lateral growth
US7659564B2 (en) * 2006-02-14 2010-02-09 International Business Machines Corporation CMOS imager photodiode with enhanced capacitance
JP2008047911A (ja) * 2006-08-10 2008-02-28 Samsung Electronics Co Ltd イメージセンサー及びその製造方法
US8008695B2 (en) * 2008-05-29 2011-08-30 Omnivision Technologies, Inc. Image sensor with backside passivation and metal layer
US20100006961A1 (en) * 2008-07-09 2010-01-14 Analog Devices, Inc. Recessed Germanium (Ge) Diode
US8253211B2 (en) * 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
JP5648923B2 (ja) * 2009-10-09 2015-01-07 国立大学法人静岡大学 半導体素子及び固体撮像装置
CN102651372B (zh) * 2011-02-23 2014-11-05 中芯国际集成电路制造(上海)有限公司 Cmos图像传感器及其制作方法
US8889461B2 (en) * 2012-05-29 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. CIS image sensors with epitaxy layers and methods for forming the same
US10177187B2 (en) * 2015-05-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Ltd. Implant damage free image sensor and method of the same
US10707260B2 (en) * 2015-08-04 2020-07-07 Artilux, Inc. Circuit for operating a multi-gate VIS/IR photodiode
US9947658B2 (en) * 2015-10-28 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11482553B2 (en) * 2018-02-23 2022-10-25 Artilux, Inc. Photo-detecting apparatus with subpixels
WO2019165220A1 (en) * 2018-02-23 2019-08-29 Artilux, Inc. Photo-detecting apparatus and photo-detecting method thereof
US10854503B2 (en) * 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US10985201B2 (en) * 2018-09-28 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor including silicon over germanium layer
US11322639B2 (en) * 2020-04-09 2022-05-03 Globalfoundries U.S. Inc. Avalanche photodiode

Also Published As

Publication number Publication date
US20220037552A1 (en) 2022-02-03
TWI800879B (zh) 2023-05-01
KR20220015981A (ko) 2022-02-08
TW202207288A (zh) 2022-02-16
CN113675229A (zh) 2021-11-19
KR102642677B1 (ko) 2024-03-04

Similar Documents

Publication Publication Date Title
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102017111545B4 (de) Implantationen zur herstellung von source-/drain-bereichen für verschiedene transistoren
DE102015113081B4 (de) Feldeffekttransistorstruktur mit mehreren Schwellenspannungen und Herstellungsverfahren dafür
DE102011056157B4 (de) Verfahren zur Herstellung eines Halbleiterbauteils und Halbleiterbauteile mit isolierten Halbleitermesas
DE60019913T2 (de) Halbleiterbauelement und Herstellungsverfahren
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE112007001725T5 (de) Soi-Bauelement und Verfahren zu dessen Herstellung
DE102016100764B4 (de) Halbleiter-bauelementstruktur
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102018102685A1 (de) Kontaktbildungsverfahren und zugehörige Struktur
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102018118635A1 (de) Verfahren zur Herstellung von Halbleitervorrichtungen und eine Halbleitervorrichtung
DE102016100016B4 (de) Halbleiterstruktur
DE102017123948A1 (de) Umschlossene epitaxiale struktur und verfahren
DE102016100008B4 (de) Halbleiterstruktur mit Einfügeschicht und Verfahren für deren Herstellung
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102018103989B4 (de) Finnendiodenstruktur und deren Verfahren
DE102021117107A1 (de) Halbleitersensor und verfahren dafür
DE102021102912A1 (de) Halbleiterstrukturen und verfahren dafür
DE102017118193A1 (de) Verringerung des metallischen Gate-Überhangs durch Bilden einer oben breiten und unten schmalen Dummy-Gate-Elektrode
DE102018104004B4 (de) Gate-Struktur und Verfahren mit verbessertem Gate-Kontakt und verbesserter Schwellenspannung
DE102020119609A1 (de) Neue gatestrukturen zur einstellung der grenzspannung
DE10314505B4 (de) Verbesserte Diodenstruktur für Soi-Schaltungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication