DE102021106324A1 - Halbleitervorrichtung mit kontaktstruktur - Google Patents

Halbleitervorrichtung mit kontaktstruktur Download PDF

Info

Publication number
DE102021106324A1
DE102021106324A1 DE102021106324.8A DE102021106324A DE102021106324A1 DE 102021106324 A1 DE102021106324 A1 DE 102021106324A1 DE 102021106324 A DE102021106324 A DE 102021106324A DE 102021106324 A1 DE102021106324 A1 DE 102021106324A1
Authority
DE
Germany
Prior art keywords
layer
thin film
data
etching
titanium nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021106324.8A
Other languages
English (en)
Inventor
Chung-Liang Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021106324A1 publication Critical patent/DE102021106324A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Ceramic Engineering (AREA)
  • Computing Systems (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)

Abstract

Ein Halbleiterprozesssystem ätzt dünne Filme auf Halbleiterwafern. Das Halbleiterprozesssystem weist ein auf Machine-Learning basiertes Analysemodell auf. Das Analysemodell wählt dynamisch Prozessbedingungen für einen Ätzprozess durch Erhalten von statischen Prozessbedingungen und Zieldünnfilmdaten aus. Das Analysemodell identifiziert dynamische Prozessbedingungsdaten, die zusammen mit den statischen Prozessbedingungsdaten zu vorhergesagten Restdünnfilmdaten führen, die mit den Zieldünnfilmdaten übereinstimmen. Das Prozesssystem verwendet dann die statischen und die dynamischen Prozessbedingungsdaten für den nächsten Ätzprozess.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Technisches Gebiet
  • Die vorliegende Offenbarung bezieht sich auf das Gebiet der Halbleiterherstellung. Die vorliegende Offenbarung bezieht sich genauer auf Ätzprozesse zur Halbleiterherstellung.
  • Beschreibung des verwandten Stands der Technik
  • Es hat ein kontinuierlicher Bedarf an einer zunehmenden Rechenleistung bei elektronischen Vorrichtungen einschließlich Smartphones, Tablets, Desktop-Computern, Laptop-Computern und vielen sonstigen Arten von elektronischen Vorrichtungen bestanden. Integrierte Schaltungen stellen die Rechenleistung für diese elektronischen Vorrichtungen bereit. Eine Art, die Rechenleistung bei integrierten Schaltungen zu erhöhen, ist, die Anzahl von Transistoren und sonstigen integrierten Schaltungsmerkmalen, die für einen gegebenen Bereich des Halbleitersubstrats enthalten sein können, zu erhöhen.
  • Um die Größe von Merkmalen in integrierten Schaltungen weiter zu verringern, werden verschiedene Dünnfilmabscheidungstechniken, Ätztechniken und sonstige Verarbeitungstechniken implementiert. Diese Techniken können sehr kleine Merkmale bilden. Mit diesen Techniken fällt es jedoch auch sehr schwer, sicherzustellen, dass die Merkmale geeignet gebildet werden.
  • Figurenliste
    • 1A-1R sind Querschnittsansichten einer integrierten Schaltung in verschiedenen Phasen der Verarbeitung gemäß einer Ausführungsform.
    • 2A ist eine vergrößerte Querschnittsansicht eines Source-/Drain-Kontaktsteckers einer integrierten Schaltung gemäß einer Ausführungsform.
    • 2B ist eine vergrößerte Querschnittsansicht eines Source-/Drain-Kontaktsteckers einer integrierten Schaltung gemäß einer Ausführungsform.
    • 3A ist eine vergrößerte Querschnittsansicht eines Gate-Kontaktsteckers einer integrierten Schaltung gemäß einer Ausführungsform.
    • 3B ist eine vergrößerte Querschnittsansicht eines Gate-Kontaktsteckers einer integrierten Schaltung gemäß einer Ausführungsform.
    • 4A ist eine vergrößerte Querschnittsansicht eines Source-/Drain-Kontaktsteckers einer integrierten Schaltung gemäß einer Ausführungsform.
    • 4B ist eine vergrößerte Querschnittsansicht eines Source-/Drain-Kontaktsteckers einer integrierten Schaltung gemäß einer Ausführungsform.
    • 5A ist eine vergrößerte Querschnittsansicht eines Gate-Kontaktsteckers einer integrierten Schaltung gemäß einer Ausführungsform.
    • 5B ist eine vergrößerte Querschnittsansicht eines Gate-Kontaktsteckers einer integrierten Schaltung gemäß einer Ausführungsform.
    • 6A ist eine Veranschaulichung eines Halbleiterprozesssystems gemäß einer Ausführungsform.
    • 6B ist eine Grafik, die den Fluidstrom während einem Zyklus eines Atomschichtätzprozesses veranschaulicht.
    • 7 ist ein Blockdiagramm eines Steuersystems eines Halbleiterprozesssystems.
    • 8A ist ein Flussdiagramm eines Prozesses zum Trainieren eines Analysemodells eines Steuersystems gemäß einer Ausführungsform.
    • 8B ist ein Blockdiagramm eines Analysemodells gemäß einer Ausführungsform.
    • 9 ist ein Flussdiagramm eines Prozesses zum Durchführen eines Dünnfilmabscheidungsprozesses in Verbindung mit einem Analysemodell gemäß einer Ausführungsform.
  • AUSFÜHRLICHE BESCHREIBUNG
  • In der folgenden Beschreibung werden viele Dicken und Materialien für verschiedene Schichten und Strukturen innerhalb eines integrierten Schaltungsdies beschrieben. Spezifische Abmessungen und Materialien sind beispielhaft für verschiedene Ausführungsformen gegeben. Ein Fachmann wird im Lichte der vorliegenden Offenbarung erkennen, dass andere Abmessungen und Materialien in vielen Fällen verwendet werden können, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des beschriebenen Gegenstands bereit. Es werden nachfolgend spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Beschreibung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumlich bezogene Begriffe, wie etwa „darunterliegend“, „unterhalb“, „unterer“, „oberhalb“, „oberer“ und dergleichen hierin für eine bequemere Beschreibung zum Beschreiben der Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, verwendet werden. Die räumlich bezogenen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder mit anderen Ausrichtungen) ausgerichtet sein und die räumlich bezogenen Deskriptoren, die hierin verwendet werden, können dementsprechend gleichermaßen interpretiert werden.
  • In der folgenden Beschreibung sind bestimmte spezifische Details dargelegt, um ein umfassendes Verständnis verschiedener Ausführungsformen der Offenbarung bereitzustellen. Ein Fachmann wird jedoch verstehen, dass die Offenbarung ohne diese spezifischen Details umgesetzt werden kann. In anderen Instanzen sind hinreichend bekannte Strukturen, die mit elektronischen Komponenten und Herstellungstechniken verknüpft sind, nicht ausführlich beschrieben worden, um ein unnötiges Verschleiern der Beschreibungen der Ausführungsformen der vorliegenden Offenbarung zu vermeiden.
  • Soweit der Kontext nicht das Gegenteil angibt, sind in der gesamten Beschreibung und den Ansprüchen, die folgen, das Wort „umfassen/aufweisen“ und Variationen davon, wie etwa „umfasst/aufweist“ und „umfassend/aufweisend“, auf eine offene, einschließende Art, d. h., als „einschließlich, jedoch nicht darauf beschränkt“, zu betrachten.
  • Die Verwendung von Aufzählungen, wie etwa erster, zweiter und dritter, beinhaltet nicht notwendigerweise eine gereihte Reihenfolge, sondern kann stattdessen nur zwischen mehreren Instanzen einer Handlung oder einer Struktur unterscheiden.
  • Die Bezugnahme in der gesamten vorliegenden Beschreibung auf „eine Ausführungsform“ bedeutet, dass ein bestimmtes Merkmal, eine bestimmte Struktur oder eine bestimmte Charakteristik, die in Verbindung mit der Ausführungsform beschrieben sind, in mindestens einer Ausführungsform enthalten ist. Somit bezieht sich das Auftreten des Ausdrucks „in einer Ausführungsform“ an verschiedenen Stellen in der gesamten vorliegenden Beschreibung nicht notwendigerweise immer auf dieselbe Ausführungsform. Ferner können die bestimmten Merkmale, Strukturen oder Charakteristiken auf eine beliebige geeignete Art in einer oder mehreren Ausführungsformen kombiniert werden.
  • So wie sie in der vorliegenden Beschreibung und den beigefügten Ansprüchen verwendet werden, umfassen die Einzahlformen „ein“, „eine“ und „der/die/das“ Mehrzahlbezugnahmen, es sei denn, der Kontext gibt eindeutig das Gegenteil an. Es sei auch angemerkt, dass der Begriff „oder“ allgemein derart verwendet wird, dass er „und/oder“ umfasst, es sei denn, der Kontext gibt eindeutig das Gegenteil an.
  • Die Ausführungsformen der vorliegenden Offenbarung stellen dünne Filme mit einer zuverlässigen Dicke und Zusammensetzung bereit. Die Ausführungsformen der vorliegenden Offenbarung verwenden Machine-Learning-Techniken zum Anpassen der Dünnfilmätzprozessparameter zwischen Ätzprozessen oder sogar während Ätzprozessen. Die Ausführungsformen der vorliegenden Offenbarung verwenden Machine-Learning-Techniken zum Trainieren eines Analysemodells zum Bestimmen von Prozessparametern, die für einen nächsten Dünnfilmätzprozess oder sogar für eine nächste Phase eines aktuellen Dünnfilmätzprozesses implementiert werden sollten. Das Ergebnis ist, dass Dünnfilmätzprozesse dünne Filme produzieren, die Restdicken und Zusammensetzungen aufweisen, die zuverlässig innerhalb von Zielspezifikationen fallen. Integrierte Schaltungen, die die dünnen Filme aufweisen, werden keine Leistungsprobleme aufweisen, die resultieren können, wenn die dünnen Filme nicht geeignet gebildet werden. Ferner werden Chargen von Halbleiterwafern verbesserte Erträge und weniger aussortierte Wafer aufweisen.
  • 1A ist ein Querschnittsdiagramm einer integrierten Schaltung 100 gemäß einer Ausführungsform. Die integrierte Schaltung 100 weist ein Halbleitersubstrat 102 auf. Das Halbleitersubstrat 102 kann eines oder mehrere von Silizium, Germanium, Siliziumgermanium, Galliumarsenid, Siliziumcarbid oder sonstige Arten von Halbleitern aufweisen. Das Halbleitersubstrat 102 kann einen monokristallinen Halbleiter aufweisen. Das Halbleitersubstrat 102 kann mehrere Strukturen aus verschiedenen monokristallinen Halbleitermaterialien aufweisen. Es können andere Materialien für das Halbleitersubstrat 102 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Das Halbleitersubstrat 102 kann verschiedene dotierte Bereiche aufweisen. Die dotierten Bereiche können N-Wannen, P-Wannen, Source- und Drain-Bereiche, Kanalbereiche, Anti-Punch-Through-Bereiche und sonstige Arten von dotierten Bereichen umfassen. Die dotierten Bereiche können mit Ionenimplantationsprozessen, Diffusionsprozessen oder sonstigen Arten von Dotierungsprozessen gebildet werden. Das Dotiermittel kann N-Dotiermittel und P-Dotiermittel umfassen. Die verschiedenen dotierten Bereiche können verwendet werden, um Transistoren in Verbindung mit dem Halbleitersubstrat 102 zu bilden.
  • In einer Ausführungsform weist das Halbleitersubstrat 102 eine Vielzahl von Halbleiternanoschichten oder -nanodrähten auf. Die Halbleiternanoschichten können Teil eines Gate-all-around-Transistors sein. Jede der Nanoschichten kann in einem oder mehreren dielektrischen Gate-Materialien eingehüllt werden. Das eine oder die mehreren dielektrischen Gate-Materialien können in einem Metall-Gate-Material abgedeckt sein. In einem Beispiel umfassen die Nanoschichten Silizium oder Silizium-Germanium. Die Nanoschicht kann aus sich abwechselnden Schichten aus Silizium und Silizium-Germanium gebildet sein. Es können andere Arten von Materialien und Strukturen in der Halbleiterschicht 102 enthalten sein, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Die integrierte Schaltung 100 weist eine Flachgrabenisolation 104 auf. Die Flachgrabenisolation 104 kann verwendet werden, um Gruppen von Transistorstrukturen zu trennen, die in Verbindung mit dem Halbleitersubstrat 102 gebildet werden. Die Flachgrabenisolation 104 kann ein dielektrisches Material aufweisen. Das dielektrische Material für die Flachgrabenisolation 104 kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid (SiON), SiOCN, SiCN, fluordotiertes Silikatglas (FSG) oder ein dielektrisches Low-K-Material, das durch LPCVD (Low Pressure Chemical Vapor Deposition, chemische Dampfabscheidung mit niedrigem Druck), plasmaunterstützte CVD oder fließfähige CVD gebildet wird, umfassen. Es können andere Materialien und Strukturen für die Flachgrabenisolation 104 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Die integrierte Schaltung 100 weist eine Zwischenschichtdielektrikumsschicht 106 auf, die auf der Flachgrabenisolation 104 und dem Substrat 102 positioniert ist. Die Zwischenschichtdielektrikumsschicht 106 kann eines oder mehrere von Siliziumoxid, Siliziumnitrid, SiCOH, SiOC oder eines organisches Polymers enthalten. Es können andere Arten von dielektrischen Materialien für die Zwischenschichtdielektrikumsschicht 106 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Die integrierte Schaltung 100 weist eine Zwischenschichtdielektrikumsschicht 108 auf, die auf der Zwischenschichtdielektrikumsschicht 106 positioniert ist. Die Zwischenschichtdielektrikumsschicht 108 kann eines oder mehrere von Siliziumoxid, Siliziumnitrid, SiCOH, SiOC oder eines organisches Polymers enthalten. Es können andere Arten von dielektrischen Materialien für die Zwischenschichtdielektrikumsschicht 108 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Die integrierte Schaltung 100 weist Metall-Gates 114 auf. Die Metall-Gates 114 können Gate-Elektroden von Transistoren entsprechen, die in Verbindung mit dem Halbleitersubstrat 102 gebildet sind. In einem Beispiel sind die Metall-Gates 114 Metall-Gates von Gate-all-around-Transistoren. In diesem Fall können die Metall-Gates 114 Halbleiternanoschichten abdecken, wie zuvor beschrieben wurde. Die Halbleiternanoschichten können in einer oder mehreren Schichten aus dielektrischem Material abgedeckt werden, die Gate-Dielektrika entsprechen, welche wiederum von den Metall-Gates 114 abgedeckt werden. Die Nanoschichten entsprechen Kanalbereichen des Gate-all-around-Transistors.
  • Die Metall-Gates 114 weisen eine oder mehrere Schichten aus leitfähigem Material auf. Das leitfähige Material kann eines oder mehrere von Polysilizium, Aluminium, Kupfer, Titan, Tantal, Wolfram, Kobalt, Molybdän, Tantalnitrid, Nickelsilizid, Kobaltsilizid, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, Metalllegierungen, oder sonstige Arten von leitfähigem Material umfassen. Es können andere Materialien für die Metall-Gates verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Die Metall-Gates 114 können von Seitenwandabstandshaltern 116 abgedeckt werden. Die Seitenwandabstandshalter 116 können mehrere Schichten aus dielektrischem Material aufweisen. Die mehreren Schichten aus dielektrischem Material können Siliziumnitrid, SiON, SiOCN, SiCN, Siliziumoxid oder sonstige dielektrische Materialien enthalten. Es können andere dielektrische Materialien für die Seitenwandabstandshalter 116 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Die integrierte Schaltung 100 weist Source- und Drain-Bereiche 110 auf, welche in der veranschaulichten Ausführungsform epitaxiale Schichten sind. Die epitaxialen Source- und Drain-Schichten 110 sind epitaxial aus dem Substrat 102 gebildet. In dem Beispiel der N-Kanaltransistoren können die epitaxialen Source- und Drain-Schichten 110 eines oder mehrere von Si, SiP, SiC und in dem Beispiel von SiCP enthalten. In dem Beispiel der P-Kanal-Transistoren können die epitaxialen Source- und Drain-Bereiche 110 Si, Ge oder SiGe enthalten. Die epitaxialen Source- und Drain-Bereiche 110 können mit verschiedenen N- und P-Dotiermitteln dotiert sein. Es können andere Materialien und Strukturen für die epitaxialen Source- und Drain-Bereiche 110 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. Die epitaxialen Source- und Drain-Bereichsschichten 110 und das Metall-Gate 114 sind Anschlüsse eines Transistors 103.
  • Die integrierte Schaltung 100 weist Gräben 120 und 121 auf, die in der Zwischenschichtdielektrikumsschicht 108 gebildet sind. Der Graben 120 erstreckt sich zu einem der epitaxialen Source- und Drain-Bereiche 110. Der Graben 121 erstreckt sich zu dem Metall-Gate 114. Eine Schicht des dielektrischen Materials 122 deckt die obere Fläche der Zwischenschichtdielektrikumsschicht 108, die Seitenwände der Gräben 120, 121 und die Oberseiten des freigelegten epitaxialen Source- und Drain-Bereichs 110 und des Metall-Gates 114 ab. In einem Beispiel weist die Schicht des dielektrischen Materials Siliziumnitrid auf, wenngleich andere Materialien verwendet werden können, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1B ist ein Querschnitt des integrierten Schaltungsdies 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1B ist eine Silizidschicht 126 auf dem epitaxialen Source-/Drain-Bereich 110 gebildet worden. In 1B ist eine Schicht aus Titannitrid 124 auf der oberen Fläche der Zwischenschichtdielektrikumsschicht 108, auf den Seitenwänden 119 der Gräben 120 und 121, auf dem Metall-Gate 114 und auf dem epitaxialen Source-/Drain-Bereich 110 gebildet worden. Die Schicht aus Titannitrid 124 kann durch Abscheiden einer Schicht aus Titan auf der Nitridschicht 122 von 1A gebildet werden. Die Schicht aus Titan kann durch physische Dampfabscheidung (PVD, Physical Vapor Deposition), CVD oder einen sonstigen geeigneten Abscheidungsprozess abgeschieden werden. Nachdem die Schicht aus Titan abgeschieden worden ist, wird die Schicht aus Titannitrid 124 durch Nitridierung der Schicht aus Titan gebildet. Die Nitridierung kann durch Strömen von NH3 auf das Titan erfolgen, während die Temperatur zwischen 350°C und 450°C beträgt. Dies bewirkt, dass Stickstoff in das Titan adsorbiert wird. Das Ergebnis ist die Titannitridschicht 124. Die Schicht aus Titannitrid 124 ist eine Barriereschicht, die die Diffusion von Verunreinigungen aus einem Metallstecker in dem Graben in andere Schichten und Strukturen hemmt.
  • Nachdem die Schicht aus Titannitrid 124 gebildet worden ist, wird Silizid 126 an der Grenzfläche zwischen dem epitaxialen Source-/Drain-Bereich 110 und der Titannitridschicht 124 gebildet. Das Silizid 126 ist TiSix (TiSi, TiSi2, usw.), wobei „x“ die Anzahl von Siliziumatomen für jedes Titanatom angibt. Das Silizid 126 wird durch Durchführen eines thermischen Temperns gebildet, nachdem die Schicht aus Titannitrid 124 abgeschieden worden ist. Das thermische Tempern führt zu TiSix.
  • In einer Ausführungsform kann vor dem Abscheiden der Titanschicht eine Vorreinigungsoperation durchgeführt werden. Die Vorreinigungsoperation kann native Oxide oder sonstiges unerwünschte Material vor dem Abscheiden des Titans entfernen.
  • 1C ist ein Querschnittsdiagramm der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. Eine untere Antireflexbeschichtung 128 ist in den Gräben 120 und 121 auf der Siliziumnitridschicht 124 abgeschieden worden. Die untere Antireflexbeschichtung 128 wird anfangs in den Gräben 120 und 121 und auf der Titannitridschicht 124 oberhalb der Zwischenschichtdielektrikumsschicht 108 abgeschieden. Nach dem Abscheiden der unteren Antireflexbeschichtung 128 wird ein zeitlich festgelegtes Ätzen durchgeführt, um die untere Antireflexbeschichtung 128 von der Oberseite der Titannitridschicht 124 oberhalb der Zwischenschichtdielektrikumsschicht 108 zu entfernen. Die untere Antireflexbeschichtung 128 verbleibt in den Gräben 120 und 121. Die untere Antireflexbeschichtung 128 füllt die Gräben 120 und 121 nicht vollständig. Die untere Antireflexbeschichtung 128 kann ein organisches Material und ein anorganisches Material enthalten. In einem Beispiel ist die untere Antireflexbeschichtung 128 mit Tetramethylammoniumhydroxid gebildet, wenngleich andere Materialien verwendet werden können, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1D ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. Die Titannitridschicht 124 ist von der Oberseite der Zwischenschichtdielektrikumsschicht 108 entfernt worden. Die Titannitridschicht 124 kann durch ein Nassätzen entfernt werden. Alternativ können andere Ätzprozesse zum Entfernen des Titannitrids verwendet werden.
  • 1E ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1D ist die untere Antireflexbeschichtung 128 entfernt worden. Die untere Antireflexbeschichtung 128 kann durch Durchführen eines Ätzprozesses entfernt werden. In einem Beispiel umfasst der Ätzprozess einen Plasmaätzprozess bei Vorhandensein von O2. Es können andere Ätzprozesse verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1F ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1F ist die Titannitridschicht 124 geätzt und größtenteils von den Seitenwänden 119 der Gräben 120 und 121 entfernt worden. Ein Abschnitt der Titannitridschicht 124 bleibt in Kontakt mit den Seitenwänden 119 der Unterseite der Gräben 120 und 121. Die Titannitridschicht 124 verbleibt auf dem Silizid 126 und auf dem Metall-Gate 114.
  • In einer Ausführungsform wird ein Atomschichtätzprozess (ALE-Prozess, Atomic Layer Etching process) verwendet, um die Titannitridschicht 124 zu ätzen, um die in 1F gezeigte Struktur zu produzieren. Ein ALE-Prozess ist ähnlich wie ein Atomschichtabscheidungsprozess (ALD-Prozess, Atomic Layer Deposition process). Bei dem ALE-Prozess werden verschiedene Gase, Fluide oder Materialien in die Prozesskammer während ausgewählten Zeiträume eingeleitet. Jeder Zyklus eines ALE-Prozesses umfasst das Strömen von mehreren Materialien in unterschiedlichen Stufen. Jeder Zyklus kann zum Entfernen einer atomaren oder molekularen Schicht der Titannitridschicht 124 führen.
  • In einem Beispiel umfasst ein ALE-Zyklus das Einleiten von WCl5 in die Prozesskammer während eines ausgewählten Zeitraums, zum Beispiel zwischen 1 s und 10 s. Der ALE-Zyklus umfasst dann eine Spülphase, in welcher Argongas während einem ausgewählten Zeitraum, zum Beispiel von zwischen 6 s und 15 s, in die Prozesskammer eingeleitet wird. Der ALE-Zyklus umfasst dann das Einleiten von O2 in die Prozesskammer während einem ausgewählten Zeitraum, zum Beispiel von zwischen 1 s und 10 s. Der ALE-Zyklus umfasst dann eine zweite Spülphase, in welcher Argongas während einem ausgewählten Zeitraum, zum Beispiel von zwischen 2 s und 15 s, in die Prozesskammer eingeleitet wird. Jeder Zyklus führt zum Entfernen einer atomaren oder molekularen Schicht der Titannitridschicht 124. Durch Kontrollieren der Anzahl von Zyklen in dem ALE-Prozess kann die Menge der Titannitridschicht 124, die zu ätzen ist, streng kontrolliert werden. Es können andere ALE-Prozesse, -Zyklen, Zeiträume und Materialien verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Wie nachstehend ausführlicher beschrieben werden wird, werden die Machine-Learning-Prozesse verwendet, um Parameter für den ALE-Prozess dynamisch auszuwählen. Der Machine-Learning-Prozess trainiert ein Analysemodell, um die Parameter für jeden ALE-Prozess dynamisch auszuwählen. Das Analysemodell kann Materialien, die Strömungsdauer, Strömungsdrücke, Temperaturen und sonstige Parameter, die mit ALE-Prozessen verknüpft sind, auswählen, um die gewünschte Menge der Titannitridschicht 124 zu entfernen.
  • 1G ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1G ist eine Schicht aus Titannitrid 130 auf den Seitenwänden 119 der Gräben 120 und 121 und auf der Zwischenschichtdielektrikumsschicht 108 abgeschieden worden. Die Titannitridschicht 130 kann eine Barriereschicht sein. Die Titannitridschicht 130 kann mit einem ALD-Prozess abgeschieden werden. Der ALD-Prozess scheidet die Titannitridschicht 130 sehr kontrolliert auf eine gewünschte Dicke ab. Insbesondere kann die Dicke der Titannitridschicht 130 basierend auf der Anzahl von ALD-Zyklen, die für den Abscheidungsprozess verwendet werden, kontrolliert werden. Die Titannitridschicht 130 kann unter Verwendung anderer Abscheidungsprozesse abgeschieden werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. Die Titannitridschicht 130 steht in Kontakt mit der Titannitridschicht 124. Die Titannitridschicht 130 unterscheidet sich von der Titannitridschicht 124 derart, dass die Titannitridschicht 130 aus einem Atomschichtabscheidungsprozess gebildet wird, während die Titannitridschicht 124 durch Nitridierung einer Titanschicht gebildet wird, wie zuvor in Bezug auf 1B beschrieben wurde. Sowohl die Titannitridschicht 130 als auch die Titannitridschicht 124 berühren die Seitenwände 119 der Gräben 120 und 121. Die Titannitridschicht 130 weist eine vertikale Erstreckung in den Gräben 120 und 121 auf, die höher als die vertikale Erstreckung des verbleibenden Abschnitts der Titannitridschicht 124 ist. In einer Ausführungsform wird ein Vorreinigungsprozess vor dem Bilden der Titannitridschicht 130 durchgeführt.
  • In 1G ist eine Kobaltkeimschicht 132 auf der Titannitridschicht 124 gebildet. Die Kobaltkeimschicht 132 kann durch einen PVD-Prozess abgeschieden werden. Die Kobaltkeimschicht 132 ist sehr dünn, zum Beispiel dünner als 6 nm. Es können andere Abscheidungsprozesse und Dicken für die Kobaltkeimschicht 132 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1H ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1H ist eine Kobaltschicht 134 auf der Keimschicht 132 abgeschieden. Insbesondere wird die Keimschicht 132 verwendet, um die Kobaltschicht 134 zu züchten. Die Kobaltschicht 134 füllt die Gräben 120 und 121. In einem Beispiel wird die Kobaltschicht 134 durch einen elektrolosen Kobaltplattierungsprozess abgeschieden. Der elektrolose Kobaltplattierungsprozess züchtet die Kobaltschicht 134 aus der Keimschicht 130. Die Keimschicht 130 ist in 1H nicht beschriftet, da die Keimschicht 130 durch die Kupferschicht 134 aufgehoben wurde. Es können andere Prozesse zum Abscheiden einer Kobaltschicht 134 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1I ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1I ist ein chemischmechanischer Planarisierungsprozess (CMP-Prozess) durchgeführt worden. Der CMP-Prozess entfernt die Kobaltschicht 134 und die Titannitridschicht 130 von der Oberseite der Zwischenschichtdielektrikumsschicht 108. Ferner entfernt der CMP-Prozess einen Abschnitt der Zwischenschichtdielektrikumsschicht 108. Dieser Prozess führt dazu, dass Kupferstecker 136 in den Gräben 120 und 121 gebildet werden. Die Kupferstecker 136 sind auf der Titannitridschicht 124 und der Titannitridschicht 130 positioniert. Es können andere Prozesse verwendet werden, um die Kobaltstecker 136 zu bilden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1J ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1J ist eine MCESL-Schicht 140 auf der Zwischenschichtdielektrikumsschicht 108 und auf den Kobaltsteckern 136 abgeschieden worden. In einem Beispiel weist die MCESL-Schicht 140 eine Dicke von zwischen 100 Ä und 140 Ä auf. Die MCESL-Schicht kann durch physische Dampfabscheidung, chemische Dampfabscheidung, Atomschichtabscheidung oder sonstige geeignete Abscheidungsprozesse gebildet werden. Es können andere Prozesse und Dicken für die MCESL-Schicht 140 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Es ist eine Titannitridschicht 142 auf der MCESL-Schicht 140 abgeschieden worden. Die Titannitridschicht 142 weist eine Dicke von zwischen 40 Å und 80 Å auf. Die Titannitridschicht 142 kann eine hochresistente Titannitridschicht sein, die durch einen PVD-Prozess gebildet wird. Es können andere Prozesse und Dicken für die Titannitridschicht 142 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Eine MCESL-Schicht 144 ist auf der Titannitridschicht 142 abgeschieden worden. In einem Beispiel weist die MCESL-Schicht 144 eine Dicke von zwischen 70 Å und 110 Å auf. Die MCESL-Schicht 144 kann durch einen PVD-Prozess, einen CVD-Prozess, einen ALD-Prozess oder sonstige geeignete Abscheidungsprozesse gebildet werden. Es können andere Prozesse und Dicken für die MCESL-Schicht 144 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1K ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1K wird ein Photolithographieprozess verwendet, um den Rand der Titannitridschicht 142 und der MCESL-Schicht 144 zu strukturieren. Dies legt einen Abschnitt der MCESL-Schicht 140 frei. Der Photolithographieprozess kann das Abscheiden und Strukturieren eines Photoresists und das Durchführen eines Nassätzens, eines Trockenätzens oder einer anderen Art von Ätzen umfassen.
  • 1L ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1L ist die MCESL-Schicht 144 erneut abgeschieden worden. Insbesondere sind weitere 80 Å -120 Å der MCESL zu der Schicht 144 hinzugefügt worden. Zusätzlich ist eine Zwischenschichtdielektrikumsschicht 146 abgeschieden worden. Die Zwischenschichtdielektrikumsschicht 146 kann Siliziumoxid enthalten. Die Zwischenschichtdielektrikumsschicht 146 kann durch CVD, PVD oder sonstige geeignete Abscheidungsprozesse abgeschieden werden. Es können andere Materialien und Prozesse für die Zwischenschichtdielektrikumsschicht 146 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1M ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1M sind die Gräben 148, 150 und 152 geöffnet worden und werden in der MCESL-Schicht 144, der Zwischenschichtdielektrikumsschicht 146 und in der MCESL-Schicht 140 die Titannitridschicht 142 und die Kobaltstecker 136 freigelegt. Die Gräben können durch ein Nassätzen, ein Trockenätzen oder eine andere Art von Ätzen gebildet werden.
  • 1N ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1N ist ein Abschnitt der Kobaltstecker 136 über ein Nassätzen entfernt worden.
  • 1O ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1O sind Abdeckungen 154 auf den freigelegten Kobaltsteckern 136 und auf dem freigelegten Abschnitt der Titannitridschicht 142 gebildet worden. Die Abdeckungen 154 können Wolfram enthalten und können mit einer Bottom-up-Abscheidung gebildet werden. Es können andere Materialien und Abscheidungsprozesse für die Abdeckungen 154 verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1P ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1P sind leitfähige Stecker 156 in den Gräben 148, 150 und 152 in Kontakt mit den Abdeckungen 154 gebildet worden. Die leitfähigen Stecker 156 sind elektrisch mit den Steckern 136 und der Titannitridschicht 142 verbunden. Die leitfähigen Stecker 156 können Ruthenium enthalten und können mit einem Ex-situ-Bottom-up-Abscheidungsprozess abgeschieden werden. Es können andere Materialien und Abscheidungsprozesse für die Stecker 156 verwendet werden. Es kann ein CMP-Prozess durchgeführt werden, um die Oberseiten der Stecker 156, die Schicht 144 und die Zwischenschichtdielektrikumsschicht 146 zu planarisieren, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 1Q ist eine Querschnittsansicht der integrierten Schaltung 100 in einer Zwischenphase der Verarbeitung gemäß einer Ausführungsform. In 1Q sind eine Aluminiumoxidschicht 160 und eine dielektrische Low-K-Schicht 162 abgeschieden worden. Die dielektrische Low-K-Schicht 162 kann ein poröses Siliziumoxid, ein Organosilikatglas oder sonstige Arten von Low-K-Dielektrika aufweisen. Es können andere Materialien als die zuvor beschriebenen verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Die Aluminiumoxidschicht 160 und die dielektrische Low-K-Schicht 162 sind strukturiert und geätzt worden, um Gräben 164, 166 und 168 zu bilden, die die leitfähigen Stecker 156 freilegen. Die Gräben 164, 166 und 168 können unter Verwendung von Standardphotolithographie- und -ätztechniken einschließlich des Strukturierens des Photoresists und des Durchführens eines Nass- oder Trockenätzens gebildet werden.
  • 1R ist eine Querschnittsansicht der integrierten Schaltung 100 gemäß einer Ausführungsform. In 1R sind Kupferstecker 170 in den Gräben 164, 166 und 168 gebildet worden. Die Kupferstecker 170 können durch Abscheiden einer Kupferkeimschicht 172 unter Verwendung einer physischen Dampfabscheidung oder eines anderen geeigneten Prozesses gebildet werden. Nachdem die Kupferkeimschicht 172 gebildet worden ist, können die Kupferstecker 170 durch einen elektrolosen Kupferplattierungsprozess gebildet werden. Es kann ein CMP-Prozess durchgeführt werden, nachdem die Kupferstecker 170 gebildet worden sind. Es können andere Prozesse und Materialien verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 2A ist eine vergrößerte Querschnittsansicht (entlang der Linie 2A-2A in 1R) der integrierten Schaltung 100 von 1A-1R gemäß einer Ausführungsform. Die Ansicht von 2A stellt den Bereich des Kobaltsteckers 136 in den Mittelpunkt, der den epitaxialen Source-/Drain-Bereich 110 berührt. Die Ansicht von 2A veranschaulicht einen Abschnitt 174 einer Titanschicht, die als Teil des Prozesses abgeschieden wird, um die Titannitridschicht 124 über Nitridierung zu bilden. Der Abschnitt 174 der Titanschicht ist in Kontakt mit der Silizidschicht 126 positioniert. Die Titannitridschicht 124, die durch Nitridierung gebildet wird, wie zuvor beschrieben wurde, ist auf der Titanschicht 174 und in Kontakt mit einem unteren Abschnitt der Seitenwand 119 des Grabens 120, der in der Zwischenschichtdielektrikumsschicht 108 gebildet ist, positioniert. Die Titannitridschicht 130, die mit einem ALD-Prozess gebildet wird, wie zuvor beschrieben wurde, ist auf der Titannitridschicht 124 und in Kontakt mit oberen Abschnitten der Seitenwände 119 des Grabens 120 positioniert.
  • Die Struktur von 2A weist den Vorteil des Bereitstellens einer geringen Stromleckage auf. Dies liegt an dem zusätzlichen Puffer, der von der Titannitridschicht 124 bereitgestellt wird, die die unteren Abschnitte der Seitenwände 119 des Grabens 120 berührt. Die Struktur kann durch vorsichtiges Steuern des zuvor beschriebenen ALE-Prozesses gebildet werden. Insbesondere kann der ALE-Prozess derart durchgeführt werden, dass sichergestellt wird, dass ein Abschnitt der Titannitridschicht 124 auf den Seitenwänden 119 des Grabens 120 verbleibt.
  • 2B ist eine vergrößerte Querschnittsansicht (entlang der Linie 2A-2A in 1R) der integrierten Schaltung 100 von 1A-1R gemäß einer alternativen Ausführungsform. In der Ausführungsform von 2B ist der ALE-Prozess vorsichtig gesteuert worden, um sicherzustellen, dass nichts von der Titannitridschicht 124 auf den Seitenwänden 119 des Grabens 120 verbleibt. Dies hat den Vorteil des Bereitstellens eines Kontakts mit geringem Widerstand mit dem epitaxialen Source-/Drain-Bereich 110.
  • 3A ist eine vergrößerte Querschnittsansicht (entlang der Linie 3A-3A in 1R) der integrierten Schaltung 100 von 1A-1R gemäß einer Ausführungsform. Die Ansicht von 3A stellt den Kobaltstecker 136 in den Mittelpunkt, der das Metall-Gate 114 berührt. Die Ansicht von 3A veranschaulicht einen Abschnitt 176 einer Titanschicht, der als Teil des Prozesses abgeschieden wird, um die Titannitridschicht 120 durch Nitridierung zu bilden. Der Abschnitt 176 der Titanschicht ist in Kontakt mit dem Metall-Gate 114 positioniert. Die Titannitridschicht 124, die durch Nitridierung gebildet wird, wie zuvor beschrieben wurde, wird auf der Titanschicht 176 und in Kontakt mit einem unteren Abschnitt der Seitenwand 119 des Grabens 121, der in der Zwischenschichtdielektrikumsschicht 108 gebildet ist, positioniert. Die Titannitridschicht 130, die mit einem ALD-Prozess gebildet wird, wie zuvor beschrieben wurde, ist auf der Titannitridschicht 124 und in Kontakt mit oberen Abschnitten der Seitenwände 119 des Grabens 121 positioniert.
  • Die Struktur von 3A weist den Vorteil des Bereitstellens einer geringen Stromleckage auf. Dies liegt an dem zusätzlichen Puffer, der von der Titannitridschicht 124 bereitgestellt wird, die die die unteren Abschnitte der Seitenwände 119 des Grabens 121 berührt. Die Struktur kann durch vorsichtiges Steuern des zuvor beschriebenen ALE-Prozesses gebildet werden. Insbesondere kann der ALE-Prozess derart durchgeführt werden, dass sichergestellt wird, dass ein Abschnitt der Titannitridschicht 124 auf den Seitenwänden 119 des Grabens 121 verbleibt.
  • 3B ist eine vergrößerte Querschnittsansicht (entlang der Linie 3A—3A in 1R) der integrierten Schaltung 100 von 1A-1R gemäß einer alternativen Ausführungsform.
  • In der Ausführungsform von 3B ist der ALE-Prozess vorsichtig gesteuert worden, um sicherzustellen, dass nichts von der Titannitridschicht 124 auf den Seitenwänden 119 des Grabens 121 verbleibt. Dies hat den Vorteil des Bereitstellens eines Kontakts mit geringem Widerstand mit dem Metall-Gate 114.
  • 4A ist eine vergrößerte Querschnittsansicht (entlang der Linie 2A-2A in 1R) der integrierten Schaltung 100 von 1A-1R gemäß einer Ausführungsform. Die Struktur von 4A ist im Wesentlichen ähnlich wie die Struktur von 2A mit der Ausnahme, dass die Titannitridschichten 124 und 130 und die Titanschicht 174 flache Profile anstatt gekrümmten Profilen aufweisen. 4B ist eine vergrößerte Querschnittsansicht (entlang der Linie 2A-2A in 1R) der integrierten Schaltung 100 von 1A-1R gemäß einer alternativen Ausführungsform. Die Struktur von 4B ist im Wesentlichen ähnlich wie die Struktur von 2B mit der Ausnahme, dass die Titannitridschichten 124 und 130 und die Titanschicht 174 flache Profile anstatt gekrümmten Profilen aufweisen.
  • 5A ist eine vergrößerte Querschnittsansicht (entlang der Linie 3A-3A in 1R) der integrierten Schaltung 100 von 1A-1R gemäß einer Ausführungsform. Die Struktur von 5A ist im Wesentlichen ähnlich wie die Struktur von 3A mit der Ausnahme, dass die Titannitridschichten 124 und 130 und die Titanschicht 176 flache Profile anstatt gekrümmten Profilen aufweisen. 5B ist eine vergrößerte Querschnittsansicht (entlang der Linie 3A-3A in 1R) der integrierten Schaltung 100 von 1A-1R gemäß einer alternativen Ausführungsform. Die Struktur von 5B ist im Wesentlichen ähnlich wie die Struktur von 3B mit der Ausnahme, dass die Titannitridschichten 124 und 130 und die Titanschicht 176 flache Profile anstatt gekrümmten Profilen aufweisen.
  • 6A ist eine Veranschaulichung eines Halbleiterprozesssystems 600 gemäß einer Ausführungsform. Das Halbleiterprozesssystem 600 kann verwendet werden, um ALE-Prozesse in Verbindung mit den Prozessen und Strukturen, die in Verbindung mit 1A-5B gezeigt und beschrieben sind, durchzuführen. Das Halbleiterprozesssystem 600 weist eine Prozesskammer 602 auf, die ein inneres Volumen 603 aufweist. Eine Halterung 606 ist innerhalb des inneren Volumens 603 positioniert und konfiguriert, um ein Substrat 604 während einem Dünnfilmätzprozess zu stützen. Das Halbleiterprozesssystem 600 ist konfiguriert, um einen dünnen Film auf dem Substrat 604 zu ätzen. Das Halbleiterprozesssystem 600 weist ein Steuersystem 624 auf, das die Dünnfilmätzparameter dynamisch anpasst. Details des Steuersystems 624 werden nach der Beschreibung des Betriebs des Halbleiterprozesssystems 600 bereitgestellt.
  • In einer Ausführungsform weist das Halbleiterprozesssystem 600 eine erste Fluidquelle 608 und eine zweite Fluidquelle 610 auf. Die erste Fluidquelle 608 speist ein erstes Fluid in das innere Volumen 603. Die zweite Fluidquelle 610 speist ein zweites Fluid in das innere Volumen 603. Das erste und das zweite Fluid tragen beide zum Ätzen eines dünnen Films auf dem Substrat 604 bei. Wenngleich 6A Fluidquellen 608 und 610 veranschaulicht, können in der Praxis die Fluidquellen 608 und 610 andere Materialien als Fluide aufweisen oder zuführen. Zum Beispiel können die Fluidquellen 608 und 610 Materialquellen aufweisen, die sämtliche Materialien für den Ätzprozess bereitstellen.
  • In einer Ausführungsform ist das Halbleiterprozesssystem 600 ein ALE-System, das ALE-Prozesse durchführt. Das ALE-System führt Ätzprozesse in Zyklen durch. Jeder Zyklus umfasst das Strömen eines ersten Ätzfluids von der Fluidquelle 608 gefolgt von dem Spülen des ersten Ätzfluids aus der Ätzkammer durch Strömen des Spülgases aus einer oder beiden der Spülquellen 612 und 624 gefolgt von dem Strömen eines zweiten Ätzfluids aus der Fluidquelle 610 gefolgt von dem Spülen des zweiten Ätzfluids aus der Ätzkammer durch Strömen des Spülgases aus einer oder beiden der Spülquellen 612 und 624. Dies entspricht einem einzigen ALE-Zyklus. Jeder Zyklus ätzt eine atomare oder molekulare Schicht von dem Dünnfilm, der geätzt wird.
  • Die Parameter eines dünnen Films, der durch das Halbleiterprozesssystem 600 erzeugt wird, können durch eine hohe Anzahl von Prozessbedingungen beeinflusst werden. Die Prozessbedingungen können eine Menge an Fluid oder Material, das in den Fluidquellen 608, 610 verbleibt, eine Strömungsrate von Fluid oder Material aus den Fluidquellen 608, 610, den Druck von Fluiden, die von den Fluidquellen 608 und 610 bereitgestellt werden, die Länge von Rohren oder Leitungen, die Fluid oder Material in die Prozesskammer 602 transportieren, das Alter einer Ampulle, die die Prozesskammer 602 definiert oder in dieser enthalten ist, die Temperatur innerhalb der Prozesskammer 602, die Feuchtigkeit innerhalb der Prozesskammer 602, den Druck innerhalb der Prozesskammer 602, die Lichtabsorption oder -reflektion innerhalb der Prozesskammer 602, Oberflächenmerkmale des Halbleiterwafers 604, die Zusammensetzung von Materialien, die von den Fluidquellen 608 und 610 bereitgestellt werden, die Phase von Materialien, die von den Fluidquellen 608 und 610 bereitgestellt werden, die Dauer des Ätzprozesses, die Dauer von einzelnen Phasen des Ätzprozesses und verschiedene sonstige Faktoren einschließlich Faktoren, die zuvor nicht spezifisch aufgelistet wurden, umfassen, ohne jedoch darauf beschränkt zu sein.
  • Die Kombination der verschiedenen Prozessbedingungen während dem Ätzprozess bestimmt die Restdicke eines dünnen Films, der durch den ALE-Prozess geätzt wird. Es ist möglich, dass Prozessbedingungen zu dünnen Filmen führen können, die keine Restdicken aufweisen, die in Zielparametern liegen. Wenn dies geschieht, dann funktionieren die integrierten Schaltungen, die aus dem Halbleiterwafer 604 gebildet sind, möglicherweise nicht ordnungsgemäß. Die Qualität der Chargen der Halbleiterwafer kann dadurch leiden. In einigen Fällen müssen einige Halbleiterwafer möglicherweise aussortiert werden.
  • Das Halbleiterprozesssystem 600 verwendet das Steuersystem 624, um Prozessbedingungen dynamisch anzupassen, um sicherzustellen, dass Ätzprozesse zu dünnen Filmen führen, die Parameter oder Charakteristiken aufweisen, die in Zielparametern oder - charakteristiken liegen. Das Steuersystem 624 ist mit einer Verarbeitungsausrüstung verbunden, die mit dem Halbleiterprozesssystem 600 verknüpft ist. Die Verarbeitungsausrüstung kann Komponenten, die in 6A gezeigt sind, und Komponenten, die nicht in 6A gezeigt sind, aufweisen. Das Steuersystem 624 kann die Strömungsrate von Material von den Fluidquellen 608 und 610, die Temperatur von Materialien, die von den Fluidquellen 608 und 610 zugeführt werden, den Druck von Fluiden, die von den Fluidquellen 608 und 610 bereitgestellt werden, die Strömungsrate von Material von den Spülquellen 612 und 614, die Dauer der Strömung von Materialien von den Fluidquellen 608 und 610 und den Spülquellen 612 und 614, die Temperatur innerhalb der Prozesskammer 602, den Druck innerhalb der Prozesskammer 602, die Feuchtigkeit innerhalb der Prozesskammer 602 und sonstige Aspekte des Dünnfilmätzprozesses steuern. Das Steuersystem 624 steuert diese Prozessparameter derart, dass der Dünnfilmätzprozess zu einem Dünnfilm führt, der Zielparameter, wie etwa eine Zielrestdicke, eine Zielzusammensetzung, eine Zielkristallausrichtung usw., aufweist. Weitere Details bezüglich des Steuersystems sind in Bezug auf 7-9 bereitgestellt.
  • In einer Ausführungsform ist das Steuersystem 624 kommunikativ mit der ersten und der zweiten Fluidquelle 608, 610 über einen oder mehrere Kommunikationskanäle 625 gekoppelt. Das Steuersystem 624 kann Signale zu der ersten Fluidquelle 608 und der zweiten Fluidquelle 610 über die Kommunikationskanäle 625 senden. Das Steuersystem 624 kann die Funktionalität der ersten und der zweiten Fluidquelle 608, 610 zum Teil als Reaktion auf die Sensorsignale von dem Nebenproduktsensor 622 steuern.
  • In einer Ausführungsform kann das Halbleiterprozesssystem 600 ein oder mehrere Ventile, Pumpen oder sonstige Strömungssteuermechanismen zum Steuern der Strömungsrate des ersten Fluids von der ersten Fluidquelle 608 aufweisen. Diese Strömungssteuermechanismen können Teil der Fluidquelle 608 sein oder von der Fluidquelle 608 getrennt sein. Das Steuersystem 624 kann kommunikativ mit diesen Strömungskontrollmechanismen oder mit Systemen, die diese Strömungskontrollmechanismen steuern, gekoppelt sein. Das Steuersystem 624 kann die Strömungsrate des ersten Fluids durch Steuern dieser Mechanismen steuern. Das Steuersystem 600 kann Ventile, Pumpen oder sonstige Strömungskontrollmechanismen aufweisen, die die Strömung des zweiten Fluids von der zweiten Fluidquelle 610 auf dieselbe Art wie zuvor unter Bezugnahme auf das erste Fluid und die erste Fluidquelle 608 beschrieben wurde, steuern.
  • In einer Ausführungsform weist das Halbleiterprozesssystem 600 einen Verteilermischer 616 und einen Fluidverteiler 618 auf. Der Verteilermischer 616 erhält das erste und das zweite Fluid entweder zusammen oder getrennt von der ersten Fluidquelle 608 und der zweiten Fluidquelle 610. Der Verteilermischer 616 stellt entweder das erste Fluid, das zweite Fluid oder eine Mischung des ersten und des zweiten Fluids dem Fluidverteiler 618 bereit. Der Fluidverteiler 618 erhält eines oder mehrere Fluide von dem Verteilermischer 616 und verteilt das eine oder die mehreren Fluide in das innere Volumen 603 der Prozesskammer 602.
  • In einer Ausführungsform ist die erste Fluidquelle 608 mit dem Verteilermischer 616 durch einen ersten Fluidkanal 630 gekoppelt. Der erste Fluidkanal 630 transportiert das erste Fluid von der Fluidquelle 608 zu dem Verteilermischer 616. Der erste Fluidkanal 630 kann ein Schlauch, ein Rohr oder ein sonstiger geeigneter Kanal zum Übergeben des ersten Fluids von der ersten Fluidquelle 608 zu dem Verteilermischer 616 sein. Die zweite Fluidquelle 610 ist mit dem Verteilermischer 616 durch einen zweiten Fluidkanal 632 gekoppelt. Der zweite Fluidkanal 632 transportiert das zweite Fluid von der zweiten Fluidquelle 610 zu dem Verteilermischer 616.
  • In einer Ausführungsform ist der Verteilermischer 616 mit dem Fluidverteiler 618 durch eine dritte Fluidleitung 634 gekoppelt. Die dritte Fluidleitung 634 transportiert Fluid von dem Verteilermischer 616 zu dem Fluidverteiler 618. Die dritte Fluidleitung 634 kann das erste Fluid, das zweite Fluid, eine Mischung des ersten und des zweiten Fluids oder sonstige Fluide, wie nachstehend ausführlicher beschrieben werden wird, transportieren.
  • Die erste und die zweite Fluidquelle 608, 610 können Fluidtanks aufweisen. Die Fluidtanks können das erste und das zweite Fluid speichern. Die Fluidtanks können das erste und das zweite Fluid selektiv ausgeben.
  • In einer Ausführungsform weist das Halbleiterprozesssystem 600 eine erste Spülquelle 612 und die zweite Spülquelle 614 auf. Die erste Spülquelle ist durch die erste Spülleitung 636 mit der ersten Fluidleitung 630 gekoppelt. Die zweite Spülquelle ist durch die zweite Spülleitung 638 mit der Fluidleitung 632 gekoppelt. In der Praxis können die erste und die zweite Spülquelle eine einzelne Spülquelle sein.
  • In einer Ausführungsform führen die erste und die zweite Spülquelle 612, 614 ein Spülgas in das innere Volumen 603 der Prozesskammer 602 ein. Das Spülfluid ist ein Fluid, das ausgewählt ist, um das erste Fluid, das zweite Fluid, Nebenprodukte des ersten oder des zweiten Fluids oder sonstige Fluide aus dem inneren Volumen 603 der Prozesskammer 602 zu spülen oder transportieren. Das Spülfluid wird derart ausgewählt, dass es nicht mit dem Substrat 604, der Dünnfilmschicht auf dem Substrat 604, dem ersten und dem zweiten Fluid und Nebenprodukten des ersten oder des zweiten Fluids interagiert. Dementsprechend kann das Spülfluid ein Inertgas einschließlich Ar oder N2, ohne jedoch auf diese beschränkt zu sein, sein.
  • Wenngleich 6A eine erste Fluidquelle 608 und eine zweite Fluidquelle 610 veranschaulicht, kann in der Praxis das Halbleiterprozesssystem 600 andere Anzahlen von Fluidquellen aufweisen. Zum Beispiel kann das Halbleiterprozesssystem 600 nur eine einzige Fluidquelle oder mehr als zwei Fluidquellen aufweisen. Dementsprechend kann das Halbleiterprozesssystem 600 eine andere Anzahl als zwei Fluidquellen aufweisen, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 6B ist eine Grafik, die einen Zyklus eines ALE-Prozesses gemäß einer Ausführungsform veranschaulicht. Die Grafik von 6B kann einem ALE-Prozess entsprechen, der von dem Halbleiterprozesssystem 600 von 6A durchgeführt wird, und kann verwendet werden, um Prozesse durchzuführen und Strukturen zu erzeugen, die in Verbindung mit 1A-5B gezeigt und beschrieben sind. Zum Zeitpunkt T1 beginnt das erste Ätzfluid, zu fließen. In dem Beispiel von 6B ist das erste Ätzfluid WCl5. Das erste Ätzfluid strömt von der Fluidquelle 608 in das innere Volumen 603 hinein. In dem inneren Volumen 603 reagiert das erste Ätzfluid mit der oberen freigelegten Schicht der Titannitridschicht 124. Zum Zeitpunkt T2 hört das erste Ätzfluid WCl5 auf, zu strömen. In einem Beispiel beträgt die verstrichene Zeit zwischen T1 und T2 zwischen 1 s und 10 s.
  • Zum Zeitpunkt T3 beginnt das Spülgas, zu strömen. Das Spülgas strömt von einer oder beiden der Spülquellen 612 und 624. In einem Beispiel ist das Spülgas eines von Argon, N2, oder eines anderen Inertgases, das das erste Ätzfluid WCl5 spülen kann, ohne mit der Titannitridschicht 124 zu reagieren. Zum Zeitpunkt T4 hört das Spülgas auf, zu strömen. In einem Beispiel beträgt die verstrichene Zeit zwischen T3 und T4 zwischen 6 s und 15 s.
  • Zum Zeitpunkt T5 strömt das zweite Ätzfluid in das innere Volumen 603 hinein. Das zweite Ätzfluid strömt von der Fluidquelle 610 in das innere Volumen 603 hinein. In einem Beispiel ist das zweite Ätzfluid O2. Das O2 reagiert mit der oberen atomaren oder molekularen Schicht der Titannitridschicht 124 und schließt das Ätzen der oberen atomaren oder molekularen Schicht der Titannitridschicht 124 ab. Zum Zeitpunkt T6 hört das zweite Ätzfluid auf, zu strömen. In einem Beispiel beträgt die verstrichene Zeit zwischen T5 und T6 zwischen 1 s und 10 s.
  • Zum Zeitpunkt T7 strömt das Spülgas erneut und spült das innere Volumen 603 des zweiten Ätzfluids. Zum Zeitpunkt T8 hört das Spülgas auf, zu strömen. Die Zeit zwischen T1 und T8 entspricht einem einzelnen ALE-Zyklus.
  • In der Praxis kann ein ALE-Prozess zwischen 5 und 50 Zyklen aufweisen, je nach der Anfangsdicke der Titannitridschicht und der gewünschten Enddicke der Titannitridschicht. Jeder Zyklus entfernt eine atomare oder molekulare Schicht der Titannitridschicht 124. Es können andere Materialien, Prozesse und verstrichene Zeiten verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 7 ist ein Blockdiagramm des Steuersystems 624 gemäß einer Ausführungsform. Das Steuersystem 624 von 7 ist zum Steuern des Betriebs eines ALE-Systems 600 gemäß einer Ausführungsform konfiguriert. Das Steuersystem 624 kann in Verbindung mit den Prozessen, Strukturen und Systemen, die in Verbindung mit 1A-6B beschrieben sind, verwendet werden. Das Steuersystem 624 verwendet Machine-Learning, um die Parameter des ALE-Systems 600 anzupassen. Das Steuersystem 624 kann die Parameter des ALE-Systems 600 zwischen ALE-Durchgängen oder sogar zwischen ALE-Zyklen anpassen, um sicherzustellen, dass eine Dünnfilmschicht, die durch den ALE-Prozess gebildet wird, innerhalb von ausgewählten Spezifikationen fällt.
  • In einer Ausführungsform weist das Steuersystem 624 ein Analysemodell 640 und ein Trainingsmodul 641 auf. Das Trainingsmodul trainiert das Analysemodell 640 mit einem Machine-Learning-Prozess. Der Machine-Learning-Prozess trainiert das Analysemodell 640, um Parameter für einen ALE-Prozess auszuwählen, die zu einem dünnen Film führen werden, der ausgewählte Charakteristiken aufweist. Wenngleich das Trainingsmodul 641 als von dem Analysemodell 640 getrennt gezeigt ist, kann das Trainingsmodul 641 in der Praxis Teil des Analysemodells 640 sein.
  • Das Steuersystem 624 weist Trainingssatzdaten 642 auf oder speichert diese. Die Trainingssatzdaten 642 umfassen historische Dünnfilmdaten 644 und historische Prozessbedingungsdaten 646. Die historischen Dünnfilmdaten 644 umfassen Daten in Bezug auf dünne Filme, die aus ALE-Prozessen resultieren. Die historischen Prozessbedingungsdaten 646 umfassen Daten in Bezug auf Prozessbedingungen während den ALE-Prozessen, die die dünnen Filme erzeugten. Wie nachstehend ausführlicher dargelegt werden wird, verwendet das Trainingsmodul 641 die historischen Dünnfilmdaten 644 und die historischen Prozessbedingungsdaten 646, um das Analysemodell 640 mit einem Machine-Learning-Prozess zu trainieren.
  • In einer Ausführungsform umfassen die historischen Dünnfilmdaten 644 Daten in Bezug auf die Restdicke von zuvor geätzten dünnen Filmen. Zum Beispiel können während dem Betrieb einer Halbleiterherstellungseinrichtung tausende oder Millionen Halbleiterwafer über mehrere Monate oder Jahre hinweg verarbeitet werden. Jeder der Halbleiterwafer kann dünne Filme aufweisen, die durch ALE-Prozesse geätzt werden. Nach jedem ALE-Prozess werden die Dicken der dünnen Filme als Teil eines Qualitätskontrollprozesses gemessen. Die historischen Dünnfilmdaten 644 umfassen die Dicken jedes der dünnen Filme, die durch ALE-Prozesse geätzt werden. Dementsprechend können die historischen Dünnfilmdaten 644 Dickendaten für eine große Anzahl von dünnen Filmen, die durch ALE-Prozesse geätzt werden, umfassen.
  • In einer Ausführungsform können die historischen Dünnfilmdaten 644 auch Daten in Bezug auf die Dicke der dünnen Filme in Zwischenstufen der Dünnfilmätzprozesse umfassen. Zum Beispiel kann ein ALE-Prozess eine große Anzahl von Ätzzyklen aufweisen, während welchen einzelne Schichten des dünnen Films geätzt werden. Die historischen Dünnfilmdaten 644 können Dickendaten für dünne Filme nach einzelnen Ätzzyklen oder Gruppen von Ätzzyklen umfassen. Somit umfassen die historischen Dünnfilmdaten 644 nicht nur Daten in Bezug auf die Gesamtdicke eines dünnen Films nach dem Abschluss eines ALE-Prozesses, sondern können auch Daten in Bezug auf die Dicke des dünnen Films in verschiedenen Stufen des ALE-Prozesses umfassen.
  • In einer Ausführungsform umfassen die historischen Dünnfilmdaten 644 Daten in Bezug auf die Zusammensetzung der dünnen Filme, die durch ALE-Prozesse geätzt werden. Nachdem ein dünner Film geätzt ist, können Messungen vorgenommen werden, um die elementare oder molekulare Zusammensetzung der dünnen Filme zu bestimmen. Ein erfolgreiches Ätzen der dünnen Filme führt zu einem dünnen Film, der bestimmte Restdicken aufweist. Erfolglose Ätzprozesse können zu einem dünnen Film führen, der nicht die gewünschte Dicke oder Zusammensetzung aufweist. Die historischen Dünnfilmdaten 644 können Daten aus Messungen umfassen, die die Elemente oder Verbindungen angeben, die die verschiedenen dünnen Filme bilden.
  • In einer Ausführungsform umfassen die historischen Prozessbedingungen 646 verschiedene Prozessbedingungen oder Parameter während ALE-Prozessen, die die dünnen Filme ätzen, die mit den historischen Dünnfilmdaten 644 verknüpft sind. Dementsprechend können für jeden dünnen Film, der Daten in den historischen Dünnfilmdaten 644 aufweist, die historischen Prozessbedingungsdaten 646 die Prozessbedingungen oder Parameter aufweisen, die während dem Ätzen des dünnen Films vorhanden waren. Zum Beispiel können die historischen Prozessbedingungsdaten 646 Daten in Bezug auf den Druck, die Temperatur und Fluidströmungsraten innerhalb der Prozesskammer während ALE-Prozessen umfassen.
  • Die historischen Prozessbedingungsdaten 646 können Daten in Bezug auf Restmengen von Vorläufermaterial in den Fluidquellen während ALE-Prozessen umfassen. Die historischen Prozessbedingungsdaten 646 können Daten in Bezug auf das Alter der Prozesskammer 602, die Anzahl von Ätzprozessen, die in der Prozesskammer 602 durchgeführt worden sind, eine Anzahl von Ätzprozessen, die in der Prozesskammer 602 seit dem letzten Reinigungszyklus der Prozesskammer 602 durchgeführt worden sind, oder andere Daten in Bezug auf die Prozesskammer 602 umfassen. Die historischen Prozessbedingungsdaten 646 können Daten in Bezug auf Verbindungen oder Fluide, die während dem Ätzprozess in die Prozesskammer 602 eingeführt werden, umfassen. Die Daten in Bezug auf die Verbindungen können Arten von Verbindungen, Phasen von Verbindungen (fest, gasförmig oder flüssig), Mischungen von Verbindungen oder sonstige Aspekte in Bezug auf Verbindungen oder Fluide, die in die Prozesskammer 602 eingeführt werden, umfassen. Die historischen Prozessbedingungsdaten 646 können Daten in Bezug auf die Feuchtigkeit innerhalb der Prozesskammer 602 während ALE-Prozessen umfassen. Die historischen Prozessbedingungsdaten 646 können Daten in Bezug auf die Lichtabsorption, die Lichtadsorption und die Lichtreflexion in Bezug auf die Prozesskammer 602 umfassen. Die historischen Prozessbedingungsdaten 646 können Daten in Bezug auf die Länge von Rohren, Schläuchen oder Leitungen, die Verbindungen oder Fluide während ALE-Prozessen in die Prozesskammer 602 transportieren, umfassen. Die historischen Prozessbedingungsdaten 646 können Daten in Bezug auf den Zustand von Trägergasen, die während ALE-Prozessen Verbindungen oder Fluide in die Prozesskammer 602 transportieren, umfassen.
  • In einer Ausführungsform können die historischen Prozessbedingungsdaten 646 Prozessbedingungen für jeden einer Vielzahl von einzelnen Zyklen eines einzelnen ALE-Prozesses umfassen. Dementsprechend können die historischen Prozessbedingungsdaten 646 Prozessbedingungsdaten für eine sehr große Anzahl von ALE-Zyklen umfassen.
  • In einer Ausführungsform verknüpfen die Trainingssatzdaten 642 die historischen Dünnfilmdaten 644 mit den historischen Prozessbedingungsdaten 646. Mit anderen Worten ist die Dünnfilmdicke, die Materialzusammensetzung oder die Kristallstruktur, die mit einem dünnen Film in den historischen Dünnfilmdaten 644 verknüpft ist, mit den Prozessbedingungsdaten verbunden, die mit jenem Ätzprozess verknüpft sind. Wie nachstehend ausführlicher dargelegt werden wird, können die gekennzeichneten Trainingssatzdaten in einem Machine-Learning-Prozess zum Trainieren des Analysemodells 640 verwendet werden, um Halbleiterprozessbedingungen vorherzusagen, die zu ordnungsgemäß gebildeten dünnen Filmen führen werden.
  • In einer Ausführungsform weist das Steuersystem 624 Verarbeitungsressourcen 648, Speicherressourcen 650 und Kommunikationsressourcen 652 auf. Die Verarbeitungsressourcen 648 können einen oder mehrere Controller oder Prozessoren umfassen. Die Verarbeitungsressourcen 648 sind konfiguriert, um Softwarebefehle auszuführen, Daten zu verarbeiten, Dünnfilmätzkontrollentscheidungen zu treffen, eine Signalverarbeitung durchzuführen, Daten aus dem Speicher zu lesen, Daten in den Speicher zu schreiben und sonstige Verarbeitungsoperationen durchzuführen. Die Verarbeitungsressourcen 648 können physische Verarbeitungsressourcen 648 umfassen, die sich an einem Ort oder einer Einrichtung des Halbleiterprozesssystems 600 befinden. Die Verarbeitungsressourcen können virtuelle Verarbeitungsressourcen 648 umfassen, die von dem Ort des Halbleiterprozesssystems 600 oder einer Einrichtung, bei welcher sich das Halbleiterprozesssystem 600 befindet, entfernt sind. Die Verarbeitungsressourcen 648 können Cloud-basierte Verarbeitungsressourcen einschließlich Prozessoren und Servern, auf die über eine oder mehrere Cloud-Computing-Plattformen zugegriffen wird, umfassen.
  • In einer Ausführungsform können die Speicherressourcen 650 einen oder mehrere computerlesbare Speicher umfassen. Die Speicherressourcen 650 sind konfiguriert, um Softwarebefehle zu speichern, die mit der Funktion des Steuersystems und seiner Komponenten, einschließlich des Analysemodells 640, verknüpft sind, ohne jedoch darauf beschränkt zu sein. Die Speicherressourcen 650 können Daten speichern, die mit der Funktion des Steuersystems 624 und seiner Komponenten verknüpft sind. Die Daten können die Trainingssatzdaten 642, aktuelle Prozessbedingungsdaten und beliebige sonstige Daten, die mit dem Betrieb des Steuersystems 624 oder einer beliebigen seiner Komponenten verknüpft sind, umfassen. Die Speicherressourcen 650 können physische Speicherressourcen umfassen, die sich an dem Ort oder der Einrichtung des Halbleiterprozesssystems 600 befinden. Die Speicherressourcen können virtuelle Speicherressourcen umfassen, die von dem Ort oder der Einrichtung des Halbleiterprozesssystems 600 entfernt sind. Die Speicherressourcen 650 können Cloud-basierte Speicherressourcen umfassen, auf die über eine oder mehrere Cloud-Computing-Plattformen zugegriffen wird.
  • In einer Ausführungsform können die Kommunikationsressourcen Ressourcen umfassen, die dem Steuersystem 624 ermöglichen, mit einer Ausrüstung zu kommunizieren, die mit dem Halbleiterprozesssystem 600 verknüpft ist. Zum Beispiel können die Kommunikationsressourcen 652 drahtgebundene und drahtlose Kommunikationsressourcen umfassen, die dem Steuersystem 624 ermöglichen, die Sensordaten zu erhalten, die mit dem Halbleiterprozesssystem 600 verknüpft sind, und die Ausrüstung des Halbleiterprozesssystems 600 zu steuern. Die Kommunikationsressourcen 652 können dem Steuersystem 624 ermöglichen, die Strömung von Fluiden oder einem sonstigen Material von den Fluidquellen 608 und 610 und von den Spülquellen 612 und 614 zu steuern. Die Kommunikationsressourcen 652 können dem Steuersystem 624 ermöglichen, Heizvorrichtungen, Spannungsquellen, Ventile, Austrittskanäle, Waferübertragungsausrüstung und eine beliebige sonstige Ausrüstung, die mit dem Halbleiterprozesssystem 600 verknüpft ist, zu steuern. Die Kommunikationsressourcen 652 können dem Steuersystem 624 ermöglichen, mit entfernten Systemen zu kommunizieren. Die Kommunikationsressourcen 652 können eine Kommunikation über eines oder mehrere Netzwerke, wie etwa drahtgebundene Netzwerke, drahtlose Netzwerke, das Internet oder ein Intranet, aufweisen oder ermöglichen. Die Kommunikationsressourcen 652 können Komponenten des Steuersystems 624 ermöglichen, miteinander zu kommunizieren.
  • In einer Ausführungsform wird das Analysemodell 640 über die Verarbeitungsressourcen 648, die Speicherressourcen 650 und die Kommunikationsressourcen 652 implementiert. Das Steuersystem 624 kann ein verteiltes Steuersystem mit Komponenten und Ressourcen und Standorten, die voneinander und von dem Halbleiterprozesssystem 600 entfernt sind, sein.
  • 8A ist ein Flussdiagramm eines Prozesses 800 zum Trainieren eines Analysemodells zum Identifizieren von Prozessbedingungen, die zu einem ordnungsgemäßen Ätzen eines dünnen Films führen werden, gemäß einer Ausführungsform. Ein Beispiel eines Analysemodells ist das Analysemodell 640 von 7. Die verschiedenen Schritte des Prozesses 800 können Komponenten, Prozesse und Techniken verwenden, die in Verbindung mit den 1A-7 beschrieben sind. Dementsprechend wird 8A unter Bezugnahme auf 1A-7 beschrieben.
  • Bei 802 gewinnt der Prozess 800 Trainingssatzdaten einschließlich historischer Dünnfilmdaten und historischer Prozessbedingungsdaten. Dies kann durch Verwenden eines Datengewinnungssystems oder -prozesses erreicht werden. Das Datengewinnungssystem bzw. der Datengewinnungsprozess kann Trainingssatzdaten durch Zugreifen auf eine oder mehrere Datenbanken, die mit dem Halbleiterprozesssystem 600 verknüpft sind, und Sammeln und Organisieren verschiedener Arten von Daten, die in der einen oder den mehreren Datenbanken erhalten sind, gewinnen. Das Datengewinnungssystem oder der Datengewinnungsprozess oder ein anderes System oder ein anderer Prozess kann die gesammelten Daten verarbeiten und formatieren, um Trainingssatzdaten zu erzeugen. Die Trainingssatzdaten 642 können die historischen Dünnfilmdaten 644 und die historischen Prozessbedingungsdaten 646 umfassen, wie in Verbindung mit 7 beschrieben ist.
  • Bei 804 gibt der Prozess 800 historische Prozessbedingungsdaten in das Analysemodell ein. In einem Beispiel kann dies das Eingeben der historischen Prozessbedingungsdaten 646 in das Analysemodell 640 mit dem Trainingsmodul 641 umfassen, wie in Verbindung mit 7 beschrieben ist. Die historischen Prozessbedingungsdaten können in aufeinanderfolgenden diskreten Sätzen dem Analysemodell 640 bereitgestellt werden. Jeder diskrete Satz kann einem einzelnen Dünnfilmätzprozess oder einem Teil eines einzelnen Dünnfilmätzprozesses entsprechen. Die historischen Prozessbedingungsdaten können als Vektoren dem Analysemodell 640 bereitgestellt werden. Jeder Satz kann einen oder mehrere Vektoren aufweisen, die zur Empfangsverarbeitung durch das Analysemodell 640 formatiert sind. Die historischen Prozessbedingungsdaten können dem Analysemodell 640 in anderen Formaten bereitgestellt werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Bei 806 erzeugt der Prozess 800 vorhergesagte Dünnfilmdaten basierend auf historischen Prozessbedingungsdaten. Insbesondere erzeugt das Analysemodell 640 für jeden Satz von historischen Dünnfilmbedingungsdaten 646 vorhergesagte Dünnfilmdaten. Die vorhergesagten Dünnfilmdaten entsprechen einer Vorhersage von Charakteristiken, wie etwa der Restdicke, eines dünnen Films, die aus jenem bestimmten Satz von Prozessbedingungen resultieren würde. Die vorhergesagten Dünnfilmdaten können die Dicke, die Gleichmäßigkeit, die Zusammensetzung, die Kristallstruktur oder sonstige Aspekte eines verbleibenden dünnen Films umfassen.
  • Bei 808 werden die vorhergesagten Dünnfilmdaten mit den historischen Dünnfilmdaten 644 verglichen. Insbesondere werden die vorhergesagten Dünnfilmdaten für jeden Satz von historischen Prozessbedingungsdaten mit den historischen Dünnfilmdaten 644 verglichen, die mit jenem Satz von historischen Prozessbedingungsdaten verknüpft sind. Das Vergleichsergebnis kann zu einer Fehlerfunktion führen, die angibt, wie sehr die vorhergesagten Dünnfilmdaten mit den historischen Dünnfilmdaten 644 übereinstimmen. Dieser Vergleich wird für jeden Satz von vorhergesagten Dünnfilmdaten durchgeführt. In einer Ausführungsform kann dieser Prozess das Erzeugen einer Sammelfehlerfunktion oder einer Angabe, die angibt, wie sich die Gesamtheit der vorhergesagten Dünnfilmdaten mit den historischen Dünnfilmdaten 644 vergleichen lässt, umfassen. Diese Vergleiche können durch das Trainingsmodul 641 oder durch das Analysemodell 640 durchgeführt werden. Die Vergleiche können andere Arten von Funktionen oder Daten als die zuvor beschriebenen umfassen, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Bei 810 bestimmt der Prozess 800, ob die vorhergesagten Dünnfilmdaten mit den historischen Dünnfilmdaten übereinstimmen, basierend auf den Vergleichen, die bei Schritt 808 erzeugt wurden. Zum Beispiel bestimmt der Prozess, ob die vorhergesagte Restdicke mit der tatsächlichen Restdicke nach einem historischen Ätzprozess übereinstimmt. In einem Beispiel bestimmt der Prozess 800, wenn die Sammelfehlerfunktion geringer als eine Fehlertoleranz ist, dann, dass die Dünnfilmdaten nicht mit den historischen Dünnfilmdaten übereinstimmen. In einem Beispiel bestimmt der Prozess 800, wenn die Sammelfehlerfunktion größer als eine Fehlertoleranz ist, dann, dass die Dünnfilmdaten mit den historischen Dünnfilmdaten übereinstimmen. In einem Beispiel kann die Fehlertoleranz eine Toleranz zwischen 0,1 und 0 umfassen. Mit anderen Worten erwägt der Prozess 800, wenn der Sammelprozentsatzfehler geringer als 0,1 oder 60% ist, dann, dass die vorhergesagten Dünnfilmdaten mit den historischen Dünnfilmdaten übereinstimmen. Wenn der Sammelprozentsatzfehler größer als 0,1 oder 60% ist, erwägt dann der Prozess 800, dass die vorhergesagten Dünnfilmdaten nicht mit den historischen Dünnfilmdaten übereinstimmen. Es können andere Toleranzbereiche verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. Es können Fehlerpunktzahlen auf eine Vielfalt von Arten berechnet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. Das Trainingsmodul 641 oder das Analysemodell 640 kann die Bestimmungen durchführen, die mit dem Prozessschritt 810 verknüpft sind.
  • Wenn in einer Ausführungsform die vorhergesagten Dünnfilmdaten nicht mit den historischen Dünnfilmdaten 644 bei Schritt 810 übereinstimmen, dann fährt der Prozess zu Schritt 812 fort. Bei Schritt 812 passt der Prozess 800 die internen Funktionen an, die mit dem Analysemodell 640 verknüpft sind. In einem Beispiel passt das Trainingsmodul 641 die internen Funktionen an, die mit dem Analysemodell 640 verknüpft sind. Von Schritt 812 kehrt der Prozess zu Schritt 804 zurück. Bei Schritt 804 werden die historischen Prozessbedingungsdaten erneut dem Analysemodell 640 bereitgestellt. Da die internen Funktionen des Analysemodells 640 angepasst worden sind, wird das Analysemodell 640 andere vorhergesagte Dünnfilmdaten als in dem vorherigen Zyklus erzeugen. Der Prozess geht zu den Schritten 806, 808 und 810 über und es wird der Sammelfehler berechnet. Wenn die vorhergesagten Dünnfilmdaten nicht mit den historischen Dünnfilmdaten übereinstimmen, dann kehrt der Prozess zu Schritt 812 zurück und werden die internen Funktionen des Analysemodells 640 erneut angepasst. Dieser Prozess wiederholt sich, bis das Analysemodell 640 vorhergesagte Dünnfilmdaten erzeugt, die mit den historischen Dünnfilmdaten 644 übereinstimmen.
  • Wenn in einer Ausführungsform die vorhergesagten Dünnfilmdaten mit den historischen Dünnfilmdaten übereinstimmen, dann geht der Prozessschritt 810 bei dem Prozess 800 zu 814 über. Bei Schritt 814 ist das Training abgeschlossen. Das Analysemodell 640 ist nun bereit, dazu verwendet zu werden, die Prozessbedingungen zu identifizieren, und kann bei Dünnfilmätzprozessen verwendet werden, die von dem Halbleiterprozesssystem 600 durchgeführt werden. Der Prozess 800 kann andere Schritte oder Anordnungen von Schritten als die hierin gezeigten und beschriebenen umfassen, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 8B ist ein Blockdiagramm, das Betriebsaspekte und Trainingsaspekte des Analysemodells 640 gemäß einer Ausführungsform veranschaulicht. Das Analysemodell 640 kann dem Analysemodell entsprechen, das in Verbindung mit 6 und 7 beschrieben ist. Das Analysemodell 640 kann in Verbindung mit den Prozessen, Strukturen und Systemen, die in Verbindung mit 1A-8A beschrieben sind, verwendet werden. Wie zuvor beschrieben wurde, umfassen die Trainingssatzdaten 642 Daten, die mit einer Vielzahl von zuvor durchgeführten Dünnfilmätzprozessen verknüpft sind. Jeder zuvor durchgeführte Dünnfilmätzprozess fand mit bestimmten Prozessbedingungen statt und führte zu einem Dünnfilm, der bestimmte Charakteristiken aufweist. Die Prozessbedingungen für jeden zuvor durchgeführten Dünnfilmätzprozess werden in einen jeweiligen Prozessbedingungsvektor 852 formatiert. Der Prozessbedingungsvektor weist eine Vielzahl von Datenfeldern 854 auf. Jedes Datenfeld 854 entspricht einer bestimmten Prozessbedingung.
  • Das Beispiel von 8B veranschaulicht einen einzelnen Prozessbedingungsvektor 852, der während dem Trainingsprozess an das Analysemodell 640 übergeben werden wird. In dem Beispiel von 8B weist der Prozessbedingungsvektor 852 neun Datenfelder 854 auf. Ein erstes Datenfeld 854 entspricht der Temperatur während dem zuvor durchgeführten Dünnfilmätzprozess. Ein zweites Datenfeld 856 entspricht dem Druck während dem zuvor durchgeführten Dünnfilmätzprozess. Ein drittes Datenfeld 854 entspricht der Feuchtigkeit während dem zuvor durchgeführten Dünnfilmätzprozess. Das vierte Datenfeld 854 entspricht der Strömungsrate von Ätzmaterialien während dem zuvor durchgeführten Dünnfilmätzprozess. Das fünfte Datenfeld 854 entspricht der Phase (flüssig, fest oder gasförmig) von Ätzmaterialien während dem zuvor durchgeführten Dünnfilmätzprozess. Das sechste Datenfeld 854 entspricht dem Alter der Ampulle, die bei dem zuvor durchgeführten Dünnfilmätzprozess verwendet wird. Das siebte Datenfeld 854 entspricht einer Größe eines Ätzbereichs auf einem Wafer während dem zuvor durchgeführten Dünnfilmätzprozess. Das achte Datenfeld 854 entspricht der Dichte von Oberflächenmerkmalen des Wafers, die während dem zuvor durchgeführten Dünnfilmätzprozess verwendet werden. Das neunte Datenfeld entspricht dem Winkel von Seitenwänden der Oberflächenmerkmale während dem zuvor durchgeführten Dünnfilmätzprozess. In der Praxis kann jeder Prozessbedingungsvektor 852 mehr oder weniger Datenfelder als in 8B gezeigt aufweisen, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. Jeder Prozessbedingungsvektor 852 kann unterschiedliche Arten von Prozessbedingungen aufweisen, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. Die bestimmten Prozessbedingungen, die in 8B veranschaulicht sind, sind nur beispielhaft gegeben. Jede Prozessbedingung ist durch einen numerischen Wert in dem entsprechenden Datenfeld 854 dargestellt. Für Bedingungsarten, die nicht natürlich in Zahlen dargestellt sind, wie etwa die Materialphase, kann eine Zahl jeder möglichen Phase zugeordnet werden.
  • Das Analysemodell 640 weist eine Vielzahl von neuralen Schichten 856a-e auf. Jede neurale Schicht weist eine Vielzahl von Knoten 858 auf. Jeder Knoten 858 kann auch als Neuron bezeichnet werden. Jeder Knoten 858 von der ersten neuralen Schicht 856a erhält die Datenwerte für jedes Datenfeld von dem Prozessbedingungsvektor 852. Dementsprechend erhält in dem Beispiel von 8B jeder Knoten 858 von der ersten neuralen Schicht 856a neun Datenwerte, da der Prozessbedingungsvektor 852 neun Datenfelder aufweist. Jedes Neuron 858 weist eine jeweilige interne mathematische Funktion auf, die in 8B als F(x) gekennzeichnet ist. Jeder Knoten 858 der ersten neuralen Schicht 856a erzeugt einen skalaren Wert durch Anwenden der internen mathematischen Funktion F(x) bei den Datenwerten von den Datenfeldern 854 des Prozessbedingungsvektors 852. Weitere Details bezüglich der internen mathematischen Funktionen F(x) werden nachstehend bereitgestellt.
  • Jeder Knoten 858 der zweiten neuralen Schicht 856b erhält die skalaren Werte, die von jedem Knoten 858 der ersten neuralen Schicht 856a erzeugt werden. Dementsprechend erhält in dem Beispiel von 8B jeder Knoten der zweiten neuralen Schicht 856b vier skalare Werte, da vier Knoten 858 in der ersten neuralen Schicht 856a vorhanden sind. Jeder Knoten 858 der zweiten neuralen Schicht 856b erzeugt einen skalaren Wert durch Anwenden der jeweiligen internen mathematischen Funktion F(x) bei den skalaren Werten von der ersten neuralen Schicht 856a.
  • Jeder Knoten 858 der dritten neuralen Schicht 856c erhält die skalaren Werte, die von jedem Knoten 858 der zweiten neuralen Schicht 856b erzeugt werden. Dementsprechend erhält in dem Beispiel von 8B jeder Knoten der dritten neuralen Schicht 856c fünf skalare Werte, da fünf Knoten 858 in der zweiten neuralen Schicht 856b vorhanden sind. Jeder Knoten 858 der dritten neuralen Schicht 856c erzeugt einen skalaren Wert durch Anwenden der jeweiligen internen mathematischen Funktion F(x) bei den skalaren Werten von den Knoten 858 der zweiten neuralen Schicht 856b.
  • Jeder Knoten 858 der neuralen Schicht 856d erhält die skalaren Werte, die von jedem Knoten 858 der vorherigen neuralen Schicht (nicht gezeigt) erzeugt werden. Jeder Knoten 858 der neuralen Schicht 856d erzeugt einen skalaren Wert durch Anwenden der jeweiligen internen mathematischen Funktion F(x) bei den skalaren Werten von den Knoten 858 der zweiten neuralen Schicht 856b.
  • Die neurale Endschicht weist nur einen einzigen Knoten 858 auf. Die neurale Endschicht erhält die skalaren Werte, die von jedem Knoten 858 der vorherigen neuralen Schicht 856d erzeugt werden. Der Knoten 858 der neuralen Endschicht 856e erzeugt einen Datenwert 868 durch Anwenden einer mathematischen Funktion F(x) bei den skalaren Werten, die von den Knoten 858 der neuralen Schicht 856d erhalten werden.
  • In dem Beispiel von 8B entspricht der Datenwert 868 der vorhergesagten Restdicke eines dünnen Films, die durch Prozessbedingungsdaten erzeugt wird, die Werten entsprechen, die in dem Prozessbedingungsvektor 852 enthalten sind. In anderen Ausführungsformen kann die neurale Endschicht 856e mehrere Datenwerte erzeugen, die jeweils einer bestimmten Dünnfilmcharakteristik entsprechen, wie etwa der Dünnfilmkristallausrichtung, der Dünnfilmgleichmäßigkeit oder sonstigen Charakteristiken eines dünnen Films. Die neurale Endschicht 856e wird einen jeweiligen Knoten 858 für jeden zu erzeugenden Ausgangsdatenwert aufweisen. Im Falle einer vorhergesagten Dünnfilmdicke können Ingenieure Beschränkungen bereitstellen, die spezifizieren, dass die vorhergesagte Dünnfilmdicke 868 in einen ausgewählten Bereich fallen muss, wie etwa zwischen 0 nm und 50 nm in einem Beispiel. Das Analysemodell 640 wird interne Funktionen F(x) anpassen, um sicherzustellen, dass der Datenwert 868, der der vorhergesagten Dünnfilmdicke entspricht, in den spezifizierten Bereich fallen wird.
  • Während dem Machine-Learning-Prozess vergleicht das Analysemodell die vorhergesagte Restdicke in dem Datenwert 868 mit der tatsächlichen Restdicke des Dünnfilms, wie durch den Datenwert 870 angegeben ist. Wie zuvor dargelegt wurde, umfassen die Trainingssatzdaten 642 für jeden Satz von historischen Prozessbedingungsdaten Dünnfilmcharakteristikdaten, die die Charakteristiken des Dünnfilms angeben, die aus dem historischen Dünnfilmätzprozess resultierten. Dementsprechend umfasst das Datenfeld 870 die tatsächliche Restdicke des Dünnfilms, die aus dem Ätzprozess resultierte, die in dem Prozessbedingungsvektor 852 wiedergegeben ist. Das Analysemodell 640 vergleicht die vorhergesagte Restdicke von dem Datenwert 868 mit der tatsächlichen Restdicke von dem Datenwert 870. Das Analysemodell 640 erzeugt einen Fehlerwert 872, der den Fehler oder die Differenz zwischen der vorhergesagten Restdicke von dem Datenwert 868 und der tatsächlichen Restdicke von dem Datenwert 870 angibt. Der Fehlerwert 872 wird verwendet, um das Analysemodell 640 zu trainieren.
  • Das Trainieren des Analysemodells 640 lässt sich besser durch Erläutern der internen mathematischen Funktionen F(x) verstehen. Wenngleich alle Knoten 858 mit einer internen mathematischen Funktion F(x) gekennzeichnet sind, ist die mathematische Funktion F(x) jedes Knotens einzigartig. In einem Beispiel weist jede interne mathematische Funktion folgende Form auf: F ( x ) = x 1 * w 1 + x 1 * w 2 + ... + x n * w 1 + b .
    Figure DE102021106324A1_0001
    In der vorherigen Gleichung entspricht jeder Wert x1-xn einem Datenwert, der von einem Knoten 858 in der vorherigen neuralen Schicht erhalten wird, oder entspricht im Falle der ersten neuralen Schicht 856a jeder Wert x1-xn einem jeweiligen Datenwert von den Datenfeldern 854 des Prozessbedingungsvektors 852. Dementsprechend entspricht n für einen gegebenen Knoten der Anzahl von Knoten in der vorherigen neuralen Schicht. Die Werte w1-wn sind skalare Gewichtungswerte, die mit einem entsprechenden Knoten von der vorherigen Schicht verknüpft sind. Das Analysemodell 640 wählt die Werte der Gewichtungswerte w1-wn aus. Die Konstante b ist ein skalarer Verschiebungswert und kann auch mit einem Gewichtungswert multipliziert werden. Der Wert, der durch einen Knoten 858 erzeugt wird, basiert auf den Gewichtungswerten w1-wn. Dementsprechend weist jeder Knoten 858 n Gewichtungswerte w1wn auf. Wenngleich es zuvor nicht gezeigt wurde, kann jede Funktion F(x) auch eine Aktivierungsfunktion umfassen. Die in der vorherigen Gleichung dargelegte Summe wird mit der Aktivierungsfunktion multipliziert. Beispiele für Aktivierungsfunktionen können Funktionen mit gleichgerichteter linearer Einheit (ReLU, Rectified Linear Unit), Sigmoidfunktionen, hyperbolische Spannungsfunktionen oder sonstige Arten von Aktivierungsfunktionen umfassen.
  • Nachdem der Fehlerwert 872 berechnet worden ist, passt das Analysemodell 640 die Gewichtungswerte w1-wn für die verschiedenen Knoten 858 der verschiedenen neuralen Schichten 856a-856e an. Nachdem das Analysemodell 640 die Gewichtungswerte w1-wn anpasst, stellt das Analysemodell 640 erneut den Prozessbedingungsvektor 852 der neuralen Eingabeschicht 856a bereit. Da die Gewichtungswerte für die verschiedenen Knoten 858 des Analysemodells 640 unterschiedlich sind, wird die vorhergesagte Restdicke 868 anders als bei der vorherigen Iteration sein. Das Analysemodell 640 erzeugt erneut einen Fehlerwert 872 durch Vergleichen der tatsächlichen Restdicke 870 mit der vorhergesagten Restdicke 868.
  • Das Analysemodell 640 passt erneut die Gewichtungswerte w1-wn an, die mit den verschiedenen Knoten 858 verknüpft sind. Das Analysemodell 640 verarbeitet erneut den Prozessbedingungsvektor 852 und erzeugt eine vorhergesagte Restdicke 868 und den zugehörigen Fehlerwert 872. Der Trainingsprozess umfasst das Anpassen der Gewichtungswerte w1-wn in Iterationen, bis der Fehlerwert 872 minimiert ist.
  • 8B veranschaulicht einen einzelnen Prozessbedingungsvektor 852, der an das Analysemodell 640 übergeben wird. In der Praxis umfasst der Trainingsprozess das Übergeben einer großen Anzahl von Prozessbedingungsvektoren 852 durch das Analysemodell 640, das Erzeugen einer vorhergesagten Restdicke 868 für jeden Prozessbedingungsvektor 852 und das Erzeugen eines zugehörigen Fehlerwerts 872 für jede vorhergesagte Restdicke. Der Trainingsprozess kann auch das Erzeugen eines Sammelfehlerwerts umfassen, der den Durchschnittsfehler für alle vorhergesagten Restdicken für eine Charge von Prozessbedingungsvektoren 852 angibt. Das Analysemodell 640 passt die Gewichtungswerte w1wn nach dem Verarbeiten jeder Charge von Prozessbedingungsvektoren 852 an. Der Trainingsprozess fährt fort, bis der Durchschnittsfehler über allen Prozessbedingungsvektoren 852 geringer als eine ausgewählte Schwellentoleranz ist. Wenn der Durchschnittsfehler geringer als die ausgewählte Schwellentoleranz ist, ist das Training des Analysemodells 640 abgeschlossen und ist das Analysemodell derart trainiert, dass es die Dicke von dünnen Filmen basierend auf den Prozessbedingungen genau vorhersagt. Das Analysemodell 640 kann dann verwendet werden, um Dünnfilmdicken vorherzusagen und Prozessbedingungen auszuwählen, die zu einer gewünschten Dünnfilmdicke führen werden. Während der Nutzung des trainierten Modells 640 wird ein Prozessbedingungsvektor, der die aktuelle Prozessbedingung für einen aktuellen Dünnfilmätzprozess, der durchzuführen ist, darstellt, und der dasselbe Format wie der Prozessbedingungsvektor 852 aufweist, dem trainierten Analysemodell 640 bereitgestellt. Das trainierte Analysemodell 640 kann dann die Dicke eines dünnen Films vorhersagen, die aus jenen Prozessbedingungen resultieren wird.
  • Ein bestimmtes Beispiel eines auf einem neuralen Netzwerk basierten Analysemodells 640 ist in Verbindung mit 8B beschrieben worden. Es können jedoch andere Arten von auf einem neuralen Netzwerk basierten Analysemodellen oder Analysemodellen von anderen Arten als neurale Netzwerke verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. Ferner kann das neurale Netzwerk andere Anzahlen von neuralen Schichten aufweisen, die unterschiedliche Anzahlen von Knoten aufweisen, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • 9 ist ein Flussdiagramm eines Prozesses 900 zum dynamischen Auswählen von Prozessbedingungen für einen Dünnfilmätzprozess und zum Durchführen eines Dünnfilmätzprozesses gemäß einer Ausführungsform. Die verschiedenen Schritte des Prozesses 900 können Komponenten, Prozesse und Techniken verwenden, die in Verbindung mit den 1A-8B beschrieben sind. Dementsprechend wird 9 unter Bezugnahme auf 6-3B beschrieben.
  • Bei 902 stellt der Prozess 900 dem Analysemodell 640 Zieldünnfilmbedingungsdaten bereit. Die Zieldünnfilmbedingungsdaten kennzeichnen ausgewählte Charakteristiken eines dünnen Films, der durch einen Dünnfilmätzprozess zu bilden ist. Die Zieldünnfilmbedingungsdaten können eine Zielrestdicke, eine Zielzusammensetzung, eine Zielkristallstruktur oder sonstige Charakteristiken des dünnen Films umfassen. Die Zieldünnfilmbedingungsdaten können einen Bereich von Dicken umfassen. Die Zielbedingung oder Charakteristiken, die ausgewählt werden können, basieren auf (einer) Dünnfilmcharakteristik(en), die in dem Trainingsprozess verwendet wird/werden. In dem Beispiel von 8B konzentrierte sich der Trainingsprozess auf die Dünnfilmdicke.
  • Bei 904 stellt der Prozess 900 dem Analysemodell 640 statische Prozessbedingungen bereit. Die statischen Prozessbedingungen umfassen Prozessbedingungen, die nicht für einen nächsten Dünnfilmätzprozess angepasst werden. Die statischen Prozessbedingungen können die Zielvorrichtungsstrukturdichte umfassen, die die Dichte von Strukturen auf dem Wafer, auf welchem der Dünnfilmätzprozess durchgeführt werden wird, angibt. Die statischen Prozessbedingungen können eine effektive Ebenenbereichskristallausrichtung, einen effektiven Ebenenbereichsrauigkeitsindex, einen effektiven Seitenwandbereich der Merkmale auf der Oberfläche des Halbleiterwafers, einen freigelegten effektiven Seitenwandneigungswinkel, eine freigelegte Oberflächenfilmfunktionsgruppe, eine freigelegte Seitenwandfilmfunktionsgruppe, eine Rotation oder Neigung des Halbleiterwafers, Prozessgasparameter (Materialien, Phasen von Materialien und die Temperatur von Materialien), eine Restmenge von Materialfluid in den Fluidquellen 608 und 610, eine Restmenge von Fluid in den Spülquellen 612 und 614, eine Feuchtigkeit innerhalb einer Prozesskammer, ein Alter einer Ampulle, die bei dem Ätzprozess verwendet wird, eine Lichtabsorption oder -reflexion innerhalb der Prozesskammer, die Länge von Rohren oder Leitungen, die der Prozesskammer Fluide bereitstellen werden, oder sonstige Bedingungen umfassen. Die statischen Prozessbedingungen können andere Bedingungen als die zuvor beschriebenen umfassen, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. Ferner können in einigen Fällen einige der statischen Prozessbedingungen, die zuvor ausgelistet wurden, dynamische Prozessbedingungen sein, die einer Anpassung unterliegen, wie nachstehend ausführlicher beschrieben werden wird. In dem Beispiel von 8B umfassen die dynamischen Prozessbedingungen die Temperatur, den Druck, die Feuchtigkeit und die Strömungsrate. Statische Prozessbedingungen umfassen die Phase, das Ampullenalter, den Ätzbereich, die Ätzdichte und den Seitenwandwinkel.
  • Bei 906 wählt der Prozess 900 dynamische Prozessbedingungen für das Analysemodell gemäß einer Ausführungsform aus. Die dynamischen Prozessbedingungen können beliebige Prozessbedingungen umfassen, die nicht als statische Prozessbedingungen gekennzeichnet sind. Zum Beispiel können die Trainingssatzdaten eine große Anzahl von verschiedenen Arten von Prozessbedingungsdaten in den historischen Prozessbedingungsdaten 646 umfassen. Einige dieser Arten von Prozessbedingungen werden als statische Prozessbedingungen definiert werden und einige dieser Arten von Prozessbedingungen werden als dynamische Prozessbedingungen definiert werden. Dementsprechend können, wenn die statischen Prozessbedingungen bei Schritt 904 zugeführt werden, die verbleibenden Arten von Prozessbedingungen als dynamische Prozessbedingungen definiert werden. Das Analysemodell 640 kann zu Beginn Anfangswerte für die dynamischen Prozessbedingungen auswählen. Nachdem die Anfangswerte für die dynamischen Prozessbedingungen ausgewählt worden sind, weist das Analysemodell einen vollen Satz von Prozessbedingungen zum Analysieren auf. In einer Ausführungsform können die Anfangswerte für die dynamischen Prozessbedingungen basierend auf zuvor bestimmten Starterwerten oder gemäß anderen Schemas ausgewählt werden.
  • Die dynamischen Prozessbedingungen können die Strömungsrate von Fluiden oder Materialien von den Fluidquellen 608 und 610 während dem Ätzprozess umfassen. Die dynamischen Prozessbedingungen können die Strömungsrate von Fluiden oder Materialien von den Spülquellen 612 und 614 umfassen. Die dynamischen Prozessbedingungen können einen Druck innerhalb der Prozesskammer, eine Temperatur innerhalb der Prozesskammer, eine Feuchtigkeit innerhalb der Prozesskammer, Laufzeiten verschiedener Schritte des Ätzprozesses oder Spannungen oder ein elektrisches Feld, das innerhalb der Prozesskammer erzeugt wird, umfassen. Die dynamischen Prozessbedingungen können andere Arten von Bedingungen umfassen, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen.
  • Bei 908 erzeugt das Analysemodell 640 vorhergesagte Dünnfilmdaten basierend auf den statischen und den dynamischen Prozessbedingungen. Die vorhergesagten Dünnfilmdaten umfassen dieselben Arten von Dünnfilmcharakteristiken auf, die in den Zieldünnfilmbedingungsdaten festgelegt sind. Insbesondere umfassen die vorhergesagten Dünnfilmdaten die Arten von vorhergesagten Dünnfilmdaten aus dem Trainingsprozess, der in Verbindung mit 8A und 8B beschrieben ist. Zum Beispiel können die vorhergesagten Dünnfilmdaten die Dünnfilmdicke, die Filmzusammensetzung oder sonstige Parameter von dünnen Filmen umfassen.
  • Bei 910 vergleicht der Prozess die vorhergesagten Dünnfilmdaten mit den Zieldünnfilmdaten. Insbesondere vergleicht das Analysemodell 640 die vorhergesagten Dünnfilmdaten mit den Zieldünnfilmdaten. Der Vergleich gibt an, wie sehr die vorhergesagten Dünnfilmdaten mit den Zieldünnfilmdaten übereinstimmen. Der Vergleich kann angeben, ob die vorhergesagten Dünnfilmdaten innerhalb von Toleranzen oder Bereichen liegen, die von den Zieldünnfilmdaten festgelegt sind. Wenn zum Beispiel die Zieldünnfilmdicke zwischen 6 nm und 9 nm beträgt, dann wird der Vergleich angeben, ob die vorhergesagten Dünnfilmdaten in diesen Bereich fallen.
  • Wenn bei 912 die vorhergesagten Dünnfilmdaten nicht mit den Zieldünnfilmdaten übereinstimmen, dann fährt der Prozess zu 914 fort. Bei 914 passt das Analysemodell 640 die dynamischen Prozessbedingungsdaten an. Von 914 kehrt der Prozess zu 908 zurück. Bei 908 erzeugt das Analysemodell 640 erneut vorhergesagte Dünnfilmdaten basierend auf den statischen Prozessbedingungen und den angepassten dynamischen Prozessbedingungen. Das Analysemodell vergleicht dann die vorhergesagten Dünnfilmdaten mit den Zieldünnfilmdaten bei 910. Wenn bei 912 die vorhergesagten Dünnfilmdaten nicht mit den Zieldünnfilmdaten übereinstimmen, dann fährt der Prozess zu 914 fort und passt das Analysemodell 640 erneut die dynamischen Prozessbedingungen an. Dieser Prozess fährt fort, bis die vorhergesagten Dünnfilmdaten erzeugt werden, die mit den Zieldünnfilmdaten übereinstimmen. Wenn die vorhergesagten Dünnfilmdaten mit den Zieldünnfilmdaten 912 übereinstimmen, dann fährt der Prozess zu 916 fort.
  • Bei 916 passt der Prozess 900 die Dünnfilmprozessbedingungen des Halbleiterprozesssystems 600 basierend auf den dynamischen Prozessbedingungen, die zu vorhergesagten Dünnfilmdaten innerhalb der Zieldünnfilmdaten führten, an. Zum Beispiel kann das Steuersystem 624 Fluidströmungsraten, Ätzschrittlaufzeiten, den Druck, die Temperatur, die Feuchtigkeit oder sonstige Faktoren gemäß den dynamischen Prozessbedingungsdaten anpassen.
  • Bei 918 führt das Halbleiterprozesssystem 600 einen Dünnfilmätzprozess gemäß den angepassten dynamischen Prozessbedingungen durch, die durch das Analysemodell identifiziert werden. In einer Ausführungsform ist der Dünnfilmätzprozess ein ALE-Prozess. Es können jedoch andere Dünnfilmätzprozesse verwendet werden, ohne sich vom Umfang der vorliegenden Offenbarung zu entfernen. In einer Ausführungsform passt das Halbleiterprozesssystem 600 die Prozessparameter basierend auf dem Analysemodell zwischen einzelnen Ätzstufen in einem Dünnfilmätzprozess an. Zum Beispiel wird in einem ALE-Prozess der Dünnfilm jeweils mit einer Schicht geätzt. Das Analysemodell 640 kann Parameter identifizieren, die zum Ätzen der nächsten Schicht zu verwenden sind. Dementsprechend kann das Halbleiterprozesssystem die Ätzbedingungen zwischen den verschiedenen Ätzstufen anpassen.
  • In einer Ausführungsform weist eine integrierte Schaltung einen Transistor auf, der einen Anschluss aufweist. Die integrierte Schaltung weist eine dielektrische Schicht, die auf dem Anschluss angeordnet ist und einen ersten Graben aufweist, der den ersten Anschluss freilegt und eine Seitenwand aufweist, eine erste Barriereschicht, die auf dem Anschluss angeordnet ist, und eine zweite Schicht, die auf der ersten Barriereschicht und auf der Seitenwand angeordnet ist und eine vertikale Erstreckung in dem Graben aufweist, die höher als eine vertikale Erstreckung der ersten Barriereschicht in dem Graben ist, auf. Die integrierte Schaltung weist einen leitfähigen Stecker auf, der in dem Graben positioniert ist und in Kontakt mit der zweiten Barriereschicht steht.
  • In einer Ausführungsform umfasst ein Verfahren das Bilden einer dielektrischen Schicht auf einem Anschluss eines Transistors, das Freilegen des Anschlusses durch Bilden eines Grabens in der dielektrischen Schicht, und das Bilden einer ersten Titannitridschicht in dem Graben auf dem Anschluss des Transistors. Das Verfahren umfasst das Bilden einer zweiten Titannitridschicht in dem Graben über der ersten Barriereschicht und auf einer Seitenwand des Grabens und das Bilden eines Kobaltsteckers in dem Graben.
  • In einer Ausführungsform umfasst ein Verfahren das Trainieren eines Analysemodells mit einem Machine-Learning-Prozess zum Auswählen von Parametern für einen Atomschichtätzprozess und das Ätzen eines dünnen Films über einem Transistor. Das Verfahren umfasst das Auswählen von Ätzparametern zum Ätzen des dünnen Films mit dem Atomschichtprozess einschließlich der ausgewählten Ätzparameter.
  • Die Ausführungsformen der vorliegenden Offenbarung stellen dünne Filme mit einer zuverlässigen Dicke und Zusammensetzung bereit. Die Ausführungsformen der vorliegenden Offenbarung passen die Prozessparameter dynamisch an, um sicherzustellen, dass die dünnen Filme gewünschte Eigenschaften aufweisen.
  • Die zuvor beschrieben verschiedenen Ausführungsformen können kombiniert werden, um weitere Ausführungsformen bereitzustellen. Alle US-Patentanmeldungsveröffentlichungen und US-Patentanmeldungen, auf die in der vorliegenden Beschreibung Bezug genommen werden und/oder die in dem Anmeldungsdatenblatt aufgelistet sind, sind hierin durch Bezugnahme aufgenommen. Die Aspekte der Ausführungsformen können, falls nötig, abgeändert werden, um Konzepte der verschiedenen Patente, Anmeldungen und Veröffentlichungen zu verwenden, um noch weitere Ausführungsformen bereitzustellen.
  • Diese und andere Änderungen können bezüglich der Ausführungsformen im Lichte der zuvor ausführlich beschriebenen Beschreibung vorgenommen werden. Allgemein sollen in den folgenden Ansprüchen die verwendeten Begriffe nicht derart betrachtet werden, als dass sie die Ansprüche auf die spezifischen Ausführungsformen beschränken, die in der Beschreibung und den Ansprüchen offenbart sind, sondern sollen derart betrachtet werden, als dass sie sämtliche möglichen Ausführungsformen zusammen mit dem vollen Umfang von Äquivalenten, zu welchen diese Ansprüche berechtigt sind, umfassen. Dementsprechend sind die Ansprüche nicht durch die Offenbarung beschränkt.

Claims (20)

  1. Integrierte Schaltung, die Folgendes aufweist: einen Transistor, der einen Anschluss aufweist; eine dielektrische Schicht, die auf dem Anschluss angeordnet ist und einen ersten Graben aufweist, der den ersten Anschluss freilegt und eine Seitenwand aufweist; eine erste Barriereschicht, die auf dem Anschluss angeordnet ist; eine zweite Barriereschicht, die auf der ersten Barriereschicht und auf der Seitenwand angeordnet ist und eine vertikale Erstreckung in dem Graben aufweist, die höher als eine vertikale Erstreckung der ersten Barriereschicht in dem Graben ist; und einen leitfähigen Stecker, der in dem Graben positioniert ist und in Kontakt mit der zweiten Barriereschicht steht.
  2. Integrierte Schaltung nach Anspruch 1, wobei die erste Barriereschicht auf der Seitenwand unterhalb der zweiten Barriereschicht positioniert ist.
  3. Integrierte Schaltung nach Anspruch 1 oder 2, wobei die zweite Barriereschicht die erste Barriereschicht von der Seitenwand isoliert.
  4. Integrierte Schaltung nach einem der Ansprüche 1 bis 3, wobei die erste und die zweite Barriereschicht Titannitrid sind.
  5. Integrierte Schaltung nach Anspruch 4, wobei der leitfähige Stecker Kobalt ist.
  6. Integrierte Schaltung nach Anspruch 4 oder 5, wobei die erste Barriereschicht durch Nitridierung von Titan gebildet wird.
  7. Integrierte Schaltung nach Anspruch 6, wobei die erste Barriereschicht mit einem Atomschichtabscheidungsprozess gebildet wird.
  8. Integrierte Schaltung nach einem der Ansprüche 1 bis 7, wobei der Anschluss ein Metall-Gate des Transistors ist.
  9. Integrierte Schaltung nach einem der Ansprüche 1 bis 7, wobei der Anschluss ein Source-Anschluss des Transistors ist.
  10. Integrierte Schaltung nach einem der Ansprüche 1 bis 9, wobei der Transistor eine Vielzahl von Halbleiternanoschichten aufweist.
  11. Verfahren, umfassend: Bilden einer dielektrischen Schicht auf einem Anschluss eines Transistors; Freilegen des Anschlusses durch Bilden eines Grabens in der dielektrischen Schicht; Bilden einer ersten Titannitridschicht in dem Graben auf dem Anschluss des Transistors; Bilden einer zweiten Titannitridschicht in dem Graben oberhalb der ersten Barriereschicht und auf einer Seitenwand des Grabens; und Bilden eines Kobaltsteckers in dem Graben.
  12. Verfahren nach Anspruch 11, ferner umfassend: Auswählen von Parametern für einen Atomschichtätzprozess der ersten Barriereschicht; und Bilden der ersten Titannitridschicht in dem Graben durch Ätzen der ersten Titannitridschicht auf eine ausgewählte Höhe innerhalb des Grabens mit dem Atomschichtätzprozess einschließlich der ausgewählten Parameter.
  13. Verfahren nach Anspruch 11 oder 12, wobei das Bilden der ersten Titannitridschicht Folgendes umfasst: Abscheiden einer Schicht aus Titan; und Nitridieren des Titans.
  14. Verfahren nach Anspruch 13, wobei das Nitridieren der ersten Titannitridschicht das Strömen von NH3 bei Vorhandensein des Titans umfasst.
  15. Verfahren nach Anspruch 13 oder 14, wobei das Bilden der zweiten Titannitridschicht das Durchführen eines Atomschichtabscheidungsprozesses umfasst.
  16. Verfahren nach einem der Ansprüche 11 bis 15, wobei das Bilden des Kobaltsteckers das Durchführen eines elektrolosen Kobaltplattierungsprozesses umfasst.
  17. Verfahren, umfassend: Trainieren eines Analysemodells mit einem Machine-Learning-Prozess zum Auswählen von Parametern für einen Atomschichtätzprozess; Abscheiden eines dünnen Films über einem Transistor; Auswählen von Ätzparametern zum Ätzen des dünnen Films; und Ätzen des dünnen Films mit dem Atomschichtprozess einschließlich der ausgewählten Ätzparameter.
  18. Verfahren nach Anspruch 17, wobei die ausgewählten Parameter eine Anzahl von Atomschichtätzzyklen umfassen.
  19. Verfahren nach Anspruch 18, wobei die ausgewählten Parameter eine Strömungsrate eines Ätzfluids umfassen.
  20. Verfahren nach einem der Ansprüche 17 bis 19, wobei das Analysemodell die Parameter basierend zum Teil auf einer ausgewählten Restdicke des dünnen Films auswählt.
DE102021106324.8A 2020-06-22 2021-03-16 Halbleitervorrichtung mit kontaktstruktur Pending DE102021106324A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063042172P 2020-06-22 2020-06-22
US63/042,172 2020-06-22
US17/193,807 US11776900B2 (en) 2020-06-22 2021-03-05 Semiconductor device with contact structure
US17/193,807 2021-03-05

Publications (1)

Publication Number Publication Date
DE102021106324A1 true DE102021106324A1 (de) 2021-12-23

Family

ID=77933037

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021106324.8A Pending DE102021106324A1 (de) 2020-06-22 2021-03-16 Halbleitervorrichtung mit kontaktstruktur

Country Status (5)

Country Link
US (2) US11776900B2 (de)
KR (1) KR20210157858A (de)
CN (1) CN113488466A (de)
DE (1) DE102021106324A1 (de)
TW (1) TWI776494B (de)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140108960A (ko) 2013-03-04 2014-09-15 삼성전자주식회사 듀얼 금속 실리사이드층을 갖는 반도체 장치의 제조 방법
CN104078445A (zh) * 2013-03-29 2014-10-01 联华电子股份有限公司 插塞结构及其制作工艺
US9263281B2 (en) * 2013-08-30 2016-02-16 Vanguard International Semiconductor Corporation Contact plug and method for manufacturing the same
CN105374857B (zh) * 2014-08-26 2018-07-10 中芯国际集成电路制造(上海)有限公司 金属栅极结构及其形成方法
US9679813B2 (en) * 2015-05-12 2017-06-13 United Microelectronics Corp. Semiconductor structure and process for forming plug including layer with pulled back sidewall part
US9972478B2 (en) 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
KR102217242B1 (ko) * 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN109087864B (zh) 2017-06-14 2021-10-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10651292B2 (en) * 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US11520953B2 (en) * 2018-05-03 2022-12-06 Lam Research Corporation Predicting etch characteristics in thermal etching and atomic layer etching
US11417566B2 (en) 2018-07-31 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure with interconnect structure and method for forming the same
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
JP2020155562A (ja) * 2019-03-20 2020-09-24 ソニーセミコンダクタソリューションズ株式会社 半導体装置および半導体装置の製造方法
US11164960B1 (en) * 2020-04-28 2021-11-02 International Business Machines Corporation Transistor having in-situ doped nanosheets with gradient doped channel regions

Also Published As

Publication number Publication date
US20230378057A1 (en) 2023-11-23
TW202218085A (zh) 2022-05-01
US20210398900A1 (en) 2021-12-23
CN113488466A (zh) 2021-10-08
US11776900B2 (en) 2023-10-03
TWI776494B (zh) 2022-09-01
KR20210157858A (ko) 2021-12-29

Similar Documents

Publication Publication Date Title
DE112018004626B4 (de) Verfahren zur herstellung von nanoblatttransistoren
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102020107101B3 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE10361829B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102021106184A1 (de) Gatestruktur und verfahren
DE102014109562A1 (de) Kontaktstrukturen und Verfahren ihrer Ausbildung
DE102015113184A1 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102018108893A1 (de) Dielektrikum mit niedrigem k-Wert und Prozesse ihrer Herstellung
DE112018003323T5 (de) Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung
DE102019116992A1 (de) Bildungs- und in-situ-ätzprozesse für metallschichten
DE102014118993A1 (de) Halbleitervorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102021107089A1 (de) Kondensator, speicherbauelement und verfahren
DE112018002294T5 (de) Transistoren mit vertikalem transport mit gleichen gatestapeldicken
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102022100082A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung
DE102021106324A1 (de) Halbleitervorrichtung mit kontaktstruktur
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102020113894A1 (de) Selektive gate-luftabstandshalter-herstellung
DE102021106286A1 (de) Halbleitervorrichtung mit silizidgate-füllstruktur
DE102015206175A1 (de) Halbleiterbauelement mit Dünnschicht-Widerstand
DE102021106174A1 (de) Halbleitervorrichtung mit metallgate-füllstruktur
DE112020005385T5 (de) Struktur und verfahren zur herstellung eines resistiven speichers mit vertikal vorgegebenem filament
DE102016222390A1 (de) Verfahren, Vorrichtung und System fürMOL-Zwischenverbindungen ohne Titan-Liner
DE102018108894A1 (de) High-k-Metall-Gate(HKMG)-Verfahren zum Bilden einer Speicherzelle mit einem grossen Betriebsfenster
KR102581120B1 (ko) Rram 디바이스 구조물 및 제조 방법

Legal Events

Date Code Title Description
R012 Request for examination validly filed