DE102020114846A1 - Verfahren zum bilden von gestapelten schichten und daraus gebildete bauteile - Google Patents

Verfahren zum bilden von gestapelten schichten und daraus gebildete bauteile Download PDF

Info

Publication number
DE102020114846A1
DE102020114846A1 DE102020114846.1A DE102020114846A DE102020114846A1 DE 102020114846 A1 DE102020114846 A1 DE 102020114846A1 DE 102020114846 A DE102020114846 A DE 102020114846A DE 102020114846 A1 DE102020114846 A1 DE 102020114846A1
Authority
DE
Germany
Prior art keywords
layer
semiconductor layer
trench
passivation
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020114846.1A
Other languages
English (en)
Inventor
Shih-Yao Lin
Kuei-Yu Kao
Chen-Ping CHEN
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/870,389 external-priority patent/US11488858B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020114846A1 publication Critical patent/DE102020114846A1/de
Granted legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Nanotechnology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Ein Verfahren umfasst das Ätzen eines Halbleitersubstrats, um einen Graben zu bilden, wobei das Halbleitersubstrat eine Seitenwand aufweist, die dem Graben zugewandt ist, und das Abscheiden einer ersten Halbleiterschicht, die sich in den Graben erstreckt. Die erste Halbleiterschicht weist einen ersten Bodenteil auf einem Boden des Grabens und einen ersten Seitenwandteil an der Seitenwand des Halbleitersubstrats auf. Der erste Seitenwandteil wird entfernt, um die Seitenwand des Halbleitersubstrats aufzudecken. Das Verfahren umfasst ferner das Abscheiden einer zweiten Halbleiterschicht, die sich in den Graben erstreckt, wobei die zweite Halbleiterschicht einen zweiten Bodenteil über dem ersten Bodenteil und einen zweiten Seitenwandteil, der die Seitenwand des Halbleitersubstrats kontaktiert, aufweist. Der zweite Seitenwandteil wird entfernt, um die Seitenwand des Halbleitersubstrats aufzudecken.

Description

  • PRIORITÄTSANSPRUCH UND KREUZVERWEIS
  • Die vorliegende Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/927,547 , eingereicht am 29. Oktober 2019, unter dem Titel „Methods for Improving Transistor with Hybrid Design and Resulting Structures“, deren Inhalt hiermit zur Bezugnahme vollständig übernommen wird.
  • HINTERGRUND
  • Bei der Bildung von integrierten Schaltkreisen, um dem Design von verschiedenen Schaltkreisen gerecht zu werden, kann eine Vielzahl von Bauelementen auf demselben Chip integriert werden. Beispielsweise können FinFET-Transistoren, Nanofolientransistoren, Gate-All-Around-Transistoren (GAA-Transistoren) und dergleichen, auf dem gleichen Chip gebildet werden. Grenzflächenregionen werden verwendet, um die verschiedenartigen Bauelemente zu trennen. Um die Gesamtdichte der Bauelemente auf dem Chip zu verbessern, muss die belegte Chip-Fläche der Grenzflächenregionen reduziert werden.
  • Figurenliste
  • Die Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Figuren verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein. Es zeigen:
    • 1 bis 17, 18A, 18B-1 und 18B-2 die Querschnittsansichten von Zwischenstufen bei der Bildung von gestapelten Schichten und Transistoren gemäß einigen Ausführungsformen.
    • 19 bis 27 die Querschnittsansichten von Zwischenstufen bei der Bildung von gestapelten Schichten gemäß einigen Ausführungsformen.
    • 28 und 29 die Querschnittsansichten von Zwischenstufen bei der Bildung von gestapelten Schichten, wobei die oberen Schichten zunehmend schmaler als die jeweiligen unteren Schichten werden, gemäß einigen Ausführungsformen.
    • 30 und 31 die Querschnittsansichten von Zwischenstufen bei der Bildung von gestapelten Schichten, wobei die oberen Schichten zunehmend breiter als die jeweiligen unteren Schichten werden, gemäß einigen Ausführungsformen.
    • 32 schematisch die verschiedenen Bauelementregionen und die Grenzflächenregionen gemäß einigen Ausführungsformen.
    • 33 schematisch die verschiedenen Bauelementregionen zum Bilden verschiedenartiger Bauelemente und die Grenzflächenregionen gemäß einigen Ausführungsformen.
    • 34 einen Prozessablauf zum Bilden von gestapelten Schichten und eines Gate-All-Around-Transistors (GAA-Transistors) basierend auf den gestapelten Schichten gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt mehrere verschiedene Ausführungsformen oder Beispiele zum Umsetzen von verschiedenen Merkmalen der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen werden nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Es sind natürlich nur Beispiele, die nicht dazu bestimmt sind, einschränkend zu sein. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, bei denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sind, so dass das erste und das zweite Merkmal vielleicht nicht in direktem Kontakt stehen. Zudem kann die vorliegende Offenbarung Bezugszahlen und/oder Bezugsbuchstaben bei den diversen Beispielen wiederholen. Diese Wiederholung dient nur der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den besprochenen diversen Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können hier räumlich relative Begriffe, wie etwa „darunterliegend“, „unterhalb“, „unterer“, „darüberliegend“, „oberer“ und dergleichen, zur einfachen Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Figuren abgebildet, zu beschreiben. Die räumlich relativen Begriffe sind dazu gedacht, verschiedene Orientierungen des Bauelements im Gebrauch oder im Betrieb zusätzlich zu der in den Figuren abgebildeten Orientierung einzubeziehen. Die Vorrichtung kann anderweitig orientiert sein (z. B. um 90 Grad oder in anderen Orientierungen gedreht), und die hier verwendeten räumlich relativen Deskriptoren sind entsprechend auszulegen.
  • Eine gestapelte Schicht, die aus verschiedenen Materialien gebildet ist, und das Verfahren zum Bilden derselben werden gemäß einigen Ausführungsformen bereitgestellt. Die Zwischenstufen bei der Bildung der gestapelten Schichten sind gemäß einigen Ausführungsformen abgebildet. Es werden gewisse Varianten einiger Ausführungsformen besprochen. Die hier besprochenen Ausführungsformen sollen Beispiele bereitstellen, um das Herstellen oder Verwenden des Gegenstandes der vorliegenden Offenbarung zu ermöglichen, und der Fachmann wird ohne Weiteres Änderungen verstehen, die vorgenommen werden können und dabei im Rahmen des betrachteten Umfangs der verschiedenen Ausführungsformen bleiben. In den diversen Ansichten und den erläuternden Ausführungsformen werden die gleichen Bezugszahlen verwendet, um die gleichen Elemente zu bezeichnen. Obwohl Verfahrensausführungsformen besprochen werden können, wie sie in einer bestimmten Reihenfolge ausgeführt werden, können andere Verfahrensausführungsformen in einer beliebigen logischen Reihenfolge ausgeführt werden.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Bilden von gestapelten Schichten das Bilden eines Grabens, das Abscheiden einer ersten konformen Schicht, die aus einem ersten Material gebildet wird, das Entfernen der senkrechten Teile der ersten konformen Schicht, während die waagerechten Teile der ersten konformen Schicht nicht entfernt werden, das Abscheiden einer zweiten konformen Schicht, die aus einem zweiten Material gebildet wird, und das Entfernen der senkrechten Teile der zweiten konformen Schicht, während die waagerechten Teile der zweiten konformen Schicht nicht entfernt werden. Die sich ergebenden Schichten des ersten Materials und des zweiten Materials weisen die waagerechten Teile, aber nicht die senkrechten Teile in dem Graben auf. Da die senkrechten Teile eine gewisse Chip-Fläche belegen würden, reduziert sich durch das Entfernen der senkrechten Teile der Grenzflächenbereich der gestapelten Schichten. Es versteht sich, dass obwohl die nachstehend besprochenen Schichten 24 und 34 bei einigen Ausführungsformen Halbleiterschichten sind, diese Schichten auch aus anderen Materialien gebildet werden können, wie etwa aus dielektrischen Materialien, metallischen Materialien oder dergleichen.
  • 1 bis 17, 18A, 18B-1 und 18B-2 bilden die Querschnittsansichten von Zwischenstufen bei der Bildung von gestapelten Schichten und einen Gate-All-Around-Transistor (GAA-Transistor) gemäß einigen Ausführungsformen der vorliegenden Offenbarung ab. Die entsprechenden Prozesse sind auch schematisch in dem in 34 gezeigten Prozessablauf 200 wiedergegeben.
  • In 1 wird ein Substrat 20 bereitgestellt. Das Substrat 20 kann ein Halbleitersubstrat sein, wie etwa ein Volumenhalbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen, das (z. B. mit einem p- oder n-Dotierstoff) dotiert oder undotiert sein kann. Das Halbleitersubstrat 20 kann Teil eines Wafers 10 sein. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet ist. Die Isolatorschicht kann beispielsweise eine vergrabene Oxidschicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht wird auf einem Substrat, typischerweise einem Silizium- oder Glassubstrat, bereitgestellt. Andere Substrate, wie etwa ein mehrschichtiges oder Gradientensubstrat, können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats 20 Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid enthält; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP enthält; oder Kombinationen davon enthalten.
  • Mit Bezug auf 2 wird ein Graben 22 gebildet. Der jeweilige Prozess ist als Prozess 202 in dem in 34 gezeigten Prozessablauf abgebildet. Gemäß einigen Ausführungsformen wird das Ätzen unter Verwendung eines anisotropen Ätzprozesses ausgeführt. Beispielsweise wenn das Substrat 20 aus Silizium gebildet ist oder dieses enthält, wird das Ätzen unter Verwendung eines Trockenätzverfahrens ausgeführt, und das Ätzgas kann C2F6, CF4, SO2, eine Mischung aus HBr, Cl2 und O2, eine Mischung aus HBr, Cl2 und O2, eine Mischung aus HBr, Cl2, O2 und CF2 oder dergleichen enthalten. Die Tiefe D1 des Grabens 22 hängt mit der gewünschten Anzahl von Kanalschichten zusammen. Gemäß einigen Ausführungsformen liegt die Tiefe D1 des Grabens 22 in dem Bereich zwischen ungefähr 10 nm und ungefähr 200 nm. Der sich ergebende Graben 22 kann senkrechte Seitenwände aufweisen, wie in 2 gezeigt, mit einem Neigungswinkel θ, der gleich 90 Grad oder im Wesentlichen gleich 90 Grad ist, beispielsweise in dem Bereich zwischen ungefähr 89° und ungefähr 91° liegt. Der Neigungswinkel Θ kann auch kleiner als 89° sein, beispielsweise in dem Bereich zwischen ungefähr 85° und ungefähr 89° liegen, oder größer als ungefähr 91° sein, beispielsweise in dem Bereich zwischen ungefähr 91° und ungefähr 110° liegen. Der Neigungswinkel Θ kann auch kleiner als ungefähr 85° oder größer als ungefähr 110° sein.
  • 3 bis 6 bilden die Bildung der Halbleiterschicht 24-1 und der Passivierungsschicht 28-1 gemäß einigen Ausführungsformen der vorliegenden Offenbarung ab. In der gesamten Beschreibung werden die Halbleiterschichten 24-1 bis 24-n (13) auch insgesamt und einzeln als Halbleiterschichten 24 bezeichnet, und die Passivierungsschichten 28-1 bis 28-n (13) werden auch insgesamt und einzeln als Schichten 28 bezeichnet. 3 bildet die Abscheidung der Halbleiterschicht 24-1 ab. Der jeweilige Prozess ist als Prozess 204 in dem in 34 gezeigten Prozessablauf abgebildet. Die Halbleiterschicht 24-1 kann aus einem Material gebildet sein, das anders als das Material des Substrats 20 ist. Gemäß einigen Ausführungsformen ist die Halbleiterschicht 24-1 eine Halbleiterschicht, die aus SiGe, Germanium (ohne oder im Wesentlichen ohne Silizium, beispielsweise mit einem Si-Atomanteil von weniger als ungefähr 10 Prozent), SiC oder anderen Materialien gebildet wird. Gemäß einigen Ausführungsformen, bei denen SiGe verwendet wird, kann der Atomanteil von Germanium in dem Bereich zwischen ungefähr 30 Prozent und ungefähr 60 Prozent liegen. Höhere oder niedrigere Atomanteile von Germanium liegen ebenfalls im Umfang der vorliegenden Offenbarung. Gemäß einigen Ausführungsformen umfasst die Abscheidung ein epitaktisches Ziehen. Gemäß einigen Ausführungsformen, beispielsweise wenn GAA-Transistoren gebildet werden sollen, können die Halbleiterschichten 24-1 bis 24-n (13) in nachfolgenden Prozessen entfernt werden, und werden somit als Opferschichten bezeichnet. Gemäß anderen Ausführungsformen werden die Halbleiterschichten 24 nicht entfernt und können in der fertigen Struktur bleiben.
  • Die Abscheidung kann unter Verwendung eines konformen Abscheidungsverfahrens ausgeführt werden, das eine Atomlagenabscheidung (ALD), plasmagestützte Atomlagenabscheidung (PEALD), chemische Gasphasenabscheidung (CVD), plasmagestützte chemische Gasphasenabscheidung (PECVD), physikalische Gasphasenabscheidung (PVD) oder dergleichen umfassen kann. Entsprechend kann die Halbleiterschicht 24-1 eine konforme Schicht mit einer waagerechten Dicke TiA und einer senkrechten Dicke TiB sein, die gleich oder im Wesentlichen gleich sind. Beispielsweise können die waagerechte Dicke TiA und die senkrechte Dicke TiB eine Differenz aufweisen, die kleiner als ungefähr 20 Prozent ist. Gemäß einigen Ausführungsformen können die Dicken T1 (einschließlich TiA und T1B) in dem Bereich zwischen ungefähr 3 nm und ungefähr 100 nm liegen, wobei auch andere Dickenbereiche in Betracht gezogen werden.
  • Als Nächstes wird mit Bezug auf 4 ein Passivierungsprozess 26 ausgeführt, bei dem ein Prozessgas verwendet wird, um eine Oberflächenschicht eines waagerechten Teils der Halbleiterschicht 24-1 zu passivieren, so dass sie eine erhöhte Ätzselektivität in dem nachfolgenden Ätzprozess aufweist. Der jeweilige Prozess ist als Prozess 206 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Das Prozessgas kann Stickstoff (N2), Sauerstoff (02), SO2, CH4, CO2, CO, SiCl4 oder Kombinationen davon enthalten. Andere Gase, wie etwa Ar, He oder dergleichen, können ebenfalls zu dem Prozessgas hinzugefügt werden. Das Prozessgas ändert/behandelt die obere Oberflächenschicht der Halbleiterschicht 24-1, und die geänderte Oberflächenschicht wird als Passivierungsschicht 28-1 bezeichnet. Wenn das Prozessgas Sauerstoff enthält, oxidiert die Oberflächenschicht der Halbleiterschicht 24-1, und die Passivierungsschicht 28-1 ist eine sauerstoffhaltige Schicht. Wenn das Prozessgas Stickstoff enthält, nitriert die Oberflächenschicht der Halbleiterschicht 24-1, und die Passivierungsschicht 28-1 ist eine stickstoffhaltige Schicht. Entsprechend enthält die Passivierungsschicht 28-1 Elemente der Halbleiterschicht 24-1 und zusätzliche Elemente des Prozessgases und weist eine Eigenschaft auf, die anders als die des darunterliegenden unbehandelten Teils der Halbleiterschicht 24-1 ist.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung erfolgt die Passivierung in einem anisotropen Prozess, so dass die Oberflächenschicht der waagerechten Teile der Halbleiterschicht 24-1 passiviert wird, um die Passivierungsschicht 28-1 zu bilden, während auf den senkrechten Teilen der Halbleiterschicht 24-1 keine Passivierungsschicht gebildet wird. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Dicke T2 (einschließlich T2A und T2B) der Passivierungsschicht um ungefähr 15 Prozent der Dicke T1 der Halbleiterschicht 24-1 kleiner, und das Verhältnis T2/T1 ist kleiner als 0,2 und kann in dem Bereich zwischen ungefähr 0,05 und ungefähr 0,2 liegen. Gemäß alternativen Ausführungsformen umfasst der Behandlungsprozess sowohl eine senkrechte Komponente als auch eine waagerechte Komponente, wobei die senkrechte Komponente größer als die waagerechte Komponente ist. Wenn daraufhin die Passivierungsschicht 28-1 auf den waagerechten Teilen der Halbleiterschichten 24-1 gebildet wird, werden auch dünnere senkrechte Teile der Passivierungsschichten 28-1 auf den senkrechten Teile der Halbleiterschicht 24-1 gebildet. Die senkrechten Teile der Passivierungsschicht 28-1 sind unter Verwendung von gestrichelten Linien gezeigt, um anzugeben, dass sie gebildet werden können oder nicht. Die senkrechten Teile der Passivierungsschicht 28-1 können Dicken T2B aufweisen, die um ungefähr 50 Prozent oder um ungefähr 30 Prozent oder 20 Prozent der Dicken T2A der waagerechten Teile kleiner sind.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Passivierungsprozess mit einer Quellenleistung in einem Bereich zwischen ungefähr 10 Watt und ungefähr 4000 Watt ausgeführt. Die Vorspannungsleistung kann in dem Bereich zwischen ungefähr 10 Watt und ungefähr 4000 Watt liegen, so dass ein geeigneter anisotroper Effekt entsteht. Der Druck des Prozessgases kann in dem Bereich zwischen ungefähr 1 mTorr und ungefähr 800 mTorr liegen. Der Durchfluss des Prozessgases kann in dem Bereich zwischen ungefähr 1 sccm und ungefähr 5000 sccm liegen.
  • 5 bildet einen Ätzprozess 30 ab, der ein isotroper Ätzprozess sein kann. Der jeweilige Prozess ist als Prozess 208 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Der Ätzprozess erfolgt unter Verwendung eines Ätzgases, das die Halbleiterschicht 24-1 ätzt und die Passivierungsschicht 28-1 nicht ätzt. Das Ätzgas kann Cl2, HBr, CF4, CHF3, CH2F2, CH3F, C4F6 oder Kombinationen davon enthalten. Verdünnte Gase, wie etwa Ar, He, Ne oder dergleichen, können zu dem Ätzgas hinzugefügt werden. Bei dem Ätzprozess 30 kann Plasma eingeschaltet werden.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung erfolgt der Ätzprozess 30 mit einer Quellenleistung in einem Bereich zwischen ungefähr 10 Watt und ungefähr 4000 Watt. Es kann sein, dass keine Vorspannungsleistung angelegt wird (wobei die Vorspannungsleistung gleich 0 Watt ist), oder dass die Vorspannungsleistung sehr niedrig ist, beispielsweise geringer als ungefähr 0,5 Watt. Der Druck des Ätzgases kann in dem Bereich zwischen ungefähr 1 mTorr und ungefähr 800 mTorr liegen. Der Durchfluss des Ätzgases kann in dem Bereich zwischen ungefähr 1 sccm und ungefähr 5000 sccm liegen.
  • Bei dem Ätzprozess 30 ist die Ätzselektivität, bei der es sich um das Verhältnis der Ätzrate der Halbleiterschicht 24-1 zu der Ätzrate der Passivierungsschicht 28-1 handelt, höher als 3 sein, kann höher als ungefähr 5 sein, und kann in dem Bereich zwischen ungefähr 3 und ungefähr 50 liegen. Die waagerechten Teile der Halbleiterschicht 24-1 sind durch die Passivierungsschicht 28-1 geschützt und werden nicht geätzt. Andererseits werden die senkrechten Teile der Halbleiterschicht 24-1 geätzt. Wenn die Passivierungsschicht 28-1 auch auf den senkrechten Teilen der Halbleiterschicht 24-1 gebildet wird, da die senkrechten Teile der Passivierungsschicht 28-1 dünner als die waagerechten Teile von Passivierungsschicht 28-1 sind, werden die senkrechten Teile (mit einer geringen Ätzrate) schneller als die waagerechten Teile verbraucht, und dann werden die freigelegten senkrechten Teile der Halbleiterschicht 24-1 geätzt. Die sich ergebende Struktur ist in 6 gezeigt, in der die Seitenwände des Substrats 20 freigelegt sind. Es versteht sich, dass wenn die Passivierungsschicht 28-1 keine senkrechten Teile aufweist, sich die Passivierungsschicht 28-1 immer noch bis zu den Seitenwänden des Substrats 20 erstrecken kann, da die senkrechten Teile der Halbleiterschicht 24-1 (die geätzt werden) dünn sind. Alternativ kann die Passivierungsschicht 28-1 von den Seitenwänden des Substrats 20 beabstandet sein, und die Regionen 27 haben keine Passivierungsschicht 28-1. In der sich ergebenden Struktur, wie in 6 gezeigt, erstreckt sich die Halbleiterschicht 24-1 bis zu den Seitenwänden des Substrats 20, wobei keine senkrechten Teile der Halbleiterschicht 24-1 zurückbleiben. Die Seitenwände des Substrats 20, die dem Graben 22 zugewandt sind, werden somit wieder aufgedeckt.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann bei dem Ätzprozess 30 ein Nebenprodukt (nicht gezeigt) entstehen, das sich in dem Graben 22 ansammeln kann und sich oben auf der Opferschicht 28-1 bilden kann. Das Nebenprodukt hängt mit der Zusammensetzung der Halbleiterschicht 24-1 und des Ätzgases zusammen. Beispielsweise kann das Nebenprodukt gemäß einigen Ausführungsformen SiOxCly enthalten. Das Nebenprodukt wird beispielsweise unter Verwendung einer chemischen Lösung entfernt, die H2SO4, HNO3, NH3, HF, HCl oder Kombinationen davon enthält. Der jeweilige Prozess ist als Prozess 210 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Gase, wie etwa O3, H2 oder dergleichen, können zu der chemischen Lösung hinzugefügt werden. Das Lösemittel der chemischen Lösung kann Wasser, Alkohol oder dergleichen enthalten.
  • 7 bis 10 bilden die Bildung der Halbleiterschicht 34-1 und der Passivierungsschicht 38-1 gemäß einigen Ausführungsformen der vorliegenden Offenbarung ab. In der gesamten Beschreibung werden die Halbleiterschichten 34-1 bis 34-n (13) zusammen oder einzeln als Halbleiterschichten 34 bezeichnet, und die Passivierungsschichten 38-1 bis 38-n (13) werden ebenfalls zusammen und einzeln als Passivierungsschichten 38 bezeichnet. 7 bildet die Abscheidung der Halbleiterschicht 34-1 ab. Der jeweilige Prozess ist als Prozess 212 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Die Halbleiterschicht 34-1 ist aus einem anderen Halbleitermaterial als dem Material der Halbleiterschicht 24-1 gebildet. Gemäß einigen Ausführungsformen wird die Halbleiterschicht 34-1 aus Silizium (ohne Germanium), Silizium-Germanium oder dergleichen gebildet oder enthält diese. Wenn die beiden Halbleiterschichten 24-1 und 34-1 Silizium-Germanium enthalten, kann der Germaniumanteil der Halbleiterschicht 34-2 geringer als der Germaniumanteil der Halbleiterschicht 24-1 (beispielsweise etwa halb so groß) sein. Gemäß einigen Ausführungsformen, bei denen SiGe verwendet wird, kann der Atomanteil von Germanium niedriger als ungefähr 40 Prozent, niedriger als ungefähr 20 Prozent oder niedriger als ungefähr 10 Prozent sein. Die Halbleiterschichten können gemäß einigen Ausführungsformen als eine Kanalschicht des sich ergebenden GAA-Transistors verwendet werden, und werden daher auch als Kanalhalbleiterschichten 34 bezeichnet.
  • Die Abscheidung der Halbleiterschicht 34-1 kann unter Verwendung eines konformen Abscheidungsverfahrens erfolgen, wozu ALD, PEALD, PECVD, PVD oder dergleichen gehören können. Entsprechend kann die Halbleiterschicht 34-1 eine konforme Schicht sein, wobei die waagerechte Dicke T3A und die senkrechte Dicke T3B gleich oder im Wesentlichen gleich sind, beispielsweise mit einer Differenz, die kleiner als ungefähr 20 Prozent ist. Gemäß einigen Ausführungsformen können die Dicken T3 (einschließlich T3A und T3B) in dem Bereich zwischen ungefähr 3 nm und ungefähr 100 nm liegen, wobei auch andere Dickenbereiche in Betracht gezogen werden.
  • Als Nächstes wird mit Bezug auf 8 der Passivierungsprozess 36 ausgeführt, bei dem ein Prozessgas verwendet wird, um eine Oberflächenschicht der Halbleiterschicht 34-1 zu passivieren, so dass sie eine erhöhte Ätzselektivität im nachfolgenden Ätzprozess aufweist. Der jeweilige Prozess wird als Prozess 214 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Das Prozessgas kann auch Stickstoff (N2), Sauerstoff (O2), SO2, CH4, CO2, CO, SiCl4 oder Kombinationen davon enthalten. Andere Gase, wie etwa Ar, He, oder dergleichen, können ebenfalls zu dem Prozessgas hinzugefügt werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung, obwohl sowohl der Passivierungsprozess 26 (4) als auch der Passivierungsprozess 36 (8) unter Verwendung von Prozessgasen ausgeführt werden können, die aus der gleichen Gruppe von Prozessgasen ausgewählt werden, können die Prozesse gleich oder unterschiedlich sein. Außerdem kann, selbst wenn die Prozessgase für die Passivierungsprozesse 26 die gleichen sind und beispielsweise alle Sauerstoff enthalten, die Menge gewisser Gase abgestimmt werden, um eine höhere Ätzselektivität beim Ätzen der jeweiligen senkrechten Teile zu erreichen. Beispielsweise wenn die Halbleiterschicht 24-1 SiGe enthält, und Halbleiterschicht 34-1 Si enthält und frei von Ge ist, kann der Passivierungsprozess 36-1 mit einem höheren Durchfluss des Prozessgases ausgeführt werden als der Passivierungsprozess 26, wenn das Prozessgas 02 enthält. Die passivierte Oberflächenschicht der Halbleiterschicht 34-1 wird als Passivierungsschicht 38-1 bezeichnet. Wenn das Prozessgas Sauerstoff enthält, oxidiert die Oberflächenschicht der Halbleiterschicht 34-1, und die Passivierungsschicht 38-1 ist eine sauerstoffhaltige Schicht. Wenn das Prozessgas Stickstoff enthält, nitriert die Oberflächenschicht der Halbleiterschicht 34-1, und die Passivierungsschicht 38-1 ist eine stickstoffhaltige Schicht. Entsprechend hat die Passivierungsschicht 38-1 eine Eigenschaft, die anders als die des darunterliegenden unbehandelten Teils der Halbleiterschicht 34-1 ist.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist der Passivierungsprozess ein anisotroper Prozess, so dass die Oberflächenschicht der waagerechten Teile der Halbleiterschicht 34-1 passiviert wird, um die Passivierungsschicht 38-1 zu bilden, während auf den senkrechten Teilen der Halbleiterschicht 34-1 keine Passivierungsschicht gebildet wird. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Dicke T4 der Passivierungsschicht 38-1 kleiner als ungefähr 15 Prozent der Dicke T3 der Halbleiterschicht 34-1, und das Verhältnis T4/T3 kann in dem Bereich zwischen ungefähr 0,05 und ungefähr 0,2 liegen. Gemäß alternativen Ausführungsformen umfasst der Behandlungsprozess sowohl eine senkrechte Komponente als auch eine waagerechte Komponente, wobei die senkrechte Komponente größer als die waagerechte Komponente ist. Daraufhin wird, wenn die Passivierungsschicht 38-1 auf dem waagerechten Teil der Halbleiterschichten 34-1 gebildet wird, ein dünnerer senkrechter Abschnitt der Passivierungsschicht 38-1 auf den senkrechten Teilen der Halbleiterschicht 34-1 gebildet. Die senkrechten Teile der Passivierungsschicht 38-1 werden unter Verwendung von gestrichelten Linien gezeigt, um anzugeben, dass sie gebildet werden können oder nicht. Die senkrechten Teile der Passivierungsschicht 38-1 können Dicken T4B aufweisen, die um ungefähr 50 Prozent, 20 Prozent oder 10 Prozent der Dicken T4A der waagerechten Teile kleiner sind.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Passivierungsprozess 36-1 mit einer Quellenleistung in einem Bereich zwischen ungefähr 10 Watt und ungefähr 4000 Watt ausgeführt. Die Vorspannungsleistung kann in dem Bereich zwischen ungefähr 10 Watt und ungefähr 4000 Watt liegen. Der Druck des Prozessgases kann in dem Bereich zwischen ungefähr 1 mTorr und ungefähr 800 mTorr liegen. Der Durchfluss des Prozessgases kann in dem Bereich zwischen ungefähr 1 sccm und ungefähr 5000 sccm liegen.
  • 9 bildet einen Ätzprozess 40 ab, der ein isotroper Ätzprozess sein kann. Der jeweilige Prozess ist als Prozess 216 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Der Ätzprozess wird unter Verwendung eines Ätzgases ausgeführt, das die Halbleiterschicht 34-1 ätzt und die Passivierungsschicht 38-1 nicht ätzt. Das Ätzgas kann Cl2, HBr, CF4, CHF3, CH2F2, CH3F, C4F6 oder Kombinationen davon enthalten. Verdünnte Gase, wie etwa Ar, He, Ne oder dergleichen, können ebenfalls zu dem Prozessgas hinzugefügt werden. Bei dem Ätzprozess 40 kann Plasma eingeschaltet werden.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Ätzprozess 40 mit einer Quellenleistung in einem Bereich zwischen ungefähr 10 Watt und ungefähr 4000 Watt ausgeführt. Es kann sein, dass keine Vorspannungsleistung angelegt wird (Vorspannungsleistung gleich 0 Watt), oder dass die Vorspannungsleistung sehr niedrig ist, beispielsweise niedriger als ungefähr 0,5 Watt. Der Druck des Ätzgases kann in dem Bereich zwischen ungefähr 1 mTorr und ungefähr 800 mTorr liegen. Der Durchfluss des Ätzgases kann in dem Bereich zwischen ungefähr 1 sccm und ungefähr 5000 sccm liegen.
  • Bei dem Ätzprozess 40 ist die Ätzselektivität, bei der es sich um das Verhältnis der Ätzrate der Halbleiterschicht 34-1 zu der Ätzrate der Passivierungsschicht 38-1 handelt, hoch, beispielsweise höher als ungefähr 5, und kann in dem Bereich zwischen ungefähr 3 und ungefähr 50 liegen. Die waagerechten Teile der Halbleiterschicht 34-1 sind durch die Passivierungsschicht 38-1 geschützt und werden nicht geätzt. Andererseits werden die senkrechten Teile der Halbleiterschicht 34-1 geätzt. Die sich ergebende Struktur ist in 10 gezeigt, in der die Seitenwände des Substrats 20 wieder freigelegt werden. Es versteht sich, dass sich die Passivierungsschicht 38-1 bis zu den Seitenwänden des Substrats 20 erstrecken kann, oder von den Seitenwänden des Substrats 20 beabstandet sein kann. Die Halbleiterschicht 34-1 erstreckt sich bis zu den Seitenwänden des Substrats 20, wobei keine senkrechten Teile oder im Wesentlichen keine senkrechten Teile der Halbleiterschicht 34-1 zurückbleiben.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann bei dem Ätzprozess 40 ein Nebenprodukt entstehen, das gemäß einigen Ausführungsformen SiOxCly enthalten kann. Das Nebenprodukt kann unter Verwendung einer chemischen Lösung entfernt werden, die H2SO4, HNO3, NH3, HF, HCl oder Kombinationen davon enthält. Gase, wie etwa O3, H2 oder dergleichen, können zu der chemischen Lösung hinzugefügt werden. Der jeweilige Prozess ist als Prozess 218 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Das Lösemittel der chemischen Lösung kann Wasser, Alkohol oder dergleichen enthalten.
  • 11 und 12 bilden die Bildung von mehreren gestapelten Schichten ab. Der jeweilige Prozess ist als Prozess 220 in dem in 34 gezeigten Prozessablauf 200 abgebildet. 11 bildet die Bildung der Halbleiterschicht 24-2 und der Passivierungsschicht 28-2 ab. Das Material und die Bildungsprozesse sind ähnlich wie jeweils das Material und die Bildungsprozesse der Halbleiterschicht 24-1 und der Passivierungsschicht 28-1 und werden hier nicht wiederholt. 12 bildet die Bildung der Halbleiterschicht 34-2 und der Passivierungsschicht 38-2 ab. Das Material und die Bildungsprozesse sind ähnlich wie jeweils das Material und die Bildungsprozesse der Halbleiterschicht 34-1 und der Passivierungsschicht 38-1 und werden hier nicht wiederholt. Es können weitere Schichten gebildet sein oder nicht, wie etwa 24-n, 28-n, 34-n und 38-n, wobei n gleich 3, 4, 5 oder mehr, beispielsweise bis zu 10, sein kann. Die sich ergebende Struktur ist in 13 gezeigt, und die sich ergebenden gestapelten Schichten werden als gestapelte Schichten 44 bezeichnet. Gemäß den alternativen Ausführungsformen der vorliegenden Offenbarung werden nach dem Prozess wie in 12 gezeigt keine weiteren Schichten ähnlich wie die Schichten 24-1, 28-1, 34-1 und 38-1 gebildet. Die obere Schicht der gestapelten Schichten 44 kann eine Passivierungsschicht 38 eines Kanals 34 sein, oder kann die Passivierungsschicht 28 einer Halbleiterschicht 24 sein. Die gestapelte Schicht 44 kann den Graben 22 ganz ausfüllen, oder kann einen oberen Teil des Grabens 22 leer lassen.
  • 14 bildet einen Planarisierungsprozess ab, so dass überschüssiges Material außerhalb des Grabens 22 entfernt wird. Der jeweilige Prozess ist als Prozess 222 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Die Planarisierung kann eine der Halbleiterschichten 24-1, 28-1, 34-1 und 38-1 als eine CMP-Stoppschicht verwenden.
  • In den nachfolgenden Prozessen werden Transistoren gebildet. Gemäß einigen Ausführungsformen weisen die gebildeten Transistoren beispielsweise einen GAA-Transistor neben zwei FinFETs auf. Die Bildungsprozesse der Transistoren sind in 15 bis 17, 18A, 18B-1 und 18B-2 gezeigt.
  • Mit Bezug auf 15 werden die gestapelten Schichten 44 und Substrat 20 geätzt, wodurch die Gräben 46 gebildet werden. Der jeweilige Prozess ist als Prozess 224 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Das strukturierte Substrat 20 und die gestapelten Schichten 44 bilden jeweils die Halbleiterstreifen 48 und die strukturierten gestapelten Schichten 44. Als Nächstes werden mit Bezug auf 16 die Isolierregionen 50 gebildet, um die Gräben 46 auszufüllen. Die Isolierregionen 50 werden nachstehend alternativ als Flachgrabenisolierregionen (STI-Regionen) bezeichnet. Der jeweilige Prozess ist als Prozess 226 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Die STI-Regionen 50 können ein Liner-Dielektrikum (nicht gezeigt), das eine thermische Oxidschicht sein kann, die durch die thermische Oxidation einer Oberflächenschicht des Substrats 20 gebildet wird, und ein dielektrisches Material über dem Liner-Oxid aufweisen, wobei das dielektrische Material unter Verwendung einer fließfähigen chemischen Gasphasenabscheidung (FCVD), Rotationsbeschichtung oder dergleichen gebildet werden kann. Das dielektrische Material über dem Liner-Dielektrikum kann gemäß einigen Ausführungsformen Siliziumoxid, Siliziumnitrid oder dergleichen enthalten.
  • Mit Bezug auf 17 sind die STI-Regionen 50 vertieft, so dass die oberen Teile der Halbleiterstreifen 48 höher als die oberen Oberflächen der übrigen Teile der STI-Regionen 50 überstehen, um überstehende Finnen 48' zu bilden. Der jeweilige Prozess ist als ein Prozess 228 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Das Ätzen kann unter Verwendung eines Trockenätzprozesses erfolgen, wobei beispielsweise NF3 und NH3 als Ätzgase verwendet werden. Während des Ätzprozesses kann Plasma entstehen. Argon kann ebenfalls enthalten sein. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird die Vertiefung von STI-Regionen 50 unter Verwendung eines Nassätzprozesses ausgeführt. Die Ätzchemikalie kann beispielsweise HF enthalten. Die Seitenwände der gestapelten Schichten 44 werden somit freigelegt.
  • 18A, 18B-1 und 18B-2 bilden die Querschnittsansicht bei der Bildung des GAA-Transistors 52 und der FinFETs 58 ab. Der jeweilige Prozess ist als der Prozess 230 in dem in 34 gezeigten Prozessablauf 200 abgebildet. Es versteht sich, dass die Querschnittsansicht der Kanäle und der Gate-Stapel des GAA-Transistors 52 und des FinFETs 58 erzielt wird. Die Source-/Drain-Regionen der Transistoren liegen in verschiedenen Querschnitten und sind nicht gezeigt. Der GAA-Transistor 52 weist die Kanäle 34 (einschließlich 34-1 bis 34-n), die Gate-Dielektrika 54, welche die Kanäle 34 umgeben, und die Gate-Elektrode 56 auf. Der Bildungsprozess der GAA Transistoren 52 und der FinFETs 58 kann das Bilden von Dummy-Gate-Stapeln und Gate-Abstandshaltern auf den überstehenden Strukturen, die in 17 gezeigt sind, das Bilden von Source-/Drain-Regionen (nicht gezeigt) und dann das Bilden einer Kontaktätzstoppschicht (CESL) 64 und eines Zwischenschicht-Dielektrikums (ILD) 66 umfassen. Einer oder mehrere der Ätzprozesse werden dann ausgeführt, um die Dummy-Gate-Stapel, die Opferhalbleiterschichten 24, die Passivierungsschichten 28 (einschließlich 28-1 bis 28-n) und die Passivierungsschichten 38 (einschließlich 38-1 bis 38-n) zu entfernen. Die Kanalhalbleiterschichten 34-1 bis 34-n werden nicht entfernt. Die Gate-Dielektrika 54 und eine Ersatz-Gate-Elektrode 56 (die eine Metall-Gate-Elektrode sein kann) werden dann gebildet. Die FinFETs 58 werden ebenfalls gebildet. Es versteht sich, dass obwohl das abgebildete Ausführungsbeispiel zeigt, dass sich die GAA Transistoren 52 und die FinFETs 58 die gleiche Ersatz-Gate-Elektrode 54 teilen, wie in 18A gezeigt, sie sich bei anderen Ausführungsformen die Ersatz-Gate-Elektrode 54 vielleicht nicht teilen, wie in 18B-1 und 18B-2 gezeigt. 18B-1 bildet ab, dass das Schneiden zwischen den Gate-Stapeln der GAA Transistoren 52 und der FinFETs 58 vor dem Bilden der Ersatz-Gates ausgeführt wird. Entsprechend haben die Gate-Dielektrika 54 und Gate-Elektroden 56 (einschließlich beispielsweise der Austrittsarbeitsschicht 56-1 und anderer Metallschichten 56-2) Seitenwandteile. Gemäß diesen Ausführungsformen können die Schnitte an den Dummy-Gate-Elektroden (nicht gezeigt) ausgeführt werden. 18-2 bildet ab, dass das Schneiden zwischen den Gate-Stapeln der GAA Transistoren 52 und der FinFETs 58 direkt an den Ersatz-Gates erfolgt. Entsprechend weisen die Gate-Dielektrika 54 und die Gate-Elektroden 56 (einschließlich beispielsweise der Austrittsarbeitsschicht 56-1 und anderer Metallschichten 56-2) keine Seitenwandteile auf.
  • Gemäß einigen Ausführungsformen sind die FinFETs 58 und der GAA-Transistor 52 nahe aneinander angeordnet. Die Größe des Grenzflächenbereichs zwischen dem GAA-Transistor 52 und seinen benachbarten FinFETs 58 wird durch die gestapelten Schichten 44 beeinflusst. Falls beispielsweise die gestapelten Schichten 44 als konforme Schichten gebildet werden, die sich in den Graben 22 erstrecken (2), hat jede der gestapelten Schichten 44 Seitenwandteile, und alle Seitenwandteile belegen Chip-Fläche. Dies würde den Grenzflächenbereich zwischen den GAA-Transistoren und benachbarten Transistoren erheblich vergrößern. Durch selektives Entfernen der Seitenwandteile der gestapelten Schichten aus dem Graben wird der Grenzflächenbereich reduziert. Die Grenzflächenregion zwischen dem GAA-Transistor 52 und seinen benachbarten FinFETs 58 wird reduziert, und die Dichte der Bauelemente kann reduziert werden.
  • 19 bis 27 bilden die Querschnittsansichten der Zwischenstufen bei der Bildung der gestapelten Schichten 44' gemäß einigen Ausführungsformen der vorliegenden Offenbarung ab. Diese Ausführungsformen sind ähnlich wie die Ausführungsformen, die in 1 bis 17, 18A, 18B-1 und 18B-2 gezeigt sind, außer dass anstelle des Bildens von Passivierungsschichten vor dem Ätzen, um die waagerechten Teile der gestapelten Schichten zu schützen, das Ätzen ohne die Passivierungsschicht beginnt und das Nebenprodukt des Ätzens verwendet wird. Entsprechend werden die Ätzprozesse in zwei Schritten zum Entfernen der senkrechten Teile der gestapelten Schichten durch Ätzprozesse in einem Schritt ersetzt. Soweit nicht anders vorgegeben, sind die Materialien und die Bildungsprozesse der Komponenten bei diesen Ausführungsformen im Wesentlichen die gleichen wie ähnliche Komponenten, die mit ähnlichen Bezugszahlen wie bei den vorhergehenden Ausführungsformen versehen sind, die in 1 bis 18 gezeigt sind. Die Einzelheiten bezüglich der Bildungsprozesse und der Materialien der Komponenten, die in 19 bis 27 gezeigt sind, sind somit in der Besprechung der vorhergehenden Ausführungsformen zu finden.
  • Die anfänglichen Prozesse dieser Ausführungsformen sind im Wesentlichen die gleichen wie in 1 bis 3 gezeigt, und die sich ergebende Struktur ist in 19 gezeigt, in der die Halbleiterschicht 24-1 gebildet ist. Als Nächstes wird, wie in 20 gezeigt, ein isotroper Ätzprozess 70 ausgeführt. Das Prozessgas enthält ein oder mehrere Ätzgase und ein oder mehrere Passivierungsgase. Das Ätzgas kann Cl2, HBr, CF4, CHF3, CH2F2, CH3F, C4F6 oder Kombinationen davon enthalten. Das Passivierungsgas kann auch Stickstoff (N2), Sauerstoff (02), SO2, CH4, CO2, CO, SiCl4 oder Kombinationen davon enthalten. Andere Gase, wie etwa Ar, He, Ne oder dergleichen, können ebenfalls zu dem Prozessgas hinzugefügt werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Ätzprozess mit einer Quellenleistung in einem Bereich zwischen ungefähr 10 Watt und ungefähr 4000 Watt ausgeführt. Es kann sein, dass keine Vorspannungsleistung angelegt wird (wobei die Vorspannungsleistung gleich 0 Watt ist), oder dass die Vorspannungsleistung sehr niedrig ist, beispielsweise niedriger als ungefähr 0,5 Watt. Der Druck des Prozessgases kann in dem Bereich zwischen ungefähr 1 mTorr und ungefähr 800 mTorr liegen. Der Durchfluss des Prozessgases kann in dem Bereich zwischen ungefähr 1 sccm und ungefähr 5000 sccm liegen.
  • Mit Bezug auf 20 wird bei dem Ätzprozess 70 die Nebenproduktschicht 68 gebildet und wird auf den waagerechten Oberflächen der Halbleiterschicht 24-1 abgeschieden. Auf den senkrechten Teilen der Halbleiterschicht 24-1 ist es aufgrund des Leitfähigkeitseffekts weniger wahrscheinlich, dass die Nebenproduktschicht 68 zurückbleibt und dass sie aus der jeweiligen Ätzkammer herausgepumpt wird. Die Nebenproduktschicht 68 kann beispielsweise SiOBrCl enthalten. Die Nebenproduktschicht 68 schützt die waagerechten Teile der Halbleiterschicht 24-1, und somit können die senkrechten Teile der Halbleiterschicht 24-1 entfernt werden, wodurch sich die in 21 gezeigte Struktur ergibt. Die Prozessgase und Ätzbedingungen können angepasst werden, um die Generierung der Nebenproduktschicht 68 zu erhöhen, um einen geeigneten Schutz der waagerechten Teile der Halbleiterschicht 24-1 bereitzustellen. Beispielsweise kann der Durchfluss des oder der sauerstoffhaltigen Gase in dem Passivierungsgas erhöht werden, beispielsweise auf ungefähr 1 sccm und ungefähr 1000 sccm, so dass die Nebenproduktschicht 68 schneller generiert wird.
  • Mit Bezug auf 21 kann, nachdem die senkrechten Teile der Halbleiterschicht 24-1 entfernt wurden, kann die Nebenproduktschicht 68 in einem isotropen Ätzprozess 72 entfernt werden. Das Ätzmittel kann H2SO4, HNO3, NH3, HF, HCl oder Kombinationen davon enthalten. Gase, wie etwa O3, H2 oder dergleichen, können zu der chemischen Lösung hinzugefügt werden. Das Lösemittel der die chemischen Lösung kann Wasser, Alkohol oder dergleichen enthalten. Die sich ergebende Struktur ist in 22 gezeigt.
  • 23 bildet die Abscheidung der Halbleiterschicht 34-1 ab, die eine konforme Schicht sein kann. Als Nächstes wird, wie in 24 gezeigt, ein isotroper Ätzprozess 74 ausgeführt, um die senkrechten Teile der Halbleiterschicht 34-1 zu ätzen, während die waagerechten Teile der Halbleiterschicht 34-1 weniger geätzt werden und der größte Teil davon zurückbleibt. Der Ätzprozess kann unter Verwendung eines Prozessgases ausgeführt werden, das sowohl ein oder mehrere Ätzgase als auch ein oder mehrere Passivierungsgase enthält, wobei die beispielhaften Gase und Prozessbedingungen ähnlich wie die des in 20 gezeigten Prozesses 70 sein können. Die Nebenproduktschicht 76 wird somit auf den waagerechten Teilen der Halbleiterschicht 34-1 gebildet, so dass die senkrechten Teile der Halbleiterschicht 34-1 selektiv entfernt werden. 25 bildet den Ätzprozess 78 zum Entfernen der Nebenproduktschicht 76 ab.
  • In nachfolgenden Prozessen können weitere Halbleiterschichten 24 (einschließlich 24-2 bis 24-n) und Halbleiterschichten 34 (einschließlich 34-2 bis 34-n) unter Verwendung ähnlicher Prozesse wie in 19 bis 25 gezeigt gebildet werden. Die gestapelten Schichten 44', zu denen die Halbleiterschichten 34 und die Halbleiterschicht 24 gehören, werden somit gebildet. Ein Planarisierungsprozess wird dann ausgeführt, woraus sich die in 27 gezeigte Struktur ergibt. Die nachfolgenden Prozesse sind ähnlich wie die Prozesse, die in 15 bis 17, 18A, 18B-1 und 18B-2 gezeigt sind und hier nicht wiederholt werden.
  • Dadurch dass die Ausführungsformen der vorliegenden Offenbarung übernommen werden, können die gestapelten Schichten 44 oder 44' unterschiedliche Arten von Kantenprofilen aufweisen, die anders als die Kantenprofile sind, die in 18 und 27 gezeigt sind. Beispielsweise bilden 28 und 29 die Bildung der gestapelten Schichten 44 mit spitzen oder stumpfen Neigungswinkeln θ ab. 28 bildet das Ätzen des Substrats 20 ab, um den Graben 22 mit spitzen Neigungswinkeln θ zu bilden. Als Nächstes werden die Abscheidungsprozesse, wie in 3 bis 14 gezeigt, ausgeführt, um die gestapelten Schichten 44 zu bilden, wie in 29 gezeigt. Gemäß einigen Ausführungsformen, wie zuvor in den vorhergehenden Paragraphen erwähnt, kann der Neigungswinkel θ auch kleiner als 89° sein, beispielsweise in dem Bereich zwischen ungefähr 85° und ungefähr 89°. Der Neigungswinkel θ kann auch kleiner als ungefähr 85° sein.
  • 30 bildet das Ätzen des Substrats 20 ab, um den Graben 22 zu bilden, der stumpfe Neigungswinkel θ aufweist. Als Nächstes werden die Abscheidungsprozesse, wie in 3 bis 14 gezeigt, ausgeführt, um die gestapelten Schichten 44 zu bilden, wie in 31 gezeigt. Gemäß einigen Ausführungsformen, wie zuvor in vorhergehenden Paragraphen erwähnt, kann der Neigungswinkel θ größer als ungefähr 91° sein, beispielsweise in dem Bereich zwischen ungefähr 91° und ungefähr 110° liegen. Der Neigungswinkel θ kann auch größer als ungefähr 110° sein. Es versteht sich, dass die gestapelten Schichten 44 in 14 und die gestapelte Schicht 44', wie in 27 gezeigt, auch den Neigungswinkel θ wie in 29 oder 31 gezeigt haben kann.
  • 32 bildet eine Draufsicht einiger Regionen einschließlich der GAA-Region 110G, der FinFET-Regionen 100F und der Grenzflächenregionen 100I ab. Die GAA-Region 110G wird verwendet, um einen GAA-Transistor (beispielsweise ähnlich wie den GAA-Transistor 52 in 18A, 18B-1 und 18B-2) zu bilden. Die Draufsicht in 32 kann die Draufsicht der Struktur wiedergeben, die in 18A, 18B-1 und 18B-2 gezeigt ist. Die FinFET-Regionen 110F werden verwendet, um FinFETs (beispielsweise die FinFETs 58 in 18A, 18B-1 und 18B-2) aufzuweisen. Die Grenzflächenregionen 100I können die Schrägkantenregionen 100I in 29 oder 31 und die Regionen aufweisen, um Prozessspielraum bereitzustellen. Wie ersichtlich befinden sich, falls die gestapelten Schichten senkrechte Teile aufweisende konforme Schichten sind, die senkrechten Teile ebenfalls in den Grenzflächenregionen. Entsprechend können durch das Bilden der gestapelten Schichten in Gräben, deren senkrechte Teile entfernt wurden, die Grenzflächenregionen 100I miniminiert werden.
  • 33 bildet einige Teile eines Wafers 10 ab, die eine Vielzahl von Regionen einschließen können. Beispielsweise kann es eine Vielzahl (wie etwa 2, 3, ... bis zu 10 oder mehr) von Einzelkanal-Transistorregionen 100F geben, wobei die Transistoren darin unterschiedliche Designs aufweisen. Es kann eine Vielzahl (wie etwa 2, 3 oder mehreren) Mehrkanal-Transistorregionen geben (wie etwa die GAAs mit einer Vielzahl von Kanalschichten), wobei die Transistoren darin Designs aufweisen, die sich voneinander unterscheiden. Beispielsweise bildet 33 ab, dass bei den Kanalschichten in den GAA-Regionen 100GA und 100GB die Kanalhalbleiterschichten 34A und 34B aus verschiedenen Materialien gebildet sind. Die Einzelkanaltransistoren können unterschiedliche Kanalmaterialien, unterschiedliche Kanalbreiten oder dergleichen aufweisen. Die Grenzflächenregionen 100I trennen die mehreren Bauelementregionen. Dadurch dass die Ausführungsformen der vorliegenden Offenbarung übernommen werden, sind die Grenzflächenregionen zwischen den Bauelementregionen kleiner, und die Dichte der Bauelemente kann erhöht werden.
  • Es versteht sich, dass obwohl bei den Ausführungsbeispielen die Halbleiterschichten 24 und 34 beide aus Halbleitermaterialien gebildet sind, die Ausführungsformen auf das Bilden von gestapelten Schichten anwendbar sind, die aus andersartigen Materialien gebildet werden. Beispielsweise kann jede der Schichten 24 und 34 aus einem Material gebildet sein, das aus Halbleitermaterialien, dielektrischen Materialien, Metallen oder Metalllegierungen, nicht metallischen leitfähigen Materialien oder dergleichen ausgewählt wird. Dadurch dass die Ausführungsformen der vorliegenden Offenbarung übernommen werden, können die gestapelten Schichten mit waagerechten Teilen, jedoch ohne senkrechte Teile in den Gräben gebildet werden.
  • Die Ausführungsformen der vorliegenden Offenbarung bieten einige vorteilhafte Merkmale. Bei der Bildung von gestapelten Schichten werden die senkrechten Teile der gestapelten Schichten selektiv entfernt. Durch das Entfernen der senkrechten Teile der gestapelten Schichten reduziert sich die Chip-Fläche, die von den gestapelten Schichten belegt wird, und die Grenzflächenregionen zwischen verschiedenartigen Bauelementen sind kleiner. Die sich ergebenden Bauelemente können eine hohe Dichte aufweisen.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ätzen eines Halbleitersubstrats, um einen Graben zu bilden, wobei das Halbleitersubstrat eine Seitenwand aufweist, die dem Graben zugewandt ist; das Abscheiden einer ersten Halbleiterschicht, die sich in den Graben erstreckt, wobei die erste Halbleiterschicht einen ersten Bodenteil auf einem Boden des Grabens und einen ersten Seitenwandteil an der Seitenwand des Halbleitersubstrats aufweist; das Entfernen des ersten Seitenwandteils, um die Seitenwand des Halbleitersubstrats aufzudecken; das Abscheiden einer zweiten Halbleiterschicht, die sich in den Graben erstreckt, wobei die zweite Halbleiterschicht einen zweiten Bodenteil über dem ersten Bodenteil und einen zweiten Seitenwandteil, der die Seitenwand des Halbleitersubstrats kontaktiert, aufweist; und das Entfernen des zweiten Seitenwandteils, um die Seitenwand des Halbleitersubstrats aufzudecken. Bei einer Ausführungsform werden die erste Halbleiterschicht und die zweite Halbleiterschicht aus verschiedenen Halbleitermaterialien gebildet. Bei einer Ausführungsform umfasst das Entfernen des ersten Seitenwandteils das Ausführen eines Passivierungsprozesses an der ersten Halbleiterschicht; und nach dem Passivierungsprozess das Ausführen eines isotropen Ätzprozesses an der ersten Halbleiterschicht. Bei einer Ausführungsform umfasst der Passivierungsprozess einen anisotropen Passivierungsprozess an der ersten Halbleiterschicht. Bei einer Ausführungsform führt der Passivierungsprozess dazu, dass eine obere Oberflächenschicht der ersten Halbleiterschicht zu einer Passivierungsschicht wird, und bei dem isotropen Ätzprozess schützt die Passivierungsschicht den ersten unteren Teil davor, entfernt zu werden. Bei einer Ausführungsform umfasst das Entfernen des ersten Seitenwandteils das Ausführen eines isotropen Ätzprozesses an der ersten Halbleiterschicht unter Verwendung eines Prozessgases, wobei, wenn das Entfernen beginnt, sowohl der erste Bodenteil als auch der erste Seitenwandteil dem Prozessgas ausgesetzt werden. Bei einer Ausführungsform enthält das Prozessgas ein Ätzgas, das konfiguriert ist, um die erste Halbleiterschicht zu ätzen; und ein ein Nebenprodukt generierendes Gas, das konfiguriert ist, um ein Nebenprodukt zu generieren. Bei einer Ausführungsform wird bei dem isotropen Ätzprozess eine Nebenproduktschicht auf einer oberen Oberfläche des ersten Bodenteils generiert, um den ersten Bodenteil davor zu schützen, geätzt zu werden. Bei einer Ausführungsform umfasst das Verfahren ferner das Strukturieren der ersten Halbleiterschicht und der zweiten Halbleiterschicht, um einen strukturierten Schichtstapel zu bilden; das Entfernen der ersten Halbleiterschicht; und das Bilden eines Gate-Dielektrikums, das Teile aufweist, die sowohl eine obere Oberfläche als auch eine untere Oberfläche der zweiten Halbleiterschicht kontaktieren.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Bilden eines Grabens, der sich in ein Substrat erstreckt; das Abscheiden einer ersten Schicht, die Teile aufweist, die sich in den Graben erstrecken, wobei die erste Schicht erste waagerechte Teile und einen ersten senkrechten Teil in dem Graben und der eine Seitenwand des Substrats kontaktiert, aufweist; das Ausführen eines ersten anisotropen Behandlungsprozesses, um eine Passivierungsschicht der ersten waagerechten Teile der ersten Schicht zu bilden; und das Ausführen eines ersten isotropen Ätzprozesses, um den ersten senkrechten Teil der ersten Schicht zu entfernen. Bei einer Ausführungsform umfasst das Abscheiden der ersten Schicht einen epitaktischen Prozess, um ein Material zu ziehen, das aus der Gruppe ausgewählt wird, die aus Silizium, Germanium und Kombinationen davon besteht. Bei einer Ausführungsform umfasst der erste anisotrope Behandlungsprozess einen Plasmabehandlungsprozess, der ein Prozessgas verwendet, das aus der Gruppe ausgewählt wird, die aus Stickstoff (N2), Sauerstoff (O2), SO2, CH4, CO2, CO, SiCl4 und Kombinationen davon besteht. Bei einer Ausführungsform umfasst das Verfahren ferner das Abscheiden einer zweiten Schicht über der Passivierungsschicht und das Kontaktieren derselben. Bei einer Ausführungsform weist die zweite Schicht zusätzliche Teile auf, die sich in den Graben erstrecken, und wobei die zweite Schicht zweite waagerechte Teile und einen zweiten senkrechten Teil in dem Graben und der die Seitenwand des Substrats kontaktiert, aufweist.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ätzen eines Halbleitersubstrats, um einen Graben zu bilden; das Ziehen einer ersten Halbleiterschicht, die einen ersten Bodenteil auf einem Boden des Grabens aufweist; und einen ersten Seitenwandteil in dem Graben und das Kontaktieren einer Seitenwand des Halbleitersubstrats; das Bilden einer ersten Passivierungsschicht auf dem Boden des Grabens und über dem ersten Bodenteil der ersten Halbleiterschicht; und das Ätzen des ersten Seitenwandteils der ersten Halbleiterschicht, wobei der erste Bodenteil zurückbleibt, nachdem der erste Seitenwandteil geätzt wurde. Bei einer Ausführungsform, wenn die erste Passivierungsschicht auf dem Boden des Grabens gebildet wird, wird die erste Passivierungsschicht nicht auf dem ersten Seitenwandteil der ersten Halbleiterschicht gebildet. Bei einer Ausführungsform, wenn die erste Passivierungsschicht auf dem Boden des Grabens gebildet wird, wird ein Verlängerungsteil der ersten Passivierungsschicht auf dem ersten Seitenwandteil der ersten Halbleiterschicht gebildet, und der Verlängerungsteil ist dünner als die erste Passivierungsschicht auf dem Boden des Grabens. Bei einer Ausführungsform umfasst das Verfahren ferner das Ziehen einer zweiten Halbleiterschicht einschließlich eines zweiten Bodenteils auf dem Boden des Grabens und über der ersten Passivierungsschicht; und einen zweiten Seitenwandteil in dem Graben; das Bilden einer zweiten Passivierungsschicht auf dem Boden des Grabens und über dem zweiten Bodenteil der zweiten Halbleiterschicht; und das Ätzen des zweiten Seitenwandteils der zweiten Halbleiterschicht. Bei einer Ausführungsform umfasst das Verfahren ferner das Entfernen des ersten Bodenteils der ersten Halbleiterschicht. Bei einer Ausführungsform umfasst das Verfahren ferner das Entfernen der ersten Passivierungsschicht und der zweiten Passivierungsschicht.
  • Das Vorstehende erläutert die Merkmale von mehreren Ausführungsformen, damit der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann wird erkennen, dass er die vorliegende Offenbarung ohne Weiteres als eine Grundlage zum Entwerfen oder Ändern von anderen Prozessen und Strukturen zum Ausführen der gleichen Zwecke und/oder zum Erreichen der gleichen Vorteile der hier eingeführten Ausführungsformen verwenden kann. Der Fachmann wird auch erkennen, dass diese gleichwertigen Konstruktionen Geist und Umfang der vorliegenden Offenbarung nicht verlassen, und dass er diverse Änderungen, Ersetzungen und Abänderungen daran vornehmen kann, ohne Geist und Umfang der vorliegenden Offenbarung zu verlassen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/927547 [0001]

Claims (20)

  1. Verfahren, umfassend folgende Schritte: Ätzen eines Halbleitersubstrats, um einen Graben zu bilden, wobei das Halbleitersubstrat eine Seitenwand aufweist, die dem Graben zugewandt ist; Abscheiden einer ersten Halbleiterschicht, die sich in den Graben erstreckt, wobei die erste Halbleiterschicht einen ersten Bodenteil auf einem Boden des Grabens und einen ersten Seitenwandteil an der Seitenwand des Halbleitersubstrats aufweist; Entfernen des ersten Seitenwandteils, um die Seitenwand des Halbleitersubstrats aufzudecken; Abscheiden einer zweiten Halbleiterschicht, die sich in den Graben erstreckt, wobei die zweite Halbleiterschicht einen zweiten Bodenteil über dem ersten Bodenteil und einen zweiten Seitenwandteil, der die Seitenwand des Halbleitersubstrats kontaktiert, aufweist; und Entfernen des zweiten Seitenwandteils, um die Seitenwand des Halbleitersubstrats aufzudecken.
  2. Verfahren nach Anspruch 1, wobei die erste Halbleiterschicht und die zweite Halbleiterschicht aus verschiedenen Halbleitermaterialien gebildet werden.
  3. Verfahren nach Anspruch 1, wobei das Entfernen des ersten Seitenwandteils Folgendes umfasst: Ausführen eines Passivierungsprozesses an der ersten Halbleiterschicht; und nach dem Passivierungsprozess, Ausführen eines isotropen Ätzprozesses an der ersten Halbleiterschicht.
  4. Verfahren nach Anspruch 3, wobei der Passivierungsprozess einen anisotropen Passivierungsprozess an der ersten Halbleiterschicht umfasst.
  5. Verfahren nach Anspruch 3, wobei der Passivierungsprozess dazu führt, dass eine obere Oberflächenschicht der ersten Halbleiterschicht zu einer Passivierungsschicht wird, und bei dem isotropen Ätzprozess schützt die Passivierungsschicht den unteren Teil davor, entfernt zu werden.
  6. Verfahren nach Anspruch 1, wobei das Entfernen des ersten Seitenwandteils das Ausführen eines isotropen Ätzprozesses an der ersten Halbleiterschicht unter Verwendung eines Prozessgases umfasst, wobei, wenn das Entfernen beginnt, sowohl der erste Bodenteil als auch der erste Seitenwandteil dem Prozessgas ausgesetzt werden.
  7. Verfahren nach Anspruch 6, wobei das Prozessgas Folgendes enthält: ein Ätzgas, das konfiguriert ist, um die erste Halbleiterschicht zu ätzen; und ein ein Nebenprodukt generierendes Gas, das konfiguriert ist, um ein Nebenprodukt zu generieren.
  8. Verfahren nach Anspruch 6, wobei bei dem isotropen Ätzprozess eine Nebenproduktschicht auf einer oberen Oberfläche des ersten Bodenteils generiert wird, um den ersten Bodenteil davor zu schützen, geätzt zu werden.
  9. Verfahren nach Anspruch 1, ferner umfassend folgende Schritte: Strukturieren der ersten Halbleiterschicht und der zweiten Halbleiterschicht, um einen strukturierten Schichtstapel zu bilden; Entfernen die ersten Halbleiterschicht; und Bilden eines Gate-Dielektrikums, das Teile aufweist, die sowohl eine obere Oberfläche als auch eine untere Oberfläche der zweiten Halbleiterschicht kontaktieren.
  10. Verfahren, umfassend folgende Schritte: Bilden eines Grabens, der sich in ein Substrat erstreckt; Abscheiden einer ersten Schicht, die Teile aufweist, die sich in den Graben erstrecken, wobei die erste Schicht Folgendes aufweist: erste waagerechte Teile; und einen ersten senkrechten Teil in dem Graben und das Kontaktieren einer Seitenwand des Substrats; Ausführen eines ersten anisotropen Behandlungsprozesses, um eine Passivierungsschicht auf den ersten waagerechten Teile der ersten Schicht zu bilden; und Ausführen eines ersten isotropen Ätzprozesses, um den ersten senkrechten Teil der ersten Schicht zu entfernen.
  11. Verfahren nach Anspruch 10, wobei das Abscheiden der ersten Schicht einen epitaktischen Prozess umfasst, um ein Material zu ziehen, das aus der Gruppe ausgewählt wird, die aus Silizium, Germanium und Kombinationen davon besteht.
  12. Verfahren nach Anspruch 10 oder 11, wobei der erste anisotrope Behandlungsprozess einen Plasmabehandlungsprozess umfasst, der ein Prozessgas verwendet, das aus der Gruppe ausgewählt wird, die aus Stickstoff (N2), Sauerstoff (02), SO2, CH4, CO2, CO, SiCl4 und Kombinationen davon besteht.
  13. Verfahren nach Anspruch 10, ferner umfassend: Abscheiden einer zweiten Schicht über der Passivierungsschicht und Kontaktieren derselben.
  14. Verfahren nach Anspruch 13, wobei die zweite Schicht zusätzliche Teile aufweist, die sich in den Graben erstrecken, und wobei die zweite Schicht Folgendes umfasst: zweite waagerechte Teile; und einen zweiten senkrechten Teil in die Graben und Kontaktieren der Seitenwand des Substrats.
  15. Verfahren, umfassend folgende Schritte: Ätzen eines Halbleitersubstrats, um einen Graben zu bilden; Ziehen einer ersten Halbleiterschicht, die Folgendes aufweist: einen ersten Bodenteil auf einem Boden des Grabens; und einen ersten Seitenwandteil in dem Graben und Kontaktieren einer Seitenwand des Halbleitersubstrats; Bilden einer ersten Passivierungsschicht auf dem Boden des Grabens und über dem ersten Bodenteil der ersten Halbleiterschicht; und Ätzen des ersten Seitenwandteils der ersten Halbleiterschicht, wobei der erste Bodenteil zurückbleibt, nachdem der erste Seitenwandteil geätzt wurde.
  16. Verfahren nach Anspruch 15, wobei, wenn die erste Passivierungsschicht auf dem Boden des Grabens gebildet wird, die erste Passivierungsschicht nicht auf dem ersten Seitenwandteil der ersten Halbleiterschicht gebildet wird.
  17. Verfahren nach Anspruch 15, wobei, wenn die erste Passivierungsschicht auf dem Boden des Grabens gebildet wird, ein Verlängerungsteil der ersten Passivierungsschicht auf dem ersten Seitenwandteil der ersten Halbleiterschicht gebildet wird und der Verlängerungsteil dünner als die erste Passivierungsschicht auf dem Boden des Grabens ist.
  18. Verfahren nach Anspruch 15, ferner umfassend folgende Schritte: Ziehen einer zweiten Halbleiterschicht, die Folgendes aufweist: einen zweiten Bodenteil auf dem Boden des Grabens und über der ersten Passivierungsschicht; und einen zweiten Seitenwandteil in dem Graben; Bilden einer zweiten Passivierungsschicht auf dem Boden des Grabens und über dem zweiten Bodenteil der zweiten Halbleiterschicht; und Ätzen des zweiten Seitenwandteils der zweiten Halbleiterschicht.
  19. Verfahren nach Anspruch 18, ferner umfassend das Entfernen des ersten Bodenteils der ersten Halbleiterschicht.
  20. Verfahren nach Anspruch 19, ferner umfassend das Entfernen der ersten Passivierungsschicht und der zweiten Passivierungsschicht.
DE102020114846.1A 2019-10-29 2020-06-04 Verfahren zum bilden von gestapelten schichten und daraus gebildete bauteile Granted DE102020114846A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927547P 2019-10-29 2019-10-29
US62/927,547 2019-10-29
US16/870,389 US11488858B2 (en) 2019-10-29 2020-05-08 Methods for forming stacked layers and devices formed thereof
US16/870,389 2020-05-08

Publications (1)

Publication Number Publication Date
DE102020114846A1 true DE102020114846A1 (de) 2021-04-29

Family

ID=75379354

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020114846.1A Granted DE102020114846A1 (de) 2019-10-29 2020-06-04 Verfahren zum bilden von gestapelten schichten und daraus gebildete bauteile

Country Status (2)

Country Link
US (2) US11942363B2 (de)
DE (1) DE102020114846A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210408239A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090012583A (ko) 2007-07-30 2009-02-04 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
US8552535B2 (en) * 2008-11-14 2013-10-08 Semiconductor Components Industries, Llc Trench shielding structure for semiconductor device and method
KR20110108216A (ko) * 2010-03-26 2011-10-05 삼성전자주식회사 3차원 반도체 장치
US8647945B2 (en) 2010-12-03 2014-02-11 International Business Machines Corporation Method of forming substrate contact for semiconductor on insulator (SOI) substrate
US8765563B2 (en) 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
JP6082712B2 (ja) 2013-07-31 2017-02-15 東京エレクトロン株式会社 シリコン膜の成膜方法および薄膜の成膜方法
KR102589730B1 (ko) * 2016-06-02 2023-10-17 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
WO2018182609A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Vertical multi-gate thin film transistors
US10714598B2 (en) 2017-06-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device
US10170305B1 (en) 2017-08-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Selective film growth for bottom-up gap filling
US10811502B1 (en) 2019-05-30 2020-10-20 Nxp Usa, Inc. Method of manufacture of super-junction power semiconductor device
US11488858B2 (en) * 2019-10-29 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming stacked layers and devices formed thereof

Also Published As

Publication number Publication date
US11942363B2 (en) 2024-03-26
US20220384263A1 (en) 2022-12-01
US20240243011A1 (en) 2024-07-18

Similar Documents

Publication Publication Date Title
DE102017100783B4 (de) Ätzen von Dummy-Finnen, um Vertiefungen in einem Substrat auszubilden, und entsprechend hergestellte Schaltungsstruktur
DE102016119017B4 (de) Verfahren zur Halbleitervorrichtungsherstellung mit verbesserter Source-Drain-Epitaxie
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE102017124145B4 (de) Verfahren zur Ausbildung von Source-/Drain-Epitaxiegebieten von FinFETs
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102019112394B4 (de) Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung
DE102015117320A1 (de) Halbleitervorrichtung und deren herstellungsverfahren
DE102019126339B4 (de) Rückstandsentfernung in metall-gate schneideprozess
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102019117322A1 (de) Silizium-mischschicht zur blockierung von diffusion
DE102019127213A1 (de) Gate-Widerstandsreduktion durch leitfähige Schicht mit niedriger Resistivität
DE102019118621A1 (de) Strukturieren ultraschmaler gräben durch plasmaätzen
DE102019121152A1 (de) Sperrfreier ansatz zur bildung von kontaktstiften
DE102019129773B4 (de) Transistoren mit reduzierten defekten und verfahren zu deren herstellung
DE102019121169B4 (de) Spaltfüllung mit einer kohlenstoff- und stickstoff-dotierten schicht
DE102020108047A1 (de) Finnen-feldeffekttransistor-bauelement und verfahren zum bilden desselben
DE102018128193B4 (de) Gate-Schnitt-Prozesse, insbesondere für Metall-Gates
DE102019113425A1 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102020112763B4 (de) Verfahren zur gateprofilsteuerung durch seitenwandschutz während der ätzung
DE102019119716B4 (de) Isolation von source/drain-regionen zweier multi-gate-transistoren in dichter anordnung
DE102020114846A1 (de) Verfahren zum bilden von gestapelten schichten und daraus gebildete bauteile
DE102021114139B4 (de) Verfahren zum bilden von mehrschichtigen photoätzmasken mit organischen undanorganischen materialien
DE102019128469B4 (de) Finnenfeldeffekttransistorbauelement und verfahren zu dessen herstellung
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102020114865A1 (de) Nicht-konforme verkappungsschicht und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division