DE102018126132B4 - Ausführen eines Ausheilungsprozesses zum Verbessern der Finnenqualität eines FinFET-Halbleiters - Google Patents

Ausführen eines Ausheilungsprozesses zum Verbessern der Finnenqualität eines FinFET-Halbleiters Download PDF

Info

Publication number
DE102018126132B4
DE102018126132B4 DE102018126132.2A DE102018126132A DE102018126132B4 DE 102018126132 B4 DE102018126132 B4 DE 102018126132B4 DE 102018126132 A DE102018126132 A DE 102018126132A DE 102018126132 B4 DE102018126132 B4 DE 102018126132B4
Authority
DE
Germany
Prior art keywords
fin
fin structure
anneal
silicon
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018126132.2A
Other languages
English (en)
Other versions
DE102018126132A1 (de
Inventor
Tzung-Yi Tsai
Yen-Ming Chen
Tsung-Lin Lee
Ho Po-Kang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018126132A1 publication Critical patent/DE102018126132A1/de
Application granted granted Critical
Publication of DE102018126132B4 publication Critical patent/DE102018126132B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Led Devices (AREA)

Abstract

Verfahren (1200) zur Fertigung einer Halbleitervorrichtung, das Folgendes umfasst:Bereitstellen (1210) einer Halbleitervorrichtung mit einer Finnenstruktur, die vertikal aufwärts vorsteht;Reduzieren (1220) einer seitlichen Abmessung der Finnenstruktur;Bilden (1230) einer Halbleiterschicht auf der Finnenstruktur, nachdem die seitliche Abmessung verringert wurde;Ausführen (1240) eines Ausheilungsprozesses an der Halbleitervorrichtung nach dem Bilden der Halbleiterschicht;Bilden (1250) einer Dielektrikumschicht über der Finnenstruktur nach dem Ausführen des Ausheilungsprozesses;wobei:das Bereitstellen (1210) der Halbleitervorrichtung das Bilden einer Finnenstruktur, die Silizium-Germanium aufweist, als die Finnenstruktur umfasst;das Bilden (1230) der Halbleiterschicht das epitaxiale Züchten einer Siliziumschicht als die Halbleiterschicht umfasst; unddas Bilden (1250) der Dielektrikumschicht das Oxidieren mindestens eines Abschnitts der Halbleiterschicht zu der Dielektrikumschicht umfasst.

Description

  • HINTERGRUND
  • In ihrem Bemühen um eine höhere Bauelementdichte, höhere Leistung und geringere Kosten ist die Halbleiterindustrie in den Bereich der Nanometertechnologieprozessknoten vorgedrungen. Im Zuge dieses Fortschritts haben die Herausforderungen aufgrund von Fertigungs- und Designproblemen zur Entwicklung dreidimensionaler Designs, wie zum Beispiel von Finnen-Feldeffekttransistor (FinFET)-Vorrichtungen, geführt. Ein typisches FinFET-Bauelement wird mit einer dünnen Finne (oder finnenartigen Struktur) hergestellt, die sich von einem Substrat erstreckt. Die Finne enthält gewöhnlich Silizium und bildet den Körper des Transistorbauelements. Der Kanal des Transistors wird in dieser vertikalen Finne gebildet. Ein Gate wird über der Finne (zum Beispiel um die Finne herum) angeordnet. Diese Art von Gate erlaubt eine bessere Kontrolle über den Kanal. Zu weiteren Vorteilen von FinFET-Vorrichtungen gehören ein reduzierter Kurzkanaleffekt und ein höherer Stromfluss.
  • Jedoch können herkömmliche FinFET-Vorrichtungen trotzdem gewisse Nachteile haben. Zum Beispiel können herkömmliche Verfahren zur Fertigung von Finnenstrukturen Finnen mit gerauten Oberflächen und/oder übermäßig hohem Germaniumoxidgehalt bilden. Dies kann zu Problemen wie zum Beispiel Leitungsbreitenrauigkeit, Leitungsrandrauigkeit, hohem Widerstand, geringer Trägermobilität, Defect of Interface Traps (DIT)-Defekten usw. führen.
  • Obgleich also die existierenden FinFET-Vorrichtungen und ihre Fertigung allgemein für ihre vorgesehenen Zwecke ausreichend sind, sind sie noch nicht in jeder Hinsicht zufriedenstellend.
  • US 2016 / 0 126 353 A1 offenbart ein Verfahren umfassend das Ausbilden einer Rippe auf einem Halbleitersubstrat und das Ausbilden von Aussparungen an Seitenwänden der Rippe. In den Aussparungen ist ein Siliziumlegierungsmaterial ausgebildet.
  • US 2016 / 0 379 831 A1 offenbart ein Verfahren umfassend das Ausbilden einer Siliziumdeckschicht auf einer Halbleiterfinne, das Ausbilden einer Grenzflächenschicht über der Siliziumdeckschicht, das Ausbilden eines High-k-Gate-Dielektrikums über der Grenzflächenschicht und das Ausbilden einer Spülmetallschicht über dem High-k-Gate-Dielektrikum.
  • US 2006 / 0 076 625 A1 offenbart Feldeffekttransistoren (FETs) und Verfahren zur Herstellung von FETs, die eine Kanalschicht an Seitenwänden einer Struktur auf einem Halbleitersubstrat aufweisen und mindestens einen Teil der Kanalschicht in einer Richtung haben, in der sich die Seitenwände der Struktur von dem Halbleitersubstrat erstrecken.
  • Die vorliegende Erfindung ist definiert durch die unabhängigen Ansprüche. Spezifische Ausführungsformen sind definiert durch die abhängigen Ansprüche.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie in Verbindung mit den beiliegenden Figuren gelesen wird. Es wird darauf hingewiesen, dass gemäß der gängigen Praxis in der Industrie verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Merkmale können im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden. Es wird des Weiteren darauf hingewiesen, dass die beiliegenden Zeichnungen nur typische Ausführungsformen dieser Erfindung veranschaulichen und darum nicht in einem den Geltungsbereich einschränkenden Sinne verstanden werden dürfen, weil die Erfindung ebenso auf andere Ausführungsformen Anwendung finden kann.
    • 1 ist eine perspektivische Ansicht einer beispielhaften FinFET-Vorrichtung.
    • 2-6, 8, 10, 12 und 14 veranschaulichen fragmentarische dreidimensionale perspektivische Ansichten eines Abschnitts einer FinFET-Vorrichtung auf verschiedenen Stufen der Fertigung gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 7, 9, 11 und 13 veranschaulichen fragmentarische quergeschnittene Seitenansichten eines Abschnitts einer FinFET-Vorrichtung auf verschiedenen Stufen der Fertigung gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 15A veranschaulicht ein Diagramm eines Spitzenausheilungsprozesses gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 15B veranschaulicht ein Diagramm eines Durchwärm-Ausheilungsprozesses gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 16 veranschaulicht Draufsichten einer Finnenstruktur, die gemäß herkömmlichen Verfahren hergestellt wird, und einer Finnenstruktur, die gemäß einer Ausführungsform der vorliegenden Offenbarung hergestellt wird.
    • 17 veranschaulicht ein Kurvendiagramm, das eine Mobilitätsverbesserung und Defektverringerung gemäß einer Ausführungsform der vorliegenden Offenbarung zeigt.
    • 18 ist ein Flussdiagramm, das ein Verfahren zur Fertigung einer FinFET-Vorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des hier besprochenen Gegenstandes bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Zum Beispiel kann die Ausbildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen aufweisen, bei denen die ersten und zweiten Strukturelemente in direktem Kontakt ausgebildet werden, und können auch Ausführungsformen aufweisen, bei denen weitere Strukturelemente zwischen den ersten und zweiten Strukturelementen ausgebildet sein können, so dass die ersten und zweiten Strukturelemente möglicherweise nicht in direktem Kontakt stehen. Des Weiteren kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, im vorliegenden Text verwendet werden, um die Beschreibung zu vereinfachen, um die Beziehung eines Elements oder Strukturelements zu einem oder mehreren anderen Elementen oder Strukturelementen zu beschreiben, wie in den Figuren veranschaulicht. Die räumlich relativen Begriffe sollen neben der in den Figuren gezeigten Ausrichtung noch weitere Ausrichtungen der Vorrichtung während des Gebrauchs oder Betriebes aufweisen. Die Vorrichtung kann auch anders ausgerichtet (90 Grad gedreht oder anders ausgerichtet) sein, und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Des Weiteren, wenn eine Zahl oder ein Bereich von Zahlen mit „etwa“, „ungefähr“ und dergleichen beschrieben wird, so der Begriff auch Zahlen aufweisen, die innerhalb eines sinnvollen Bereichs liegen, der die genannte Zahl umfasst, wie zum Beispiel innerhalb ±10 % der genannten Zahl, oder andere Werte, die dem Verständnis des Fachmannes entsprechen. Zum Beispiel umfasst der Begriff „etwa 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Die vorliegende Offenbarung betrifft ein Verfahren zum Ausheilen oder Tempern (engl.: Annealing, im Folgenden als Ausheilen bezeichnet) einer Halbleitervorrichtung zum Verbessern der Qualität der Halbleitervorrichtung. Um die verschiedenen Aspekte der vorliegenden Offenbarung zu veranschaulichen, wird im Folgenden ein FinFET-Fertigungsprozess als ein Beispiel besprochen. In dieser Hinsicht ist eine FinFET-Vorrichtung ein Finnen-artiges Feldeffekttransistor-Bauelement, das in der Halbleiterindustrie zunehmend Verbreitung gefunden hat. Die FinFET-Vorrichtung kann eine Complementary Metal-Oxid-Semiconductor (CMOS)-Vorrichtung sein, die eine P-Typ-Metal-Oxid-Semiconductor (PMOS)-FinFET-Vorrichtung und eine N-Typ-Metal-Oxid-Semiconductor (NMOS)-FinFET-Vorrichtung aufweist. Die folgende Offenbarung wird mit einem oder mehreren FinFET-Beispielen fortgesetzt, um verschiedene Ausführungsformen der vorliegenden Offenbarung zu veranschaulichen.
  • In 1 ist eine perspektivische Ansicht einer beispielhaften FinFET-Vorrichtung 10 veranschaulicht. Die FinFET-Vorrichtungsstruktur 10 umfasst eine N-Typ-FinFET-Vorrichtungsstruktur (NMOS) 15 und eine P-Typ-FinFET-Vorrichtungsstruktur (PMOS) 25. Die FinFET-Vorrichtungsstruktur 10 umfasst ein Substrat 102. Das Substrat 102 kann aus Silizium oder anderen Halbleitermaterialien bestehen. Alternativ oder zusätzlich kann das Substrat 102 auch andere elementare Halbleitermaterialien wie zum Beispiel Germanium aufweisen. In einigen Ausführungsformen besteht das Substrat 102 aus einem Verbundhalbleiter wie zum Beispiel Siliziumcarbid, Gallium-Arsen, Indiumarsenid oder Indiumphosphid. In einigen Ausführungsformen besteht das Substrat 102 aus einem Legierungshalbleiter wie zum Beispiel Silizium-Germanium, Silizium-Germaniumcarbid, Gallium-Arsenphosphid oder Gallium-Indiumphosphid. In einigen Ausführungsformen umfasst das Substrat 102 eine Epitaxialschicht. Zum Beispiel kann das Substrat 102 eine Epitaxialschicht über einem Volumenhalbleiter aufweisen.
  • Die FinFET-Vorrichtungsstruktur 10 umfasst außerdem eine oder mehrere Finnenstrukturen 104 (zum Beispiel Si-Finnen), die sich von dem Substrat 102 in der Z-Richtung erstrecken und von Abstandshaltern 105 in der Y-Richtung umgeben sind. Die Finnenstruktur 104 ist in der X-Richtung länglich und kann optional Germanium (Ge) aufweisen. Die Finnenstruktur 104 kann unter Verwendung geeigneter Prozesse, wie zum Beispiel Fotolithografie- und Ätzprozesse, gebildet werden. In einigen Ausführungsformen wird die Finnenstruktur 104 mittels Trockenätz- oder Plasmaprozessen aus dem Substrat 102 geätzt. In einigen anderen Ausführungsformen kann die Finnenstruktur 104 durch einen Double-Strukturieren Lithography (DPL) Prozess gebildet werden. DPL ist ein Verfahren zum Herstellen einer Struktur auf einem Substrat durch Teilen der Struktur in zwei verschachtelte Strukturen. DPL erlaubt eine höhere Dichte von Strukturelementen (zum Beispiel Finnen). Die Finnenstruktur 104 umfasst außerdem ein epitaxial gezüchtetes Material 12, das (zusammen mit Abschnitten der Finnenstruktur 104) als Source/Drain der FinFET-Vorrichtungsstruktur 10 dienen kann.
  • Eine Isolierstruktur 108, wie zum Beispiel eine Shallow Trench Isolation (STI)-Struktur, wird so ausgebildet, dass sie die Finnenstruktur 104 umgibt. In einigen Ausführungsformen ist ein unterer Abschnitt der Finnenstruktur 104 von der Isolierstruktur 108 umgeben, und ein oberer Abschnitt der Finnenstruktur 104 steht von der Isolierstruktur 108 hervor, wie in 1 gezeigt. Oder anders ausgedrückt: Ein Abschnitt der Finnenstruktur 104 ist in die Isolierstruktur 108 eingebettet. Die Isolierstruktur 108 verhindert elektrische Interferenzen oder Übersprechen.
  • Die FinFET-Vorrichtungsstruktur 10 umfasst des Weiteren eine Gate-Stapel-Struktur, die eine Gate-Elektrode 110 und eine Gate-Dielektrikumschicht (nicht gezeigt) unter der Gate-Elektrode 110 aufweist. Die Gate-Elektrode 110 kann Polysilizium oder Metall aufweisen. Zu Metall gehören Tantalnitrid (TaN), Nickel-Silizium (NiSi), Cobalt-Silizium (CoSi), Molybdän (Mo), Kupfer (Cu), Wolfram (W), Aluminium (Al), Cobalt (Co), Zirkonium (Zr), Platin (Pt) oder andere zweckmäßige Materialien. Die Gate-Elektrode 110 kann in einem Gatelast-Prozess (oder Gate-Ersetzungsprozess) gebildet werden. Hartmaskenschichten 112 und 114 können verwendet werden, um die Gate-Elektrode 110 zu definieren. Es kann auch eine Dielektrikumschicht 115 an den Seitenwänden der Gate-Elektrode 110 und über den Hartmaskenschichten 112 und 114 ausgebildet werden.
  • Die Gate-Dielektrikumschicht (nicht gezeigt) kann dielektrische Materialien aufweisen, wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, ein oder mehrere dielektrische Materialien mit hoher Dielektrizitätskonstante (hohem k-Wert) oder Kombinationen davon. Zu Beispielen dielektrischer Materialien mit hohem k-Wert gehören Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid-Legierung, Hafnium-Siliziumoxid, Hafnium-Silizium Oxynitrid, Hafnium-Tantaloxid, Hafnium-Titanoxid, Hafnium-Zirkoniumoxid, dergleichen oder Kombinationen davon.
  • In einigen Ausführungsformen umfasst die Gate-Stapel-Struktur zusätzliche Schichten, wie zum Beispiel Grenzschichten, Deckschichten, Diffusions- und Sperrschichten oder andere zweckmäßige Schichten. In einigen Ausführungsformen wird die Gate-Stapel-Struktur über einem mittigen Abschnitt der Finnenstruktur 104 ausgebildet. In einigen anderen Ausführungsformen werden mehrere Gate-Stapel-Strukturen über der Finnenstruktur 104 gebildet. In einigen anderen Ausführungsformen umfasst die Gate-Stapel-Struktur einen Dummy-Gate-Stapel und wird später durch ein Metall-Gate (MG) ersetzt, nachdem Prozesse mit hohem Wärmehaushalt ausgeführt wurden.
  • Die Gate-Stapel-Struktur wird durch einen Abscheidungsprozess, einen Fotolithografieprozess und einen Ätzprozess ausgebildet. Zum Abscheidungsprozess gehören chemisches Aufdampfen (CVD), physikalisches Aufdampfen (PVD), Atomschichtabscheidung (ALD), Hochdichtes-Plasma-CVD (HDPCVD), Metallorganisches CVD (MOCVD), Räumlich abgesetztes Plasma-CVD (RPCVD), Plasma-verstärktes CVD (PECVD), Plattieren, andere geeignete Verfahren und/oder Kombinationen davon. Der Fotolithografieprozesse aufweisen Photoresist-Beschichtung (zum Beispiel Aufschleudern), Weichbrennen, Maskenausrichtung, Belichten, Brennen nach dem Belichten, Entwickeln des Photoresists, Abspülen, Trocknen (zum Beispiel Hartbrennen). Der Ätzprozess umfasst einen Trockenätzprozess oder einen Nassätzprozess. Alternativ wird der Fotolithografieprozess durch andere zweckmäßige Verfahren wie zum Beispiel maskenlose Fotolithografie, Elektronenstrahlschreiben und Ionenstrahlschreiben implementiert oder ersetzt.
  • FinFET-Vorrichtungen bieten verschiedene Vorteile gegenüber herkömmlichen Metall-Oxid-Halbleiter-Feldeffekttransistor (MOSFET)-Vorrichtungen (auch als planare Transistorvorrichtungen bezeichnet). Zu diesen Vorteilen können eine bessere Chipflächenausnutzung, eine verbesserte Trägermobilität und eine bessere Fertigungsverarbeitung gehören, die mit der Fertigungsverarbeitung planarer Vorrichtungen kompatibel ist. Darum kann es wünschenswert sein, einen integrierten Schaltkreis (IC)-Chip zu konstruieren, der FinFET-Vorrichtungen für einen Abschnitt des IC-Chips oder den gesamten IC-Chip verwendet.
  • Jedoch kann die FinFET-Fertigung immer noch Nachteile haben. Zum Beispiel kann die Bildung der Finnenstrukturen einen oder mehrere Ätzprozesse aufweisen, die zu rauen Finnenoberflächen führen können. Außerdem kann für PFETs mit SiGe-Finnenstrukturen eine Siliziumdeckschicht auf den SiGe-Finnenstrukturen gebildet werden. Jedoch kann es sein, dass herkömmliche FinFET-Vorrichtungen keine hinreichend dicke Siliziumdeckschicht haben. Diese Probleme können zu einer hohen Leitungsbreitenrauigkeit (LWR) und/oder einer hohen Leitungsrandrauigkeit (LER) sowie zu hohem Widerstand und schlechter Kanalmobilität führen. Diese Probleme werden umso dramatischer, je weiter sich die Miniaturisierung der Halbleiterstrukturelemente fortsetzt, was zu kleineren kritischen Abmessungen führt. Folglich kann die Leistung der Halbleitervorrichtungen unbefriedigend sein.
  • Um die oben besprochenen Probleme zu überwinden, führt die vorliegende Offenbarung einen Ausheilungsprozess aus, um Finnenoberflächen zu reparieren, um die Qualität der Finnenstrukturen zu verbessern, wie unten ausführlicher mit Bezug auf die 2-15 besprochen wird. In dieser Hinsicht veranschaulichen die 7, 9, 11 und 13 fragmentarische quergeschnittene Seitenansichten eines Abschnitts einer Halbleitervorrichtung 200, die eine FinFET-Vorrichtung auf verschiedenen Stufen der Fertigung umfasst, und 18 ist ein Flussdiagramm, das ein Verfahren zur Fertigung einer Halbleitervorrichtung gemäß Ausführungsformen der vorliegenden Offenbarung veranschaulicht.
  • Wir wenden uns nun 2 zu, wo eine Halbleitervorrichtung 200 veranschaulicht ist. Die Halbleitervorrichtung 200 umfasst FinFET-Transistoren in der veranschaulichten Ausführungsform und kann im Weiteren austauschbar als eine FinFET-Vorrichtung bezeichnet werden. Die Halbleitervorrichtung 200 umfasst ein Substrat 210. Das Substrat 210 kann als eine Ausführungsform des Substrats 102 implementiert werden, das oben mit Bezug auf 1 besprochen wurde. In einigen Ausführungsformen umfasst das Substrat 210 ein halbleitendes Material, wie zum Beispiel ein Kristallsiliziummaterial. Ein Ionenimplantierungsprozess kann ausgeführt werden, um mehrere Dotandenionen in das Substrat 210 zu implantieren. Die Dotandenionen können ein Material vom n-Typ für NMOS-Vorrichtungen (NFETs) aufweisen, zum Beispiel Arsen (As) oder Phosphor (P), oder die Dotandenionen können ein Material vom p-Typ für PMOS-Vorrichtungen (PFETs) aufweisen, zum Beispiel Bor (B). Nachdem der Implantierungsprozess ausgeführt wurde, kann ein Dotierungskonzentrationsniveau in dem Substrat 210 in einem Bereich von etwa 1 × 1017 Ionen/cm3 bis etwa 5 × 1019 Ionen/cm3 liegen.
  • Die Halbleitervorrichtung 200 umfasst mehrere Finnenstrukturen, wie zum Beispiel Finnenstrukturen 250-255 (es ist zu beachten, dass die Finnenstruktur 252 in 2 nicht direkt sichtbar ist, aber in späteren Figuren gezeigt wird). Die Finnenstrukturen 250-255 können als eine Ausführungsform der Finnenstrukturen 104 implementiert werden, die oben mit Bezug auf 1 besprochen wurden. Die Finnenstrukturen 250-255 ragen vertikal aufwärts aus dem Substrat 210 in der Z-Richtung hervor. Die Finnenstrukturen 250-255 erstrecken sich jeweils auch in einer länglichen Weise in der X-Richtung und sind voneinander in der Y-Richtung getrennt. In der veranschaulichten Ausführungsform sind die Finnenstrukturen 250-251 und 254-255 Finnenstrukturen für NFETs und enthalten Silizium (Si), wohingegen die Finnenstrukturen 252-253 Finnenstrukturen für PFETs sind und Silizium-Germanium (SiGe) enthalten. Es ist zu beachten, dass die SiGe-Finnenstrukturen 252-253 über Abschnitten des Substrats 210 (das zum Beispiel Si aufweist) angeordnet sind, die ebenfalls aufwärts hervorragen. In 2 ist zu sehen, dass die Finnenstrukturen 252-253 von den Finnenstrukturen 260-261 und 264-265 eingerückt (oder nicht bündig) sind. Oder anders ausgedrückt: Die Finnenstrukturen 252-253 haben kürzere Abmessungen in der X-Richtung als die Finnenstrukturen 260-261 und 264-265 in 2. Jedoch liegt das lediglich daran, dass die Halbleitervorrichtung 200 ein Teil eines statischen Direktzugriffsspeichers (SRAM) ist. Oder anders ausgedrückt: Es ist bei einem SRAM-Layout/Design üblich, PFET-Finnen zu haben, die von den NFET-Finnen eingerückt sind. Das soll aber keine Einschränkung sein. In anderen Ausführungsformen oder anderen Schaltkreisanwendungen können die PFET-Finnen und die NFET-Finnen bündig oder randausgerichtet sein, anstatt voneinander eingerückt zu sein.
  • Die Finnenstrukturen 250-255 können durch Maskenschichten 260-265 definiert werden. In einigen Ausführungsformen enthalten die Maskenschichten 260-265 ein dielektrisches Material, wie zum Beispiel Siliziumnitrid. In einigen Ausführungsformen können die Maskenschichten 260-265 jeweils zwei Dielektrikumschichten aufweisen (zum Beispiel eine Schicht aus Siliziumnitrid und eine Schicht aus Siliziumoxid). Zum Beispiel aufweisen die Maskenschichten 260-265 in der in 2 gezeigten Ausführungsform jeweils Teilschichten 260A-265A, wobei die Teilschichten 260A-265A Siliziumoxid enthalten, während der Rest der Maskenschichten 260-265 (die sich über den Teilschichten 26oA-265A befinden) Siliziumnitrid aufweist. Die Maskenschichten 260-265 können durch Strukturieren eines dielektrischen Materials mit einer strukturierten Photoresistschicht gebildet werden. Die Maskenschichten 260-265 werden dann verwendet, um ein Halbleitermaterial (zum Beispiel Si oder SiGe) darunter zu strukturieren, um die Finnenstrukturen 250-255 zu definieren.
  • Wir bleiben bei 2. Es werden Isolierstrukturen 270 gebildet, um die Finnen 250-255 elektrisch zu isolieren. Die Isolierstrukturen 270 können auch als Shallow Trench Isolation (STI)-Strukturen bezeichnet werden. In einigen Ausführungsformen enthalten die Isolierstrukturen 270 ein dielektrisches Material, wie zum Beispiel Siliziumoxid. In anderen Ausführungsformen werden andere geeignete dielektrische Materialien in Betracht gezogen, solange die Isolierstrukturen 270 eine andere Materialzusammensetzung haben als die Maskenschichten 260-265. Wenn zum Beispiel die Maskenschichten 260-265 Siliziumnitrid enthalten, so können die Isolierstrukturen 270 Siliziumoxid enthalten, so dass eine Ätzselektivität zwischen den Maskenschichten 260-265 und den Isolierstrukturen 270 bestehen kann. Die Isolierstrukturen 270 können gebildet werden, indem das dielektrische Material abgeschieden wird, um die Öffnungen auszufüllen, die dadurch entstehen, dass die Finnenstrukturen 255-255 durch die Hartmaskenschichten 260-265 strukturiert werden, und dann ein Polierprozess (wie zum Beispiel chemisch-mechanisches Polieren) ausgeführt wird, um die Oberfläche des dielektrischen Materials zu planarisieren.
  • Außerdem kann eine Auskleidungsschicht 280 zwischen den Finnenstrukturen 250-255 gebildet werden. Die Auskleidungsschicht 280 kann ein dielektrisches Material enthalten, wie zum Beispiel Siliziumnitrid. Die Auskleidungsschicht 280 wird vor den Isolierstrukturen 270 gebildet, und somit kann sich die Auskleidungsschicht 280 zwischen den Finnenstrukturen 250-255 und den Isolierstrukturen 270 befinden.
  • Wir wenden uns nun 3 zu, wo ein Rückätzprozess 300 an der Halbleitervorrichtung 200 ausgeführt wird, um einen Abschnitt der Isolierstrukturen 270 wegzuätzen. Zum Beispiel wird ein oberer Abschnitt der Isolierstrukturen 270 weggeätzt, so dass die Seitenwände der Maskenschichten 260-265 freigelegt werden. Infolge der Ätzselektivität zwischen den Isolierstrukturen 270 und den Maskenschichten 260-265 können die Abschnitte der Isolierstrukturen 270 entfernt werden, ohne die Maskenschichten 260-265 nennenswert zu beeinflussen.
  • Wir wenden uns nun 4 zu. Es kann ein Maskenschicht-Abtragsprozess 320 an der Halbleitervorrichtung 200 ausgeführt werden, um die Maskenschichten 260-265 zu entfernen. In einigen Ausführungsformen kann der Maskenschicht-Abtragsprozess 320 einen Ätzprozess aufweisen. Auch hier können infolge der Ätzselektivität zwischen den Isolierstrukturen 270 und den Maskenschichten 260-265 die Maskenschichten 260-265 entfernt werden, ohne die Isolierstrukturen 270 oder die Finnenstrukturen 250-255 unter den Maskenschichten 260-265 nennenswert zu beeinflussen. In Ausführungsformen (wie zum Beispiel der veranschaulichten Ausführungsform), wo die Maskenschichten 260-265 die Teilschichten 260A-265A enthalten, kann der Maskenschicht-Abtragsprozess 320 den Hauptabschnitt der Maskenschichten 260-265 (die zum Beispiel Siliziumnitrid enthalten) entfernen, aber braucht nicht die Teilschichten 260A-265A zu entfernen (die zum Beispiel Siliziumoxid enthalten). Diese Teilschichten 260A-265A können in einem anderen Ätzprozess entfernt werden, der nach dem Prozess 320 ausgeführt wird und im vorliegenden Text aus Gründen der Einfachheit nicht speziell veranschaulicht ist.
  • Wir wenden uns nun 5 zu. Nachdem die Maskenschichten 260-265 (einschließlich der Teilschichten 260A-265A) vollständig entfernt wurden, wird ein Finnenaussparungsprozess 350 an der Halbleitervorrichtung 200 ausgeführt, um Abschnitte der Isolierstrukturen 270 wegzuätzen, wodurch Aussparungen entstehen, die zusammen durch die Finnenstrukturen 250-255 (die zum Beispiel die Seitenwände der Aussparungen definieren) und die Isolierstrukturen 270 (die zum Beispiel den Boden der Aussparung definieren) definiert werden. In einigen Ausführungsformen umfasst der Finnenaussparungsprozess 350 einen Ätzprozess, zum Beispiel einen Trockenätzprozess. In einem solchen Ätzprozess existiert eine Ätzselektivität zwischen den Finnenstrukturen 250-255 und die Isolierstrukturen 270. Insofern können die Isolierstrukturen 270 weggeätzt werden, ohne die Finnenstrukturen 250-255 nennenswert zu beeinflussen. Infolge des Finnenaussparungsprozesses 350 wird ein oberes Segment einer jeden der Finnenstrukturen 250-255 freigelegt (zum Beispiel sind ihre Seitenwandflächen nicht durch die Isolierstrukturen 270 bedeckt). Auf dieser Stufe der Fertigung können die Finnenstrukturen 250-255 eine seitliche Abmessung 360, in der Y-Richtung gemessen, haben. Die seitliche Abmessung 360 kann immer noch größer sein als gewünscht, weshalb sie in einem Trimmprozess, der unten besprochen wird, reduziert wird.
  • Wir wenden uns nun den 6-7 zu (wobei 7 eine Querschnittsansicht der Finnenstrukturen 252-253 (SiGe-Finnen für die PFETs) veranschaulicht), wo ein Finnentrimmprozess 400 an der Halbleitervorrichtung 200 ausgeführt wird, um eine seitliche Abmessung einer jeden der Finnenstrukturen 250-255 zu verringern, zum Beispiel die seitliche Abmessung, die in der Y-Richtung gemessen wird. In einigen Ausführungsformen wird der Finnentrimmprozess 400 in einem ersten Halbleiterfertigungswerkzeug ausgeführt, das eine erste Kammer hat, zum Beispiel einem Halbleiterfertigungswerkzeug, das eine Nassreinigungskammer oder eine Trockenätzkammer aufweist. Der Finnentrimmprozess 400 verringert effektiv eine kritische Abmessung (Critical Dimension, CD) der FinFET-Vorrichtungen im vorliegenden Text, da die CD mit der seitlichen Abmessung der Finnenstrukturen 250-255 verknüpft ist.
  • In einigen Ausführungsformen umfasst der Finnentrimmprozess 400 einen Oxidationsprozess, bei dem die Oberflächenabschnitte der Finnenstrukturen 250-255 oxidiert werden, gefolgt von einem Auftragen einer Fluorwasserstoff (HF)-Säure auf die Finnenstrukturen 250-255, um deren oxidierte Abschnitte zu entfernen. Infolge des Finnentrimmprozesses 400 haben die getrimmten Finnenstrukturen 250-255 nun eine kleinere seitliche Abmessung 410 in der Y-Richtung. Oder anders ausgedrückt: Die seitliche Abmessung 410 ist kleiner als die in 5 gezeigte seitliche Abmessung 360. In einigen Ausführungsformen liegt die seitliche Abmessung 410 in einem Bereich von etwa 5 Nanometer (nm) bis etwa 12 nm.
  • Wie in 7 gezeigt, werden der Finnenaussparungsprozess 350 und der Finnentrimmprozess 400 ausgeführt, um die Seitenwandflächen der Finnenstrukturen, wie zum Beispiel die Flächen 430 der Finnenstrukturen 252-253, vollständig freizulegen. Um sicherzustellen, dass es genügend Reserven gibt, um die Flächen 430 der Finnenstrukturen 252-253 freizulegen, können in einigen Ausführungsformen die Seitenwandflächen 440 eines Abschnitts der Halbleiterschicht (zum Beispiel vorstehende Abschnitte des Substrats 210) unter den Finnenstrukturen 252-253 ebenfalls freigelegt werden. Außerdem können die Seitenflächen eines kleinen Abschnitts der Auskleidungsschicht 280 ebenfalls freigelegt werden.
  • Ein Nachteil des Finnentrimmprozesses 400 ist, dass er die Oberflächen der Finnenstrukturen 250-255 aufrauen kann. Dies ist in der Querschnittsansicht von 7 ausführlicher gezeigt, wo zu sehen ist, dass die freigelegten Flächen 430 der Finnenstrukturen 252-253 rau sind. Zum Beispiel können die Flächen 430 erhebliche topografische Variationen aufweisen, wie zum Beispiel Vorsprünge und Vertiefungen, und sind darum nicht so flach oder glatt, wie sie sein sollten. Es versteht sich, dass der Finnentrimmprozess 400 nicht unbedingt allein für die Oberflächenrauigkeit der Finnenstrukturen verantwortlich ist. Andere Prozesse, die oben besprochen wurden, wie zum Beispiel die Prozesse zum Definieren der Form der Finnenstrukturen 250-255 (zum Beispiel unter Verwendung der Maskenschichten 260-265), oder auch der Finnenaussparungsprozess 350 (oben mit Bezug auf 5 besprochen), können ebenfalls ihren Anteil an der Oberflächenrauigkeit der Finnenstrukturen 250-255 haben. Eine übermäßig große Oberflächenrauigkeit der Finnenstrukturen 250-255 kann unzweckmäßig sein, da sie zu einem hohen Widerstand und/oder geringer Trägermobilität führen kann, da es für Träger wie zum Beispiel Elektronen oder Löcher schwieriger sein kann, sich in rauen Oberflächen oder durch raue Oberflächen zu bewegen.
  • In einigen Ausführungsformen kann die Oberflächenrauigkeit der Flächen 430 durch eine Leitungsbreitenrauigkeit (LWR) oder durch eine Leitungsrandrauigkeit (LER) dargestellt werden. Man kann sagen, dass auf dieser Fertigungsstufe die Finnenstrukturen (wie zum Beispiel die Finnenstrukturen 252-253) eine erste Oberflächenrauigkeit haben, zum Beispiel eine LWR in einem Bereich zwischen etwa 2,1 nm und etwa 2,3 nm oder eine LER in einem Bereich zwischen etwa 1,8 nm und etwa 2,0 nm.
  • Wir wenden uns nun den 8-9 zu, wo eine Siliziumdeckschicht 500 auf den Finnenstrukturen 250-255 ausgebildet wird, einschließlich der Seitenwandflächen der Finnenstrukturen. Die Siliziumdeckschicht 500 wird unter Verwendung eines Siliziumkappenbildungsprozesses 510 ausgebildet. In einigen Ausführungsformen kann der Siliziumkappenbildungsprozess 510 einen Epitaxialwachstumsprozess aufweisen, dergestalt, dass Silizium (d. h. die Siliziumdeckschicht 500) auf den Finnenstrukturen 252-253 gezüchtet wird. Der Epitaxialwachstumsprozess kann außerdem bewirken, dass eine amorphe Siliziumschicht 505 auf der Oberseite der Isolierstruktur 270 gezüchtet wird. In einigen Ausführungsformen wird der Siliziumkappenbildungsprozess 510 in einem zweiten Halbleiterfertigungswerkzeug ausgeführt, das eine zweite Kammer und eine dritte Kammer aufweist. Das zweite Halbleiterwerkzeug ist von dem ersten Halbleiterfertigungswerkzeug, in dem der Finnentrimmprozess 400 ausgeführt wird, verschieden. Insofern wird der Wafer, auf dem die Halbleitervorrichtung 200 ausgebildet ist, von dem ersten Halbleiterfertigungswerkzeug zu dem zweiten Halbleiterfertigungswerkzeug übertragen. Während dieses Übertragungsprozesses kann der Wafer mit der Umgebungsluft in Kontakt gebracht werden, die Sauerstoff aufweist, der die Flächen des Wafers (zum Beispiel sowohl auf den NFETs als auch auf den PFETs) oxidieren kann. Das resultierende Oberflächenoxid kann in der zweiten Kammer des zweiten Halbleiterfertigungswerkzeugs entfernt werden, die zum Beispiel eine Trockenätzkammer sein kann. Danach wird der Wafer intern innerhalb des zweiten Halbleiterfertigungswerkzeugs von der zweiten Kammer zu der dritten Kammer transferiert, die eine Kammer zum Ausführen eines Epitaxialwachstums sein kann. Der interne Transfer von der zweiten Kammer zu der dritten Kammer wird in einer Vakuum- (oder im Wesentlichen sauerstofffreien) Umgebung ausgeführt, wodurch verhindert wird, dass erneut Oberflächenoxide auf dem Wafer entstehen.
  • Ein Grund zum Bilden einer Siliziumdeckschicht 500 auf den SiGe-Finnenstrukturen 252-253 ist, dass SiGe kein zweckmäßiger Kandidat für Oxide ist. Das heißt, ein Oxidationsprozess muss später ausgeführt werden (unten mit Bezug auf die 12-13 besprochen), um eine Dielektrikumschicht auf den Finnenstrukturen zu bilden. In einigen Ausführungsformen kann eine solche Dielektrikumschicht als eine Grenzschicht für einen PFET-Transistor dienen. Für eine optimale Bauteilleistung ist Siliziumoxid für diese Dielektrikumschicht ein zweckmäßigerer Kandidat als Germaniumoxid. Insofern wäre es unzweckmäßig, das SiGe-Material der Finnenstrukturen 252-253 direkt zu oxidieren. Stattdessen wäre es besser, ein Siliziummaterial zu oxidieren, zum Beispiel das Siliziummaterial der Siliziumdeckschicht 500. Dies ist ein Grund zum Bilden der Siliziumdeckschicht 500 über den Finnenstrukturen 252-253.
  • Es versteht sich außerdem, dass es zwar nicht erforderlich ist, diese Siliziumdeckschicht 500 über den Finnenstrukturen 250-251 und 254-255 der NFETs zu bilden, da die Finnenstrukturen 250-251 und 254-255 bereits aus Silizium bestehen, dass aber die Siliziumdeckschicht 500 in der veranschaulichten Ausführungsform trotzdem auf den Finnenstrukturen 250-251 und 254-255 ausgebildet werden kann. Das liegt daran, dass es komplizierter und teurer sein kann, die Siliziumdeckschicht für die NFETs nicht zu bilden, was bedeuten kann, eine Schutzschicht über den Finnenstrukturen 250-251 und 254-255 für den alleinigen Zweck bilden zu müssen, die Bildung der Siliziumdeckschicht 500 darauf zu verhindern, und dann eine solche Schutzschicht später zu entfernen, nachdem die Siliziumschicht 500 über den Finnenstrukturen 252-253 für die PFETs ausgebildet wurde. Ungeachtet dessen versteht es sich, dass in einigen Ausführungsformen die Siliziumdeckschicht 500 auf den Finnenstrukturen 252-253 gebildet werden kann, aber nicht auf den Finnenstrukturen 250-251 und 254-255 gebildet werden muss.
  • Auf dieser in 9 gezeigten Fertigungsstufe wird die Siliziumdeckschicht 500 auf eine Dicke 520 ausgebildet. In einigen Ausführungsformen liegt die Dicke 520 in einem Bereich zwischen etwa 0,5 nm und etwa 1,1 nm, mit einem Mittelwert von etwa 0,8 nm. Dieser Bereich der Dicke 520 ist nicht unbedingt optimal für die anschließende E/A-Oxidbildung, da sie möglicherweise zu dünn ist. In einem Fall, wo die Dicke 520 zu dünn ist, so kann das bedeuten, dass die anschließende E/A-Oxidbildung nicht nur die gesamte Siliziumdeckschicht 500 aufzehrt (zum Beispiel oxidiert), sondern auch einen Abschnitt des SiGe-Materials der Finnenstrukturen 252-253. Wie oben besprochen, ist Germaniumoxid kein ideales Material für die Grenzschicht. Somit ist es zweckmäßig, eine Siliziumdeckschicht 500 zu haben, die hinreichend dick ist, damit sich der anschließende Oxidationsprozess nicht in das SiGe-Material der Finnenstrukturen 252-253 hineinfrisst. Während herkömmliche FinFET-Fertigungsverfahren dieses Problem nicht hinreichend gelöst haben, macht die vorliegende Offenbarung die Siliziumdeckschicht durch einen Ausheilungsprozess dicker, wie unten noch ausführlicher besprochen wird.
  • Wir wenden uns nun den 10-11 zu, wo ein Ausheilungsprozess 550 an der Halbleitervorrichtung 200 ausgeführt wird. In einigen Ausführungsformen umfasst der Ausheilungsprozess 550 einen Spitzenausheilungsprozess. Der Spitzenausheilungsprozess kann unter Verwendung der folgenden Prozessparameter oder -bedingungen ausgeführt werden: eine Spitzenausheilungstemperatur, die in einem Bereich zwischen etwa 800 Grad Celsius und etwa 900 Grad Celsius gehalten wird, eine Ausheilungszeit (oder -dauer) (während der die Spitzentemperatur aufrecht erhalten wird), die in einem Bereich zwischen etwa 1 Sekunde und etwa 10 Sekunden liegt, und ein Ausheilungsdruck, der in einem Bereich zwischen etwa 6666,12 Pa und etwa 101325 Pa liegt. In anderen Ausführungsformen umfasst der Ausheilungsprozess 550 einen Durchwärm-Ausheilungsprozess. Der Durchwärm-Ausheilungsprozess kann unter Verwendung der folgenden Prozessparameter oder -bedingungen ausgeführt werden: eine Spitzenausheilungstemperatur, die in einem Bereich zwischen etwa 300 Grad Celsius und etwa 450 Grad Celsius gehalten wird, eine Ausheilungszeit (oder -dauer) (während der die Spitzentemperatur aufrecht erhalten wird), die in einem Bereich zwischen etwa 50 Sekunden und etwa 200 Sekunden liegt, und ein Ausheilungsdruck, der in einem Bereich zwischen etwa 6666,12 Pa und etwa 101325 Pa liegt. In einigen Ausführungsformen kann der Ausheilungsprozess 550 eine Kombination aus einem Spitzenausheilungsprozess und einem Durchwärm-Ausheilungsprozess aufweisen. In einigen Ausführungsformen wird ein Inertgas (zum Beispiel N2) für den Spitzenausheilungsprozess und/oder den Durchwärm-Ausheilungsprozess verwendet. In einigen Ausführungsformen liegt eine Strömungsrate des Inertgases in dem Spitzenausheilungsprozess und/oder dem Durchwärm-Ausheilungsprozess in einem Bereich zwischen etwa 5 Standardlitern pro Minute (SLM) und etwa 45 SLM.
  • Diese oben genannten Prozessparameter des Ausheilungsprozesses 550 sind nicht willkürlich gewählt, sondern sind vielmehr sorgfältig auf die Verbesserung der Qualität der Finnenstrukturen 252 und 253 abgestimmt. In dieser Hinsicht ist der Ausheilungsprozess 550 darauf abgestimmt, die Finnenstrukturen 252-253 zu reparieren und die Oberflächenrauigkeit der Finnenstrukturen 252 und 253 zu verringern, um eine Reinheit der Siliziumdeckschicht 500 zu erhöhen, und die Dicke der Siliziumdeckschicht 500 zu erhöhen.
  • Zum Beispiel liefert der Ausheilungsprozess 550 genug Energie, damit sich die Atome auf der Oberfläche der Finnenstrukturen 252-253 neu anordnen können, um eine stärker kristallgleiche Qualität (zum Beispiel glatt und geordnet) zu erhalten. Das Resultat sind glattere Finnenoberflächen 560. Oder anders ausgedrückt: Die Finnenoberflächen 560 sind glatter oder haben weniger Rauigkeit als die Finnenoberflächen 430. In einigen Ausführungsformen kann die Oberflächenrauigkeit der Flächen 560 außerdem durch eine Leitungsbreitenrauigkeit (LWR) oder durch eine Leitungsrandrauigkeit (LER) dargestellt werden.
  • LWR und LER werden ausführlicher mit Bezug auf 16 besprochen. Genauer gesagt, veranschaulicht 16 Draufsichten einer Finnenstruktur 1010 und einer Finnenstruktur 1020. Die Finnenstruktur 1010 kann eine Finnenstruktur repräsentieren, die ein Resultat ihrer Herstellung gemäß herkömmlichen Prozessen ist, wohingegen die Finnenstruktur 1020 die Finnenstruktur repräsentieren kann, die gemäß der vorliegenden Offenbarung hergestellt wird, zum Beispiel die Finnenstrukturen 252-253. In einigen Ausführungsformen werden LWR und LER wie folgt gemessen: mehrere (zum Beispiel n) Punkte werden auf gegenüberliegenden Seiten der Finnenstruktur genommen. Zum Beispiel werden mehrere Punkte A1-An an der linken Grenze der Finnenstruktur 1010 genommen, und mehrere Punkte Bi-Bn werden an der rechten“ Grenze der Struktur genommen. Diese Punkte A1-An und Bi-Bn können zum Beispiel durch ein Rasterelektronenmikroskop (SEM)-Bild erhalten werden. Die horizontale Distanz zwischen jedem Satz Punkte A1-B1 bis A-Bn misst die Breite oder kritische Abmessung (CD) der Finnenstruktur an einem anderen Segment. Zum Beispiel misst die Distanz zwischen A1-B1 die Breite oder CD der Finnenstruktur 1010 an einem oberen Endabschnitt der Finnenstruktur 1010, die Distanz zwischen A-Bn misst die Breite oder CD der Finnenstruktur 1010 an einem unteren Endabschnitt der Finnenstruktur 1010, und die Distanzen zwischen dem Rest der Punktsätze zwischen A1 und A und B1 und Bn messen die Breiten oder CDs der Finnenstruktur 1010 an verschiedenen Segmenten zwischen dem oberen Ende und dem unteren Ende. Wenn alle diese Distanzen gemessen werden, kann eine Variation zwischen ihnen - zum Beispiel ein Drei-sigma-Wert (wobei sigma eine Standardabweichung ist) - verwendet werden, um die LWR zu definieren. Die LWR misst, wie gleichmäßig die Breite oder CD der Finnenstruktur 1010 in der gesamten Finnenstruktur 1010 ist. Insofern kann ein hoher LWR-Wert eine Finnenstruktur anzeigen, die einige Abschnitte hat, die signifikant breiter sind als andere Abschnitten.
  • Die Definition von LER basiert immer noch auf den Punkten A1-An und B1-Bn, aber LER wird anders definiert als LWR. In einigen Ausführungsformen wird LER wie folgt definiert: eine horizontale Distanz wird zwischen einem fixen Punkt auf einer Seite (zum Beispiel A1) gemessen, und der Rest der Punkte wird auf der gegenüberliegenden Seite gemessen (zum Beispiel B1-Bn). Es ist zu beachten, dass die horizontale Distanz nicht gleich der diagonalen Distanz ist. Zum Beispiel ist die horizontale Distanz zwischen A1 und B2 nicht die diagonale Distanz, die A1 bis B2 verbinden wurde. Vielmehr wäre die horizontale Distanz eine Distanz zwischen B2 und einem Punkt, der der horizontalen Position von A1 entspricht (zum Beispiel, als wenn A1 abwärts verschoben wird, bis er horizontal auf B2 ausgerichtet ist). In jedem Fall kann, sobald die horizontalen Distanzen zwischen dem fixen Punkt und allen Punkten auf der gegenüberliegenden Seite erhalten wurden, eine Variation zwischen ihnen (zum Beispiel ein Drei-sigma-Wert) verwendet werden, um den LER zu definieren. Der LER misst, wie gerade oder linear die Finnenstruktur 1010 insgesamt ist. Insofern kann ein hoher LER-Wert eine Finnenstruktur anzeigen, die zu schlangenlinienförmig oder zu wellenförmig sein kann.
  • Die LWR und die LER werden für die Finnenstruktur 1020 in der gleichen Weise definiert, aber die Punkte A1-An und Bi-Bn sind wegen der besseren Übersichtlichkeit nicht speziell für die Finnenstruktur 1020 gezeigt. Anhand von 16 ist zu erkennen, dass die Finnenstruktur 1010 (die nicht gemäß der vorliegenden Offenbarung hergestellt ist) signifikant größere Variationen in ihrer Breite verglichen mit der Finnenstruktur 1020 hat und als solches eine größere LWR hat. Darüber hinaus ist die Finnenstruktur 1010 schlangenlinienförmiger als die Finnenstruktur 1020, was angibt, dass die Finnenstruktur 1010 eine größere LER als die Finnenstruktur 1020 hat.
  • Somit kann man sagen, dass die Finnenstrukturen (wie zum Beispiel die Finnenstrukturen 252-253) auf der Fertigungsstufe nach dem Ausführen des Ausheilungsprozesses 550 eine zweite Oberflächenrauigkeit haben, die geringer ist als die erste Oberflächenrauigkeit der Flächen 430, zum Beispiel eine LWR in einem Bereich zwischen etwa 1,7 nm und etwa 1,9 nm oder eine LER in einem Bereich zwischen etwa 1,5 nm und etwa 1,7 nm. Es versteht sich, dass die verringerte Oberflächenrauigkeit der Finnenstrukturen 252-253, die gemäß der vorliegenden Offenbarung hergestellt werden, auch durch ein Verhältnis der LWR im Vergleich zur durchschnittlichen Finnenbreite oder ein Verhältnis der LER im Vergleich zur durchschnittlichen Finnenbreite dargestellt werden können. Wenn zum Beispiel die durchschnittliche Finnenbreite der Finnenstruktur 252 oder 253 durch Fin_width_average dargestellt wird, so liegt ein Verhältnis von LWR:Fin_width_average in einem Bereich zwischen etwa 1:4 und etwa 1:5, und ein Verhältnis von LER:Fin_width_average liegt ebenfalls in einem Bereich zwischen etwa 1:4 und etwa 1:5. Im Vergleich dazu haben Finnenstrukturen, die nicht gemäß der vorliegenden Offenbarung hergestellt sind, in der Regel Verhältnisse von LWR:Fin_width_average oder LER:Fin_width_average, die viel größer als 1:4 oder 1:5 sind (zum Beispiel ein Verhältnis von 1:3 oder 1:2). Der Ausheilungsprozess 550 verringert auch das Vorhandensein von Germaniumoxid in den Finnenstrukturen 252-253. In dieser Hinsicht können, nach der Bildung der Finnenstrukturen 252-253, native Oxide in Form von Germaniumoxid (GeOx) natürlich auf den Finnenstrukturen 252-253 ausgebildet werden. Nach der Bildung der Siliziumdeckschicht 500 kann sich das Germaniumoxidmaterial an einer Grenzfläche zwischen den Finnenstrukturen 252-253 und der Siliziumdeckschicht 500 befinden. Das Vorhandensein des Germaniumoxidmaterials im vorliegenden Text verringert eine Reinheit der Siliziumdeckschicht und/oder die Reinheit des SiGe-Materials der Finnenstrukturen und kann eine negative Auswirkung mit Bezug auf die Density of Interface Trap (DIT) haben.
  • Der Ausheilungsprozess 550 - mit den oben besprochenen, speziell abgestimmten Prozessparametern - stellt einen Energieschub bereit, um zu helfen, die Bindungen zwischen Germanium und Sauerstoff in Germaniumoxiden aufzubrechen. Germanium und Sauerstoff können nach außen diffundieren. In diesem Diffusionsprozess kann die Sauerstoffkomponente die Siliziumatome der Siliziumdeckschicht 500 oxidieren, um Siliziumoxid an einer Außenfläche der Siliziumdeckschicht zu bilden. Die Germaniumkomponente kann aus der Halbleitervorrichtung 200 in Form eines gasförmigen Produkts entweichen. Auch hier werden die Prozessparameter des Ausheilungsprozesses 550 sorgfältig abgestimmt, um den oben besprochenen Mechanismus zu ermöglichen. Wenn zum Beispiel die Ausheilungstemperatur zu hoch ist, und/oder wenn die Ausheilungsdauer zu lang ist, und/oder wenn der Ausheilungsdruck zu gering ist, so können Silizium und Germanium zurückfließen, anstatt nach außen zu diffundieren, und dies kann die Form der Finnenstrukturen 252-253 in unerwünschter Weise verändern. Zum Beispiel können die Finnenstrukturen 252-253 infolge des Rückflusses von Silizium und/oder Germanium jeweils eine kugelartige Form haben statt der Rechteck- oder Trapezform, die zweckmäßiger ist. Wenn hingegen die Ausheilungstemperatur zu gering ist, und/oder wenn die Ausheilungsdauer zu kurz ist, und/oder wenn der Ausheilungsdruck zu hoch ist, dann ist der Ausheilungsprozess 550 möglicherweise nicht in der Lage, genügend Energieschub bereitzustellen, um die Diffusion von Germanium und Sauerstoff zu ermöglichen, und die Finnenstrukturen 252-253 werden möglicherweise nicht hinreichend repariert.
  • Dank des sorgfältig konfigurierten Ausheilungsprozesses 550 (zum Beispiel mit den oben besprochenen speziellen Prozessparametern, um die Oberflächenrauigkeit der Finnen zu verringern und die Siliziumdeckschicht dicker zu machen) können die Finnenstrukturen 252-253 hier repariert werden und können im Wesentlichen frei von Germaniumoxid sein, und die Siliziumdeckschicht 500 wird ebenfalls dicker gemacht (durch die Beseitigung oder Verringerung des Germaniumgehalts). Zum Beispiel hat die Siliziumdeckschicht 500 nun eine Dicke 580, die größer ist als die Dicke 520 (entsprechend der Dicke, bevor der Ausheilungsprozess 550 ausgeführt wurde). In einigen Ausführungsformen liegt die Dicke 580 in einem Bereich zwischen etwa 0,7 nm und etwa 1,5 nm, mit einem mittleren Wert von etwa 1,1 nm. Vergleicht man die Werte der Dicke 580 mit der Dicke 520 (zum Beispiel zwischen etwa 0,5 nm und 1,1 nm mit einem mittleren Wert von etwa 0,8 nm) der Siliziumdeckschicht 500 vor dem Ausheilungsprozess 550, so kann man sehen, dass der Ausheilungsprozess 550 die Siliziumdeckschicht 500 um mindestens einige Ängström dicker macht.
  • Der Bereich der Dicke 580 wird ebenfalls speziell konfiguriert, um den anschließenden E/A-Oxidationsprozess zu optimieren. Wenn der Wert der Dicke 580 zu gering ist, dann kann, wie oben besprochen, der anschließende E/A-Oxidationsprozess die gesamte Siliziumdeckschicht 500 (auch wenn sie dicker gemacht wurde) aufzehren und könnte sich möglicherweise in das SiGe-Material der Finnenstrukturen 252-253 hineinfressen. Wenn hingegen der Wert der Dicke 580 zu hoch ist, so könnte der anschließende E/A-Oxidationsprozess zu viel von dem Siliziummaterial der Siliziumdeckschicht 500 unoxidiert lassen. Zum Beispiel kann ein äußerer Abschnitt der Siliziumdeckschicht 500 zu Siliziumoxid oxidiert werden, während ein innerer Abschnitt der Siliziumdeckschicht 500 Silizium bleiben kann. Wenn dies geschieht, so wird das Kanal (und/oder Source/Drain)-Material für den PFET zu einem Gemisch aus SiGe und Silizium, anstatt nur SiGe zu sein (was für PFETs erwünscht ist). Mit zunehmender Dicke 580 kann dieses Problem schlimmer werden (zum Beispiel besteht nun ein größerer Prozentsatz des PFET-Kanals und/oder des Source/Drain aus Silizium statt aus reinem SiGe). Insofern kann es zweckmäßig sein, die Siliziumdeckschicht 500 hinreichend dicker zu machen, und zwar so weit, dass sie in dem anschließenden Oxidationsprozess größtenteils oxidiert werden kann, ohne zu riskieren, dass das darunter liegende SiGe-Material der Finnenstrukturen 252-253 oxidiert wird. Die Dicke 580, die dank der sorgfältig ausgewählten, oben besprochenen Ausheilungsprozessparameter abgestimmt wurde, kann dieses Ziel erreichen.
  • Wir wenden uns nun den 12-13 zu, wo eine Dielektrikumschicht 600 über der Halbleitervorrichtung 200 ausgebildet wird. In einigen Ausführungsformen wird die Dielektrikumschicht 600 mittels eines Oxidationsprozesses und eines ALD-Prozesses 620 ausgebildet. Der Oxidationsprozess oxidiert einen Abschnitt der Siliziumdeckschicht 500 und der amorphen Siliziumschicht 505 nahe ihren Außenflächen. Die oxidierten Abschnitte bilden einen Teil der Dielektrikumschicht 600, das Siliziumoxid aufweisen kann. Der Rest der Dielektrikumschicht 600 wird durch den ALD-Prozess gebildet. Wie oben besprochen, kann die Oxidation der Siliziumdeckschicht 500 eine Siliziumoxidschicht von besserer Qualität als die Dielektrikumschicht 600 hervorbringen, da der Ausheilungsprozess 550 effektiv Germaniumoxid von der Grenzfläche der Siliziumdeckschicht 500 und der Finnenstrukturen 252-253 entfernt. Die Dielektrikumschicht 600 kann als eine Grenzschicht in einer Gate-Struktur für die PFETs dienen und kann im Weiteren austauschbar als eine Grenzschicht bezeichnet werden. Es versteht sich, dass in Ausführungsformen, bei denen die Isolierstrukturen 270 Siliziumoxid aufweisen, die Dielektrikumschicht 600 und die Isolierstrukturen 270 im Wesentlichen ähnliche (oder gleiche) Materialzusammensetzungen haben können. Zum Beispiel können sie beide eine Siliziumoxidzusammensetzung haben, obgleich das Siliziumoxid der Isolierstrukturen 270 geringfügig mehr Störatome aufweisen kann als das Siliziumoxid der Dielektrikumschicht 600.
  • Wie ebenfalls oben besprochen, kann der Oxidationsprozess 610 mit minimalem Risiko eines versehentlichen Oxidierens des SiGe-Materials der Finnenstrukturen 252-253 ausgeführt werden, da der Ausheilungsprozess 550 die Siliziumdeckschicht 500 dicker macht. In der in 13 gezeigten Ausführungsform kann ein kleiner Abschnitt der Siliziumdeckschicht 500 selbst nach dem Ausführen des Oxidationsprozesses 610 weiterhin unoxidiert bleiben. In einigen Ausführungsformen liegt eine Dicke des übrigen Abschnitts der Siliziumdeckschicht 500 in einem Bereich zwischen etwa 0,3 nm und etwa 1,1 nm. In anderen Ausführungsformen kann hingegen im Wesentlichen die gesamte Siliziumdeckschicht 500 oxidiert werden.
  • Wir wenden uns nun 14 zu, wo mehrere Gate-Strukturen, wie zum Beispiel Gate-Strukturen 700 und 701, über den Finnenstrukturen 250-255 gebildet werden können. Zum Beispiel können die Gate-Strukturen 700-701 jeweils ein Gate-Dielektrikum mit hohem k-Wert und eine metallische Gate-Elektrode aufweisen. Ein dielektrisches Material mit hohem k-Wert ist ein Material mit einer Dielektrizitätskonstante, die größer ist als eine Dielektrizitätskonstante von Si02, die ungefähr 4 ist. In einer Ausführungsform umfasst das Gate-Dielektrikum mit hohem k-Wert Hafniumoxid (HfO2), das eine Dielektrizitätskonstante hat, die in einem Bereich von ungefähr 18 bis ungefähr 40 liegt. In alternativen Ausführungsformen kann das Gate-Dielektrikum mit hohem k-Wert ZrO2, Y2O3, La2O5, Gd2O5, TiO2, Ta2O5, HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTaO oder SrTiO aufweisen. Die Dielektrikumschicht 600, die im vorliegenden Text als die Grenzschicht dient, ist zwischen dem Gate-Dielektrikum und den Finnenstrukturen 250-255 angeordnet. Es ist zu beachten, dass zwar Abschnitte der Dielektrikumschicht 600 an den Seitenwänden der Finnenstrukturen 250-255 in 14 verbleiben, der Abschnitt der Dielektrikumschicht 600, der sich über den, oder oberhalb der, Finnenstrukturen 250-255 befindet, während eines Dummy-Gate-Ätzprozess entfernt wird, weshalb keine Abschnitte der Dielektrikumschicht 600 über den Finnenstrukturen in 14 gezeigt sind.
  • Die metallische Gate-Elektrode kann eine Austrittsarbeits-Metallkomponente und eine Füllmetallkomponente aufweisen. Die Austrittsarbeits-Metallkomponente ist dafür konfiguriert, eine Austrittsarbeit ihres entsprechenden FinFET abzustimmen, um eine gewünschte Schwellenspannung Vt zu erreichen. In verschiedenen Ausführungsformen kann die Austrittsarbeits-Metallkomponente aufweisen: TiAl, TiAlN, TaCN, TiN, WN oder W, oder Kombinationen davon. Die Füllmetallkomponente ist dafür konfiguriert, als der Hauptleitungsabschnitt der funktionalen Gate-Struktur zu dienen. In verschiedenen Ausführungsformen kann die Füllmetallkomponente Aluminium (Al), Wolfram (W), Kupfer (Cu) oder Kombinationen davon aufweisen.
  • In einigen Ausführungsformen kann die Bildung der Gate-Strukturen 700-701 einen Gate-Ersetzungsprozess aufweisen. In dem Gate-Ersetzungsprozess wird zunächst eine Dummy-Gate-Elektrode (die zum Beispiel Polysilizium aufweist) ausgebildet und dann später durch die metallische Gate-Elektrode ersetzt. In einigen Ausführungsformen des Gate-Ersetzungsprozesses kann ebenfalls zunächst ein Dummy-Gate-Dielektrikum (das zum Beispiel Siliziumoxid aufweist) ausgebildet werden und dann später durch das Gate-Dielektrikum mit hohem k-Wert ersetzt werden. Es versteht sich, dass die Gate-Strukturen 700-701 von einem Zwischenschichtdielektrikum (ILD) umgeben sein können, das ebenfalls über den Isolierstrukturen 270 und über den Finnenstrukturen 250-255 gebildet wird. Das ILD ist im vorliegenden Text nicht veranschaulicht, damit die Gate-Strukturen 700-701 deutlicher zu sehen sind. Es versteht sich außerdem, dass die Bildung der Gate-Strukturen 700-701 mehrere Prozessschritte aufweisen kann, die nicht den Schwerpunkt der vorliegenden Offenbarung bilden und darum im vorliegenden Text nicht im Detail besprochen werden. Zusätzliche Prozesse (zum Beispiel Interconnect-Strukturbildung, Packaging, Tests usw.) können ausgeführt werden, um die Fertigung der Halbleitervorrichtung 200 nach der Bildung der Gate-Strukturen 700-701 zu vollenden, die im vorliegenden Text aus Gründen der Einfachheit ebenfalls nicht im Detail besprochen werden.
  • 15A veranschaulicht ein Kurvendiagramm 800, das ein Diagramm 810 einer Ausführungsform des oben besprochenen Spitzenausheilungsprozesses 550 aufweist. Die X-Achse des Kurvendiagramms 800 repräsentiert die Zeit, zum Beispiel mit einer Einheit von Sekunden. Die Y-Achse des Kurvendiagramms 800 repräsentiert die Temperatur, zum Beispiel mit einer Einheit von Grad Celsius. Somit repräsentiert das Diagramm 810, wie die Temperatur des Spitzenausheilungsprozesses im Lauf der Zeit variiert. Am Zeitpunkt=Xo wird die Ausheilungstemperatur auf Y1 gehalten, was unter der Spitzentemperatur liegt. In einigen Ausführungsformen ist Y1=600 Grad Celsius. Am Zeitpunkt=X1 beginnt die Temperatur der Ausheilungsprozess zu springen oder wird rasch angehoben. In einigen Ausführungsformen hat der rasche Anstieg der Temperatur (im Verhältnis zur Zeit) eine Schräge in einem Bereich zwischen etwa 90 Grad Celsius pro Sekunde und etwa 110 Grad Celsius pro Sekunde, zum Beispiel etwa 100 Grad Celsius pro Sekunde. Das Anheben der Temperatur wird fortgesetzt, bis eine Spitzenausheilungstemperatur von Y2 am Zeitpunkt=X2 erreicht wird. In einigen Ausführungsformen ist die Spitzentemperatur Y2 größer als 800 Grad Celsius, aber weniger als 900 Grad Celsius, zum Beispiel zwischen etwa 810 Grad Celsius und etwa 840 Grad Celsius. Die Spitzentemperatur Y2 wird bis zum Zeitpunkt=X3 zum größten Teil aufrecht erhalten (zum Beispiel ist ein unbedeutender Abfall von einigen Grad statthaft). Das heißt, die Spitzentemperaturdauer (in der die Spitzentemperatur von Y2 aufrecht erhalten wird) ist gleich X3-X2. In einigen Ausführungsformen liegt die Dauer von X3-X2 in einem Bereich zwischen etwa 1 Sekunde und etwa 10 Sekunden, zum Beispiel zwischen etwa 1,5 Sekunden und etwa 3 Sekunden. Nach X3 beginnt die Temperatur abzufallen, aber mit einer Rate, die geringer ist als die Rate für ihren Anstieg. Auch hier sind die Eigenschaften des Ausheilungsprozesses speziell dafür konfiguriert, verschiedene Ziele zu erreichen, wie zum Beispiel Reparieren der Finnenstrukturen 252-253 (zum Beispiel durch Entfernen des Germaniumoxids) und/oder Verdicken der Siliziumdeckschicht 500.
  • 15B veranschaulicht ein Kurvendiagramm 900, das ein Diagramm 910 einer Ausführungsform des oben besprochenen Durchwärm-Ausheilungsprozesses 550 umfasst. Die X-Achse des Kurvendiagramms 900 repräsentiert die Zeit, zum Beispiel mit einer Einheit von Sekunden. Die Y-Achse des Kurvendiagramms 900 repräsentiert die Temperatur, zum Beispiel mit einer Einheit von Grad Celsius. Somit repräsentiert das Diagramm 910, wie die Temperatur des Durchwärm-Ausheilungsprozesses im Lauf der Zeit variiert. Am Zeitpunkt=Xo wird die Ausheilungstemperatur auf Y1 gehalten, was unter der Spitzentemperatur liegt. In einigen Ausführungsformen ist Y1=300 Grad Celsius. Am Zeitpunkt=X1 beginnt die Temperatur der Ausheilungsprozess zu steigen, aber mit einer Rate, die viel geringer ist als die, mit der die Temperatur in dem Spitzenausheilungsprozess angehoben wird. In einigen Ausführungsformen hat der Anstieg der Temperatur (im Verhältnis zur Zeit) eine Schräge in einem Bereich zwischen etwa 10 Grad Celsius pro Sekunde und etwa 20 Grad Celsius pro Sekunde, zum Beispiel etwa 14 Grad Celsius pro Sekunde. Das Anheben der Temperatur wird fortgesetzt, bis am Zeitpunkt=X2 eine Spitzenausheilungstemperatur von Y2 erreicht wird. In einigen Ausführungsformen ist die Spitzentemperatur Y2 größer als 350 Grad Celsius, aber weniger als 450 Grad Celsius, zum Beispiel zwischen etwa 375 Grad Celsius und etwa 425 Grad Celsius. Die Spitzentemperatur Y2 wird bis zum Zeitpunkt=X3 zum größten Teil aufrecht erhalten (zum Beispiel ist ein unbedeutender Abfall von einigen Grad statthaft). Das heißt, die Spitzentemperaturdauer (in der die Spitzentemperatur von Y2 aufrecht erhalten wird) ist gleich X3-X2. Die Spitzentemperaturdauer des Durchwärm-Ausheilungsprozesses ist viel länger als die des Spitzenausheilungsprozesses. In einigen Ausführungsformen liegt die Dauer von X3-X2 in einem Bereich zwischen etwa 50 Sekunden und etwa 200 Sekunden, zum Beispiel zwischen etwa 100 Sekunden und etwa 150 Sekunden. Nach dem Zeitpunkt X3 wird die Temperatur allmählich abgesenkt, bis der Zeitpunkt X4 erreicht ist, wo die Temperatur auf Y3 abgefallen ist. In einigen Ausführungsformen liegt Y3 in einem Bereich zwischen 175 Grad Celsius und 225 Grad Celsius. Nach dem Zeitpunkt X4 kann das Werkzeug abgeschaltet werden, und die Temperatur fällt schlagartig unter Y3. Obgleich das Ausheilungsprofil für den Durchwärm-Ausheilungsprozess ein anderes ist als das des Spitzenausheilungsprozesses, erreichen beide den gleichen Effekt, zum Beispiel mit Bezug auf das Reparieren der Finnenstrukturen 252-253 durch Entfernen des Germaniumoxids und/oder das Verdicken der Siliziumdeckschicht 500.
  • 17 ist ein Kurvendiagramm 1100, das eine Mobilitätsverbesserung und eine Defektverringerung gemäß Ausführungsformen der vorliegenden Offenbarung veranschaulicht. Das Kurvendiagramm 1100 hat eine horizontale X-Achse und eine vertikale Y-Achse. Die X-Achse entspricht Waferdefekten, zum Beispiel Density of Interface Trap (DIT). Die Y-Achse entspricht der Trägermobilität. Zwei Gruppen von Waferproben sind in 17 veranschaulicht. Die Gruppe von Waferproben 1110 sind Waferproben, bei denen die Wafer gemäß dem oben im Detail besprochenen Verfahren der vorliegenden Offenbarung hergestellt werden. Die Gruppe von Waferproben 1120 sind Waferproben, bei denen die Wafer gemäß herkömmlichen Prozesse hergestellt werden. Wie in 17 gezeigt, hat die Gruppe von Waferproben 1110 nicht nur weniger Waferdefekte verglichen mit der Gruppe von Waferproben 1120, sondern auch eine höhere Mobilität. Oder anders ausgedrückt: Durch Ausführen der Prozesse der vorliegenden Offenbarung können die Waferproben von der unteren rechten Ecke des Kurvendiagramms 1100 (wo die Defekte größer sind, aber die Mobilität kleiner ist) zu der oberen linken Ecke des Kurvendiagramms 1100 (wo die Defekte weniger sind, aber die Mobilität höher ist) bewegt werden.
  • 18 ist ein Flussdiagramm, das ein Verfahren 1200 gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht. Das Verfahren 1200 umfasst einen Schritt 1210, in dem eine Halbleitervorrichtung bereitgestellt wird. Die Halbleitervorrichtung umfasst eine Finnenstruktur, die vertikal aufwärts vorsteht.
  • Das Verfahren 1200 umfasst einen Schritt 1220, in dem eine seitliche Abmessung der Finnenstruktur verringert wird.
  • Das Verfahren 1200 umfasst einen Schritt 1230, in dem eine Halbleiterschicht auf der Finnenstruktur ausgebildet wird.
  • Das Verfahren 1200 umfasst einen Schritt 1240, in dem ein Ausheilungsprozess an der Halbleitervorrichtung ausgeführt wird.
  • Das Verfahren 1200 umfasst einen Schritt 1250, in dem eine Dielektrikumschicht über der Finnenstruktur ausgebildet wird.
  • In einigen Ausführungsformen hat eine Oberfläche der Finnenstruktur eine erste Rauigkeit, nachdem die seitliche Abmessung in Schritt 1220 verringert wurde, und die Oberfläche der Finnenstruktur hat eine zweite Rauigkeit, die geringer ist als die erste Rauigkeit, nachdem der Ausheilungsprozess in Schritt 1240 ausgeführt wurde.
  • In einigen Ausführungsformen wird Germaniumoxid an einer Grenzfläche zwischen der Finnenstruktur und der Halbleiterschicht ausgebildet, bevor der Ausheilungsprozess in Schritt 1240 ausgeführt wird, und der Ausheilungsprozess von Schritt 1240 verringert das Germaniumoxid.
  • In einigen Ausführungsformen macht der Ausheilungsprozess von Schritt 1240 die Halbleiterschicht dicker.
  • In einigen Ausführungsformen umfasst die Halbleitervorrichtung eine Finnenstruktur, die Silizium-Germanium aufweist, und eine Siliziumschicht wird epitaxial als die Halbleiterschicht gezüchtet, und mindestens ein Teil der Halbleiterschicht wird zu der Dielektrikumschicht oxidiert.
  • In einigen Ausführungsformen umfasst der Ausheilungsprozess einen Spitzenausheilungsprozess. In einigen Ausführungsformen wird der Spitzenausheilungsprozess mit einer Ausheilungstemperatur in einem Bereich zwischen etwa 800 Grad Celsius und etwa 900 Grad Celsius, mit einer Ausheilungszeit in einem Bereich zwischen etwa 1 Sekunde und etwa 10 Sekunden, und mit einem Ausheilungsdruck in einem Bereich zwischen etwa 6666,12 Pa und etwa 101325 Pa ausgeführt.
  • In einigen Ausführungsformen umfasst der Ausheilungsprozess einen Durchwärm-Ausheilungsprozess. In einigen Ausführungsformen wird der Durchwärm-Ausheilungsprozess mit einer Ausheilungstemperatur in einem Bereich zwischen etwa 300 Grad Celsius und etwa 450 Grad Celsius, mit einer Ausheilungszeit in einem Bereich zwischen etwa 50 Sekunden und etwa 200 Sekunden, und mit einem Ausheilungsdruck in einem Bereich zwischen etwa 6666,12 Pa und etwa 101325 Pa ausgeführt.
  • Es versteht sich, dass zusätzliche Prozesse vor, während oder nach den Schritten 1210-1250 des Verfahrens 1200 ausgeführt werden können. Zum Beispiel kann das Verfahren 1200 einen Schritt des Bildens einer Gate-Struktur über der Finnenstruktur aufweisen, wobei die Dielektrikumschicht als eine Grenzschicht der Gate-Struktur dient. Aus Gründen der Einfachheit werden andere zusätzliche Schritte im vorliegenden Text nicht im Detail besprochen.
  • Zusammenfassend ausgedrückt, führt die vorliegende Offenbarung einen sorgfältig abgestimmten Ausheilungsprozess nach dem Bilden der Siliziumdeckschicht und vor der Oxidation der Siliziumdeckschicht aus. Der Ausheilungsprozess verringert den Germaniumoxidgehalt in der Grenzfläche zwischen den PFET-Finnenstrukturen und der Siliziumdeckschicht, indem bewirkt wird, dass das Germanium aus der Halbleitervorrichtung diffundiert. Dieser Mechanismus macht auch die Siliziumdeckschicht effektiv dicker. Der Ausheilungsprozess repariert außerdem die rauen Finnenoberflächen durch Neuanordnen der Atome auf den Finnenoberflächen. Nachdem der Ausheilungsprozess ausgeführt wurde, wird ein Oxidationsprozess ausgeführt, um die (nun dicker gewordene) Siliziumdeckschicht zu oxidieren, um eine Grenzschicht zu bilden, die als ein Teil einer Gate-Struktur des FinFET-Transistors zu verwenden ist.
  • Auf der Basis der obigen Besprechungen ist zu erkennen, dass die vorliegende Offenbarung Vorteile gegenüber der herkömmlichen FinFET-Fertigung bietet. Es versteht sich jedoch, dass andere Ausführungsformen zusätzliche Vorteile bieten können und dass nicht unbedingt alle Vorteile im vorliegenden Text offenbart wurden, und dass kein bestimmter Vorteil für alle Ausführungsformen benötigt wird. Ein Vorteil ist, dass die vorliegende Offenbarung die Qualität der Finnenstruktur verbessert. Zum Beispiel können die Finnenstrukturen ohne die Ausführung des im vorliegenden Text beschriebenen Ausheilungsprozesses raue Oberflächen haben, was zu hohem Widerstand, geringer Trägermobilität usw. führen kann. Hier ordnet der Ausheilungsprozess die Atome auf den Oberflächen der Finnenstrukturen neu, wodurch die Finnenstrukturen geglättet werden. Die glatteren Finnenstrukturen besitzen bessere Trägermobilität und weniger Widerstand. Die glatteren Finnenstrukturen bedeuten auch bessere LWR und LER. Als ein weiteres Beispiel kann das Germaniumoxid, das an einer Grenzfläche zwischen den Finnenstrukturen und der Siliziumdeckschicht ausgebildet wird, zu Density of Interface Trap (DIT)-Defekten führen. Hier stellt der Ausheilungsprozess extra Energie bereit, um zu helfen, die Bindungen zwischen dem Germanium und Sauerstoff aufzubrechen, und das Germanium kann aus der Halbleitervorrichtung diffundieren, und Sauerstoff kann sich mit Silizium an den Außenflächen der Siliziumdeckschicht verbinden. Die Reduzierung des Germaniumoxids verringert die DIT-Defekte und macht die Siliziumdeckschicht dicker, so dass die Siliziumdeckschicht besser als eine Schicht dienen kann, die anschließend oxidiert werden soll, um die Grenzschicht einer Gate-Struktur zu bilden. Zu weiteren Vorteilen gehört die Kompatibilität mit der existierenden FinFET-Fertigung, so dass die vorliegende Offenbarung einfach und bequem implementier werden kann.
  • Ein Aspekt der vorliegenden Offenbarung betrifft ein Verfahren zur Fertigung einer Halbleitervorrichtung. Eine Halbleitervorrichtung wird bereitgestellt. Die Halbleitervorrichtung hat eine Finnenstruktur, die vertikal aufwärts vorsteht. Eine seitliche Abmessung der Finnenstruktur wird verringert. Eine Halbleiterschicht wird auf der Finnenstruktur ausgebildet, nachdem die seitliche Abmessung verringert wurde. Ein Ausheilungsprozess an der Halbleitervorrichtung wird nach dem Bilden der Halbleiterschicht ausgeführt. Eine Dielektrikumschicht über der Finnenstruktur wird nach dem Ausführen des Ausheilungsprozesses ausgebildet.
  • Ein anderer Aspekt der vorliegenden Offenbarung betrifft ein Verfahren zur Fertigung einer Halbleitervorrichtung. Eine Halbleitervorrichtung wird bereitgestellt. Die Halbleitervorrichtung hat eine Finnenstruktur, die vertikal aufwärts vorsteht. Ein Finnentrimmprozess wird ausgeführt, um eine seitliche Abmessung der Finnenstruktur zu verringern. Die Finnenstruktur hat nach dem Finnentrimmprozess raue Oberflächen. Eine Siliziumdeckschicht wird auf der Finnenstruktur ausgebildet, nachdem der Finnentrimmprozess ausgeführt wurde. Die Halbleitervorrichtung wird ausgeheilt, nachdem die Siliziumdeckschicht gebildet wurde. Die Finnenstruktur hat nach der Ausheilung weniger raue Oberflächen. Mindestens ein Teil der Siliziumdeckschicht wird nach der Ausheilung in eine Dielektrikumschicht umgewandelt.
  • Ein anderer Aspekt der vorliegenden Offenbarung betrifft eine Halbleitervorrichtung. Die Halbleitervorrichtung umfasst ein Substrat, das ein halbleitendes Material aufweist. Eine Finnenstruktur steht von dem Substrat vor. Die Finnenstruktur ist eine Finnenstruktur für einen FinFET-Transistor vom p-Typ. Eine Siliziumdeckschicht wird auf der Finnenstruktur angeordnet. Eine Dielektrikumschicht wird auf der Siliziumdeckschicht angeordnet. Die Finnenstruktur hat eine Leitungsbreitenrauigkeit (LWR) zwischen etwa 1,7 Nanometern (nm) und etwa 1,9 nm. Die Finnenstruktur hat eine Leitungsrandrauigkeit (LER) zwischen etwa 1,5 Nanometern (nm) und etwa 1,7 nm.

Claims (13)

  1. Verfahren (1200) zur Fertigung einer Halbleitervorrichtung, das Folgendes umfasst: Bereitstellen (1210) einer Halbleitervorrichtung mit einer Finnenstruktur, die vertikal aufwärts vorsteht; Reduzieren (1220) einer seitlichen Abmessung der Finnenstruktur; Bilden (1230) einer Halbleiterschicht auf der Finnenstruktur, nachdem die seitliche Abmessung verringert wurde; Ausführen (1240) eines Ausheilungsprozesses an der Halbleitervorrichtung nach dem Bilden der Halbleiterschicht; Bilden (1250) einer Dielektrikumschicht über der Finnenstruktur nach dem Ausführen des Ausheilungsprozesses; wobei: das Bereitstellen (1210) der Halbleitervorrichtung das Bilden einer Finnenstruktur, die Silizium-Germanium aufweist, als die Finnenstruktur umfasst; das Bilden (1230) der Halbleiterschicht das epitaxiale Züchten einer Siliziumschicht als die Halbleiterschicht umfasst; und das Bilden (1250) der Dielektrikumschicht das Oxidieren mindestens eines Abschnitts der Halbleiterschicht zu der Dielektrikumschicht umfasst.
  2. Verfahren nach Anspruch 1, wobei: eine Oberfläche der Finnenstruktur eine erste Rauigkeit hat, nachdem die seitliche Abmessung verringert wurde; und die Oberfläche der Finnenstruktur nach dem Ausführen des Ausheilungsprozesses eine zweite Rauigkeit hat, die geringer ist als die erste Rauigkeit.
  3. Verfahren nach Anspruch 1 oder 2, wobei Germaniumoxid an einer Grenzfläche zwischen der Finnenstruktur und der Halbleiterschicht ausgebildet wird, bevor der Ausheilungsprozess ausgeführt wird, und der Ausheilungsprozess das Germaniumoxid verringert.
  4. Verfahren nach Anspruch 1, das des Weiteren Folgendes umfasst: Bilden einer Gate-Struktur über der Finnenstruktur, wobei die Dielektrikumschicht als eine Grenzschicht der Gate-Struktur dient.
  5. Verfahren nach einem der vorangehenden Ansprüche, wobei das Ausführen des Ausheilungsprozesses das Ausführen eines Spitzenausheilungsprozesses umfasst.
  6. Verfahren nach Anspruch 5, wobei der Spitzenausheilungsprozess mit einer Ausheilungstemperatur in einem Bereich zwischen etwa 800 Grad Celsius und etwa 900 Grad Celsius ausgeführt wird.
  7. Verfahren nach Anspruch 5 oder Anspruch 6, wobei der Spitzenausheilungsprozess mit einer Ausheilungszeit in einem Bereich zwischen etwa 1 Sekunde und etwa 10 Sekunden ausgeführt wird.
  8. Verfahren nach einem der Ansprüche 5 bis 7, wobei der Spitzenausheilungsprozess mit einem Ausheilungsdruck in einem Bereich zwischen etwa 6666,12 Pa und etwa 101325 Pa ausgeführt wird.
  9. Verfahren nach einem der vorangehenden Ansprüche, wobei das Ausführen des Ausheilungsprozesses das Ausführen eines Durchwärm-Ausheilungsprozesses umfasst.
  10. Verfahren nach Anspruch 9, wobei der Durchwärm-Ausheilungsprozess mit einer Ausheilungstemperatur in einem Bereich zwischen etwa 300 Grad Celsius und etwa 450 Grad Celsius ausgeführt wird.
  11. Verfahren nach Anspruch 9 oder Anspruch 10, wobei der Durchwärm-Ausheilungsprozess mit einer Ausheilungszeit in einem Bereich zwischen etwa 50 Sekunden und etwa 200 Sekunden ausgeführt wird.
  12. Verfahren nach einem der Ansprüche 9 bis 11, wobei der Durchwärm-Ausheilungsprozess mit einem Ausheilungsdruck in einem Bereich zwischen etwa 6666,12 Pa und etwa 101325 Pa ausgeführt wird.
  13. Verfahren (1200) zur Fertigung einer Halbleitervorrichtung, das Folgendes umfasst: Bereitstellen (1210) einer Halbleitervorrichtung mit einer Finnenstruktur, die vertikal aufwärts vorsteht; Ausführen (1220) eines Finnentrimmprozesses, um eine seitliche Abmessung der Finnenstruktur zu verringern, wobei die Finnenstruktur nach dem Finnentrimmprozess raue Oberflächen hat; Bilden (1230) einer Siliziumdeckschicht auf der Finnenstruktur, nachdem der Finnentrimmprozess ausgeführt wurde; Ausheilen (1240) der Halbleitervorrichtung, nachdem die Siliziumdeckschicht gebildet wurde, wobei die Finnenstruktur nach der Ausheilung weniger raue Oberflächen hat; Umwandeln (1250) mindestens eines Abschnitts der Siliziumdeckschicht in eine Dielektrikumschicht nach der Ausheilung; und wobei die Ausheilung das Ausführen eines Spitzenausheilungsprozesses mit einer Ausheilungstemperatur in einem Bereich zwischen etwa 800 Grad Celsius und etwa 900 Grad Celsius, mit einer Ausheilungszeit in einem Bereich zwischen etwa 1 Sekunde und etwa 10 Sekunden, und mit einem Ausheilungsdruck in einem Bereich zwischen etwa 6666,12 Pa und etwa 101325 Pa aufweist, oder wobei die Ausheilung das Ausführen eines Durchwärm-Ausheilungsprozesses mit einer Ausheilungstemperatur in einem Bereich zwischen etwa 300 Grad Celsius und etwa 450 Grad Celsius, mit einer Ausheilungszeit in einem Bereich zwischen etwa 50 Sekunden und etwa 200 Sekunden, und mit einem Ausheilungsdruck in einem Bereich zwischen etwa 6666,12 Pa und etwa 101325 Pa aufweist.
DE102018126132.2A 2018-06-27 2018-10-22 Ausführen eines Ausheilungsprozesses zum Verbessern der Finnenqualität eines FinFET-Halbleiters Active DE102018126132B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862690614P 2018-06-27 2018-06-27
US62/690,614 2018-06-27
US16/158,802 US11302535B2 (en) 2018-06-27 2018-10-12 Performing annealing process to improve fin quality of a FinFET semiconductor
US16/158,802 2018-10-12

Publications (2)

Publication Number Publication Date
DE102018126132A1 DE102018126132A1 (de) 2020-01-02
DE102018126132B4 true DE102018126132B4 (de) 2020-12-31

Family

ID=68885855

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018126132.2A Active DE102018126132B4 (de) 2018-06-27 2018-10-22 Ausführen eines Ausheilungsprozesses zum Verbessern der Finnenqualität eines FinFET-Halbleiters

Country Status (5)

Country Link
US (2) US11302535B2 (de)
KR (1) KR102184597B1 (de)
CN (1) CN110648971B (de)
DE (1) DE102018126132B4 (de)
TW (1) TWI786298B (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI753297B (zh) * 2018-09-03 2022-01-21 美商應用材料股份有限公司 形成含矽層的方法
US20210257462A1 (en) * 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-Germanium Fins and Methods of Processing the Same in Field-Effect Transistors
US11189697B2 (en) * 2020-04-01 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thin fin structure and method of fabricating the same
US11677015B2 (en) * 2020-05-13 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11842933B2 (en) * 2021-01-15 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US12009400B2 (en) 2021-02-14 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Device providing multiple threshold voltages and methods of making the same
US20230008413A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060076625A1 (en) * 2004-09-25 2006-04-13 Lee Sung-Young Field effect transistors having a strained silicon channel and methods of fabricating same
US20160126353A1 (en) * 2014-10-29 2016-05-05 Globalfoundries Inc. Finfet device including a uniform silicon alloy fin
US20160322501A1 (en) * 2015-04-29 2016-11-03 International Business Machines Corporation Silicon germanium alloy fins with reduced defects
US20160379831A1 (en) * 2015-06-29 2016-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Field Effect Transistors Having Oxygen-Scavenged Gate Stack

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100682892B1 (ko) 2004-09-25 2007-02-15 삼성전자주식회사 박막 트랜지스터의 제조방법
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
KR100683490B1 (ko) 2005-06-29 2007-02-15 주식회사 하이닉스반도체 수직 채널을 갖는 전계 효과 트랜지스터의 제조방법
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8987092B2 (en) * 2008-04-28 2015-03-24 Spansion Llc Methods for fabricating memory cells having fin structures with semicircular top surfaces and rounded top corners and edges
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8776734B1 (en) 2008-05-19 2014-07-15 Innovative Environmental Solutions, Llc Remedial system: a pollution control device for utilizing and abating volatile organic compounds
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8609518B2 (en) 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8575009B2 (en) * 2012-03-08 2013-11-05 International Business Machines Corporation Two-step hydrogen annealing process for creating uniform non-planar semiconductor devices at aggressive pitch
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
CN103515223A (zh) * 2012-06-20 2014-01-15 中芯国际集成电路制造(上海)有限公司 FinFET制造方法
CN103515213B (zh) 2012-06-25 2017-04-12 中芯国际集成电路制造(上海)有限公司 形成FinFET栅介质层的方法和形成FinFET的方法
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US8497177B1 (en) 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9275905B1 (en) * 2015-01-28 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure with anti-punch through structure
US9583572B2 (en) 2015-06-25 2017-02-28 International Business Machines Corporation FinFET devices having silicon germanium channel fin structures with uniform thickness
US9601514B1 (en) * 2016-01-26 2017-03-21 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060076625A1 (en) * 2004-09-25 2006-04-13 Lee Sung-Young Field effect transistors having a strained silicon channel and methods of fabricating same
US20160126353A1 (en) * 2014-10-29 2016-05-05 Globalfoundries Inc. Finfet device including a uniform silicon alloy fin
US20160322501A1 (en) * 2015-04-29 2016-11-03 International Business Machines Corporation Silicon germanium alloy fins with reduced defects
US20160379831A1 (en) * 2015-06-29 2016-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Field Effect Transistors Having Oxygen-Scavenged Gate Stack

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
LIU, E. [et al.]: Roughness and uniformity improvements on self-aligned quadruple patterning technique for 10nm node and beyond by wafer stress engineering. Proc. SPIE 10149, Advanced Etch Technology for Nanopatterning VI, 101490W. DOI: 10.1117/12.2258097 *

Also Published As

Publication number Publication date
CN110648971A (zh) 2020-01-03
KR102184597B1 (ko) 2020-12-02
TW202006824A (zh) 2020-02-01
US20200006084A1 (en) 2020-01-02
US20220238350A1 (en) 2022-07-28
US11302535B2 (en) 2022-04-12
CN110648971B (zh) 2022-03-04
TWI786298B (zh) 2022-12-11
DE102018126132A1 (de) 2020-01-02
KR20200001444A (ko) 2020-01-06

Similar Documents

Publication Publication Date Title
DE102018126132B4 (de) Ausführen eines Ausheilungsprozesses zum Verbessern der Finnenqualität eines FinFET-Halbleiters
DE102014222562B4 (de) Verfahren zum bilden von austauschgatestrukturen und von fins auf finfet-vorrichtungen und die resultierenden vorrichtungen
DE102017116343B4 (de) Verfahren zum Ausbilden eines Halbleiterbauelements, das eine nichtflüchtige Speicherzelle umfasst und Halbleiterbauelement, das eine nichtflüchtige Speicherzelle umfasst
DE102017126416A1 (de) FET mit negativer Kapazität mit verbessertem Zuverlässigkeitsverhalten
DE102018115909A1 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102019205650A1 (de) Nanosheet-Feldeffekttransistor mit einem zweidimensionalen halbleitenden Material
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102018109911A1 (de) Finnen-basierte Streifen-Zellenstruktur zur Verbesserung der Speicherleistung
DE102015117142A1 (de) Kontakte für stark skalierte Transistoren
DE102019116998B4 (de) Leitfähiger kontakt mit treppenartigen barriereschichten
DE102017117863B4 (de) Integrierte Schaltung mit einer Gatestruktur und Herstellungsverfahren
DE102014109807A1 (de) Kanal-Streckungssteuerung für nichtplanare Verbindungshalbleitervorrichtungen
DE112005003007T5 (de) Transistorbauelement und Verfahren zu seiner Herstellung
DE102005009976A1 (de) Transistor mit Dotierstoff tragendem Metall im Source- und Drainbereich
DE102017100394A1 (de) FinFET-Struktur und entsprechende Verfahren
DE102019118385A1 (de) Halbleitervorrichtung und Verfahren
DE102017123047B4 (de) Herstellungsverfahren für FINFETs mit unterschiedlichen Finnenkanalhöhen
DE102015206391B4 (de) Thyristor-Direktzugriffsspeicher und Verfahren zu dessen Herstellung
DE102019121117A1 (de) Luftspacer für eine gatestruktur eines transistors
DE102017124081A1 (de) Leckstromreduzierungsverfahren und damit verbundene Strukturen
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102017126039A1 (de) Integrierte-schaltkreis-vorrichtung mit source/drain-barriere
DE102021113387A1 (de) Epitaktische merkmale
DE102019118061A1 (de) Selektive doppelsilizidherstellung unter verwendung eines maskenlosen herstellungsprozessablaufs

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 102018010406

Country of ref document: DE

R020 Patent grant now final