DE102017127232B4 - Verfahren zur Herstellung eines FinFET und Geräte zur Durchführung des Verfahrens - Google Patents

Verfahren zur Herstellung eines FinFET und Geräte zur Durchführung des Verfahrens Download PDF

Info

Publication number
DE102017127232B4
DE102017127232B4 DE102017127232.1A DE102017127232A DE102017127232B4 DE 102017127232 B4 DE102017127232 B4 DE 102017127232B4 DE 102017127232 A DE102017127232 A DE 102017127232A DE 102017127232 B4 DE102017127232 B4 DE 102017127232B4
Authority
DE
Germany
Prior art keywords
collective
wafer
susceptor
individual
wafer plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017127232.1A
Other languages
English (en)
Other versions
DE102017127232A1 (de
Inventor
Yung-Chang Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/693,741 external-priority patent/US10522387B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017127232A1 publication Critical patent/DE102017127232A1/de
Application granted granted Critical
Publication of DE102017127232B4 publication Critical patent/DE102017127232B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/04Pattern deposit, e.g. by using masks
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Gerät, umfassend:eine kollektive Waferplatte (10), die eine Vielzahl einzelner Wafertaschen (18) umfasst, wobei die einzelnen Wafertaschen (18) jeweilige einzelne Waferplatten (20) aufweisen, wobei die einzelnen Waferplatten (20) konfiguriert sind, um um jeweilige erste Achsen (R1) zu drehen, wobei die kollektive Waferplatte (10) konfiguriert ist, um um eine zweite Achse (R2) zu drehen;einen Antriebmechanismus (243), der mit der kollektiven Waferplatte (10) gekoppelt ist,eine Steuereinheit (227), die konfiguriert ist, um den Antriebmechanismus (243)derart zu steuern, dass die einzelnen Waferplatten (20) um die jeweiligen ersten Achsen drehen und die kollektive Waferplatte (10) um die zweite Achse dreht;wobei die kollektive Waferplatte (10) Folgendes umfasst:einzelne Suszeptorzahnräder (30), die mit den einzelnen Waferplatten (20) gekoppelt sind,ein kollektives Suszeptorzahnrad (32), das mit der kollektiven Waferplatte (10) gekoppelt ist, wobei jedes der einzelnen Suszeptorzahnräder (30) mechanisch mit dem kollektiven Suszeptorzahnrad (32) gekoppelt ist;wobei das Gerät ferner Folgendes umfasst:eine Abscheidungskammer (219), wobei die kollektive Waferplatte (10) in der Abscheidungskammer (219) angeordnet ist, undeinen Duschkopf (231), der konfiguriert ist, um ein oder mehrere Vorläufermaterialien über der kollektiven Waferplatte (10) abzugeben;wobei die kollektive Waferplatte (10) einen Suszeptor (16) umfasst, und die Steuereinheit (227) konfiguriert ist, um den Suszeptor (16) die kollektive Waferplatte (10) erhitzen zu lassen, während der Duschkopf (231) das eine oderdie mehreren Vorläufermaterialien über der kollektiven Waferplatte (10) abgibt; undDichtungen (28), die zwischen den einzelnen Waferplatten (20) und der kollektiven Waferplatte (10) angeordnet sind.

Description

  • STAND DER TECHNIK
  • Halbleitervorrichtungen werden in einer Vielfalt elektronischer Anwendungen eingesetzt, wie zum Beispiel in Personal Computern, Mobiltelefonen, digitalen Kameras und anderen elektronischen Ausstattungen. Halbleitervorrichtungen werden typischerweise durch sequenzielles Aufbringen isolierender oder dielektrischer Schichten, leitfähiger Schichten und Halbleiterschichten auf Material über einem Halbleitersubstrat und Strukturieren der diversen Materialschichten unter Verwenden von Lithografie zum Bilden von Schaltungsbauteilen und Elementen darauf hergestellt.
  • Die Halbleiterindustrie verbessert laufend die Integrationsdichte der diversen elektronischen Bauteile (zum Beispiel Transistoren, Dioden, Widerstände, Kondensatoren usw.) durch immer weitere Verringerungen der Merkmalmindestgröße, die es erlauben, mehr Bauteile in eine gegebene Fläche zu integrieren. Mit der Reduzierung der Merkmalmindestgröße, ergeben sich jedoch zusätzliche Probleme, welchen begegnet werden muss.
  • Mehrfach drehbare Waferplatten, bei denen sich eine gemeinsame Trägerplatte um eine Achse dreht, während sich eine oder mehrere auf der gemeinsamen Trägerplatte liegende einzelne Trägerplatten eigenständig um eine jeweilige Achse drehen sind aus der US 2011/0083602 A1 , der JP 2013 147677 A1 , der US 2012/0145080 A1 und der US 2011/0300297 A1 bekannt.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die vorliegende Erfindung betrifft ein Gerät gemäß Anspruch 1, ein Gerät gemäß Anspruch 10 und ein Verfahren gemäß Anspruch 13. Bevorzugte Ausführungsformen der Erfindung sind in den abhängigen Ansprüchen angegeben.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung versteht man am besten aus der folgenden ausführlichen Beschreibung unter Heranziehung der begleitenden Figuren. Es wird betont, dass in Übereinstimmung mit der Standardpraxis der Industrie diverse Elemente nicht maßstabgerecht gezeichnet sind. Die Maße der diversen Elemente können nämlich zur Klarheit der Besprechung willkürlich vergrößert oder verkleinert werden.
  • Die 1A und 1B veranschaulichen jeweils eine Querschnittansicht und eine Draufsicht einer kollektiven Waferplatte in Übereinstimmung mit einigen Ausführungsformen.
  • Die 2 und 3 veranschaulichen Aspekte eines Abscheidungssystems in Übereinstimmung mit einigen Ausführungsformen.
  • 4 veranschaulicht ein Beispiel eines FinFET in einer dreidimensionalen Ansicht in Übereinstimmung mit einigen Ausführungsformen.
  • Die 5 bis 22B sind Querschnittansichten von Zwischenstufen in der Fertigung von FinFETs unter Verwenden des Abscheidungssystems der 2 und 3 in Übereinstimmung mit einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Umsetzen unterschiedlicher Merkmale der Erfindung bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Es sind dies natürlich nur Beispiele, die nicht bezwecken, einschränkend zu sein. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen aufweisen, bei welchen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen aufweisen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal eventuell nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung Bezugszeichen und/oder Bezugsbuchstaben in den diversen Beispielen wiederholen. Diese Wiederholung soll der Einfachheit und der Klarheit dienen und schreibt selbst keine Beziehung zwischen den diversen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumliche Bezugsbegriffe, wie zum Beispiel „unterhalb“, „unter“, „niedriger“, „oberhalb“, „ober“ und dergleichen hier zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Merkmals oder Merkmals zu einem oder mehreren anderen Merkmalen oder Merkmalen, wie sie in den FIG. veranschaulicht sind, zu beschreiben. Die räumlichen Bezugsbegriffe können bezwecken, unterschiedliche Ausrichtungen der Vorrichtung beim Gebrauch oder Betrieb zusätzlich zu der Ausrichtung, die in den FIG. abgebildet ist, einzuschließen. Das Gerät kann anders ausgerichtet sein (um 90 Grad gedreht oder an andere Ausrichtungen), und die räumlichen Bezugsdeskriptoren, die hier verwendet werden, werden entsprechend ausgelegt.
  • Halbleitervorrichtungen, Verfahren und Fertigungswerkzeuge sind in Übereinstimmung mit diversen Ausführungsformen beschrieben. Insbesondere kann das Fertigungswerkzeug, wie eine Abscheidungskammer, einen Suszeptor oder eine Waferplattform aufweisen, der/die ein Substrat während eines Abscheidungsprozesses erhitzt. Der Suszeptor weist mehrere Taschen zum Halten von Wafern auf, und jede Tasche hat ein Zahnrad, das mechanisch mit einem Mittenzahnrad des Suszeptors gekoppelt ist. Durch Drehen des Mittenzahnrads oder der Taschenräder, kann jeder der Wafer in seiner jeweiligen Tasche während des Abscheidens gedreht werden, ohne ein Vakuum der Abscheidungskammer (zum Beispiel in situ) zu brechen. Der Suszeptor kann auch während der Abscheidung gedreht werden. Die obere Fläche des Suszeptors kann ein ungleichmäßiges Heizprofil aufweisen; das einzelne Drehen jedes der Wafer in ihrer Tasche und kollektiv mit dem Suszeptor kann es jedem Wafer erlauben, während der Abscheidung im Wesentlichen gleichmäßig erhitzt zu werden Gleichmäßiges Erhitzen der Wafer während eines Abscheidungsprozesses kann die Gleichmäßigkeit der Stärke des abgeschiedenen Materials verbessern. Das Drehen ohne Brechen eines Vakuums der Kammer während der Abscheidung kann Ausfallszeit des Werkzeugs vermeiden, die durch Stoppen des Abscheidungsprozesses, Entfernen und Drehen der Wafer und Neustarten des Abscheidungsprozesses auftreten kann.
  • Die 1A und 1B veranschaulichen jeweils Querschnitt- und Draufsichten einer kollektiven Waferplatte 10 zum Halten einer Vielzahl von Wafern 12. Die kollektive Waferplatte 10 weist eine Welle 14 und einen Suszeptor 16 auf. Die kollektive Waferplatte 10 kann mit einem Antriebsmechanismus (in den 1A und 1B nicht gezeigt, aber unten besprochen) verbunden sein, der betätigbar ist, um den Suszeptor 16 innerhalb einer Verarbeitungskammer während eines Waferbearbeitungsschritts, wie eines Abscheidungsprozesses, zu drehen oder zu schleudern. Bei einigen Ausführungsformen ist der Suszeptor 16 an der Welle 14 befestigt, und der Antriebsmechanismus treibt die Welle 14 derart an, dass die Welle 14 und der Suszeptor 16 gemeinsam drehen. Bei einigen Ausführungsformen kann der Suszeptor 16 frei um die Welle 14 drehen, und der Antriebsmechanismus treibt den Suszeptor 16 derart an, dass er um die Welle 14 dreht. Der Suszeptor 16 wirkt als eine Verarbeitungsfläche, die in einigen Aspekten mechanisch die Wafer 12 trägt. Der Suszeptor 16 kann elektromagnetische Energie absorbieren und sie in Wärmeenergie umwandeln, die die Wafer 12 während der Verarbeitung erhitzt, und kann aus einem nicht durchsichtigen Material wie Siliziumkarbid, Graphit mit einer Siliziumkarbidbeschichtung und/oder dergleichen bestehen. Der Suszeptor 16 hat eine Vielzahl von Wafertaschen 18, die jeweilige Wafer 12 halten.
  • Einzelne Waferplatten halten die Wafer 12 in jeweiligen Wafertaschen 18. Jede der einzelnen Wafertaschen 18 weist einen Aufbau 22 auf, der ein Spannfutter 24 trägt. Die Aufbauten 22 erstrecken sich durch den Suszeptor 16, und die Spannfutter 24 halten die Wafer 12 in ihren jeweiligen Wafertaschen 18. Die einzelnen Waferplatten 20 weisen ferner Isolatoren 26 auf, die die Wafer 12 schützen und sie voneinander isolieren. Die Isolatoren 26 erstrecken sich entlang von Seitenwänden der Wafer 12, und eine obere Fläche der Isolatoren 26 kann sich über eine obere Fläche der Wafer 12 erstrecken oder nicht. Die einzelnen Waferplatten 20 haben einen Durchmesser gleich oder größer als der Durchmesser der Wafer 12, weil sie die Isolatoren 26 haben. Die Wafertaschen 18 sind gleich oder größer als die Wafer 12, und sind groß genug, um den Durchmesser der einzelnen Waferplatten 20 aufzunehmen. Dichtungen 28 befinden sich zwischen der kollektiven Waferplatte 10 und den einzelnen Waferplatten 20 und verhindern, dass Verarbeitungsgase (die weiter unten besprochen sind) aus der Unterseite der Wafertaschen 18 austreten, wenn sich die einzelnen Waferplatten 20 drehen.
  • Jede der einzelnen Waferplatten 20 dreht den jeweiligen Wafer 12 in den jeweiligen Wafertaschen 18. Die einzelnen Waferplatten 20 haben jeweils ein einzelnes Suszeptorzahnrad 30, das mit dem Aufbau 22 auf einer entgegengesetzten Seite des Suszeptors 16 als das Spannfutter 24 verbunden ist. Obwohl in 1B ein einzelnes Suszeptorzahnrad 30 gezeigt ist, sollte man verstehen, dass jede der einzelnen Waferplatten 20 mit einem jeweiligen einzelnen Suszeptorzahnrad 30 gekoppelt ist. Jedes einzelne Suszeptorzahnrad 30 ist physisch und mechanisch mit einem kollektiven Suszeptorzahnrad 32 gekoppelt. Das kollektive Suszeptorzahnrad 32 ist auf die Welle 14 mit Befestigungen 34, die zum Beispiel Stifte sein können, montiert. Während des Betriebs drehen die Wafer 12 einzeln in ihren Wafertaschen 18 und kollektiv um die Welle 14. Die einzelnen Waferplatten 20 drehen um erste Achsen R1, die sich in Längsrichtung in entlang der Aufbauten 22 senkrecht zu den Hauptflächen der einzelnen Suszeptorzahnräder 30 erstrecken. Die kollektive Waferplatte 10 dreht um eine zweite Achse R2, die sich in eine Längsrichtung entlang der Welle 14 senkrecht zu einer Hauptfläche des kollektiven Suszeptorzahnrads 32 erstreckt.
  • Bei einigen Ausführungsformen dreht das kollektive Suszeptorzahnrad 32 um die Welle, wenn sich die Welle 14 dreht. Bei solchen Ausführungsformen ist der Suszeptor 16 an der Welle 14 befestigt, und die Befestigungen 34 befestigen das kollektive Suszeptorzahnrad 32 an der Welle 14 derart, dass das kollektive Suszeptorzahnrad 32 frei um die Welle 14 dreht. Der Antriebsmechanismus ist mit der Welle 14 und dem kollektiven Suszeptorzahnrad 32 verbunden und dreht sie separat, und kann sie in eine unterschiedliche oder in eine selbe Richtung drehen. Das Drehen des kollektiven Suszeptorzahnrads 32 in eine erste Richtung dreht die einzelnen Suszeptorzahnräder 30 in eine zweite Richtung, die zu der ersten Richtung entgegengesetzt ist, was veranlasst, dass der Aufbau 22, das Spannfutter 24 und die Isolatoren 26 für jede der einzelnen Waferplatten 20 drehen, was wiederum die jeweiligen Wafer 12 dreht. Die einzelnen Waferplatten 20 drehen in eine erste Drehrichtung um die ersten Achsen R1, und die kollektive Waferplatte 10 dreht in eine zweite Drehrichtung um die zweite Achse R2. Bei einigen Ausführungsformen sind die erste Drehrichtung und die zweite Drehrichtung dieselbe Richtung. Die kollektive Waferplatte 10 und die einzelnen Waferplatten 20 können zum Beispiel in den Uhrzeigersinn oder gegen den Uhrzeigersinn drehen. Bei einigen Ausführungsformen ist die erste Drehrichtung zu der zweiten Drehrichtung entgegengesetzt. Die kollektive Waferplatte 10 kann zum Beispiel in den Uhrzeigersinn drehen, und die einzelnen Waferplatten 20 können gegen den Uhrzeigersinn drehen.
  • Bei einigen Ausführungsformen ist das kollektive Suszeptorzahnrad 32 an der Welle 14 befestigt. Bei solchen Ausführungsformen dreht der Suszeptor 16 frei um die Welle 14, und die Befestigungen 34 befestigen das kollektive Suszeptorzahnrad 32 an der Welle 14 derart, dass das kollektive Suszeptorzahnrad 32 nicht frei um die Welle 14 dreht. Der Antriebsmechanismus ist mit einem oder mehreren der einzelnen Suszeptorzahnräder 30 verbunden und dreht es/sie. Das Antreiben der einzelnen Suszeptorzahnräder 30 veranlasst, dass die einzelnen Waferplatten 20 drehen, und veranlasst auch, dass die einzelnen Suszeptorzahnräder 30 um das kollektive Suszeptorzahnrad 32 drehen, wodurch der Suszeptor 16 veranlasst wird, um die Welle 14 zu drehen.
  • Die 2 und 3 veranschaulichen Aspekte eines Abscheidungssystems 200, das die kollektive Waferplatte 10 aufweist. 2 veranschaulicht ein Abscheidungssystem 200, das verwendet werden kann, um einen formangeglichenen Abscheidungsprozess wie eine Atomschichtabscheidung (Atomic Layer Deposition - ALD) auszuführen, um ein oder mehrere Materialien auf den Wafern 12 zu bilden. Bei einer Ausführungsform erhält das Abscheidungssystem 200 Vorläufermaterialien von einem ersten Vorläuferliefersystem 211, einem zweiten Vorläuferliefersystem 213, einem dritten Vorläuferliefersystem 215 und einem vierten Vorläuferliefersystem 217, um eine Reihe einzelner Schichten von Materialien zu bilden, um ein oder mehrere Merkmale, die auf den Wafern 12 gebildet sind, abzudecken. Bei einer Ausführungsform können das erste Vorläuferliefersystem 211, das zweite Vorläuferliefersystem 213, das dritte Vorläuferliefersystem 215 und das vierte Vorläuferliefersystem 217 gemeinsam miteinander arbeiten, um die diversen unterschiedlichen Vorläufermaterialien zu einer Abscheidungskammer 219, in der die Wafer 12 auf der kollektiven Waferplatte 10 platziert sind, zu liefern. Das erste Vorläuferliefersystem 211, das zweite Vorläuferliefersystem 213, das dritte Vorläuferliefersystem 215 und das vierte Vorläuferliefersystem 217 können physische Bauteile haben, die einander ähnlich sind.
  • Das erste Vorläuferliefersystem 211, das zweite Vorläuferliefersystem 213, das dritte Vorläuferliefersystem 215 und das vierte Vorläuferliefersystem 217 können zum Beispiel jeweils eine Gasversorgung 221 und einen Flusscontroller 223 aufweisen. Bei einer Ausführungsform, bei der der erste Vorläufer in einem gasförmigen Zustand gelagert wird, kann die Gasversorgung 221 den ersten Vorläufer zu der Abscheidungskammer 219 liefern. Die Gasversorgung 221 kann ein Gefäß sein, wie ein Gaslagertank, das sich entweder lokal an der Abscheidungskammer 219 befindet oder von der Abscheidungskammer 219 entfernt sein kann. Alternativ kann die Gasversorgung 221 eine Anlage sein, die den ersten Vorläufer unabhängig vorbereitet und zu dem Flusscontroller 223 liefert. Jede geeignete Quelle für den ersten Vorläufer kann als die Gasversorgung 221 verwendet werden, und es wird bezweckt, dass alle solchen Quellen in den Schutzbereich der Ausführungsformen fallen.
  • Die Gasversorgung 221 kann den gewünschten Vorläufer zu dem Flusscontroller 223 liefern. Der Flusscontroller 223 kann eingesetzt werden, um den Fluss des Vorläufers zu einem Vorläufergascontroller 225 und schlussendlich zu der Abscheidungskammer 219 zu steuern, wodurch auch beim Steuern des Drucks innerhalb der Abscheidungskammer 219 geholfen wird. Der Flusscontroller 223 kann zum Beispiel ein Proportionalventil, ein Modulationsventil, ein Nadelventil, ein Druckregler, ein Massendurchflussregler, Kombinationen dieser oder dergleichen sein. Jedes zweckdienliche Verfahren zum Steuern und Regulieren des Flusses kann jedoch eingesetzt werden, und es wird bezweckt, dass alle solche Bauteile und Verfahren innerhalb des Schutzbereichs der Ausführungsformen enthalten sind.
  • Obwohl das erste Vorläuferliefersystem 211, das zweite Vorläuferliefersystem 213, das dritte Vorläuferliefersystem 215 und das vierte Vorläuferliefersystem 217 hier als identische Bauteile habend beschrieben sind, ist dies, wie ein Durchschnittsfachmann erkennt, bloß ein veranschaulichendes Beispiel und bezweckt nicht, die Ausführungsformen auf irgendeine Art einzuschränken. Jeder Typ eines zweckdienlichen Vorläuferliefersystem mit einem beliebigen Typ und einer beliebigen Anzahl einzelner Bauteile, die mit den anderen Vorläuferliefersystemen innerhalb des Abscheidungssystems 200 identisch oder von ihnen unterschiedlich sind, kann alternativ verwendet werden. Alle solchen Vorläufersysteme gelten als in dem Schutzbereich der vorliegenden Ausführungsformen enthalten.
  • Außerdem kann bei einer Ausführungsform, bei der der erste Vorläufer in einem festen oder flüssigen Zustand gelagert wird, die Gasversorgung 221 ein Trägergas lagern, und das Trägergas kann in einen Vorläuferbehälter (nicht separat veranschaulicht) eingeführt werden, der den ersten Vorläufer in dem festen oder flüssigen Zustand lagert. Das Trägergas wird dann verwendet, um den ersten Vorläufer zu drücken und zu tragen, während er entweder in einem gasmäßigen Abschnitt des Vorläuferbehälters verdampft oder sublimiert, bevor er zu einem Vorläufergascontroller 225 geschickt wird. Jedes zweckdienliche Verfahren und eine Kombination von Einheiten kann/können eingesetzt werden, um den ersten Vorläufer bereitzustellen, und es wird bezweckt, dass alle solchen Kombinationen von Einheiten innerhalb des Schutzbereichs der Ausführungsformen enthalten sind.
  • Das erste Vorläuferliefersystem 211, das zweite Vorläuferliefersystem 213, das dritte Vorläuferliefersystem 215 und das vierte Vorläuferliefersystem 217 können ihre einzelnen Vorläufermaterialien in den Vorläufergascontroller 225 liefern. Der Vorläufergascontroller 225 verbindet und isoliert das erste Vorläuferliefersystem 211, das zweite Vorläuferliefersystem 213, das dritte Vorläuferliefersystem 215 und das vierte Vorläuferliefersystem 217 zu und von der Abscheidungskammer 219, um die gewünschten Vorläufermaterialien zu der Abscheidungskammer 219 zu liefern. Der Vorläufergascontroller 225 kann Vorrichtungen wie Ventile, Strömungsmesser, Sensoren und dergleichen zum Steuern der Lieferraten jedes der Vorläufer aufweisen, und kann durch Anweisungen gesteuert werden, die von einer Steuereinheit 227 (weiter unten unter Bezugnahme auf 3 beschrieben) her empfangen werden.
  • Der Vorläufergascontroller 225 kann beim Empfangen von Anweisungen von der Steuereinheit 227 Ventile öffnen und schließen, um das erste Vorläuferliefersystem 211 oder das zweite Vorläuferliefersystem 213 oder das dritte Vorläuferliefersystem 215 und das vierte Vorläuferliefersystem 217 zu der Abscheidungskammer 219 zu verbinden und ein gewünschtes Vorläufermaterial durch einen Verteiler 299 in die Abscheidungskammer 219 und zu einem Duschkopf 231 zu lenken. Der Duschkopf 231 kann verwendet werden, um das ausgewählte Vorläufermaterial in der Abscheidungskammer 219 zu verteilen, und kann konzipiert sein, um das Vorläufermaterial gleichmäßig zu verteilen, um unerwünschte Prozesszustände, die aus ungleichmäßiger Verteilung hervorgehen können, zu minimieren. Bei einer Ausführungsform kann der Duschkopf 231 ein kreisförmiges Design mit Öffnungen haben, die gleichmäßig um den Duschkopf 231 verteilt sind, haben, um das Verteilen des gewünschten Vorläufermaterials in die Abscheidungskammer 219 zu erlauben.
  • Wie ein Durchschnittsfachmann jedoch erkennt, bezweckt die Einführung von Vorläufermaterialien zu der Abscheidungskammer 219 durch einen einzigen Duschkopf 231 oder durch eine einzige Einführungsstelle, wie oben beschrieben, nur eine Veranschaulichung und soll die Ausführungsformen nicht einschränken. Eine beliebige Anzahl separater und unabhängiger Duschköpfe 231 oder anderer Öffnungen zum Einführen von Vorläufermaterialien in die Abscheidungskammer 219 kann alternativ eingesetzt werden. Alle solchen Kombinationen von Duschköpfen und anderen Einführungsstellen sollen jedoch in dem Schutzbereich der Ausführungsformen enthalten sein.
  • Die Abscheidungskammer 219 kann die gewünschten Vorläufermaterialien erhalten und die Vorläufermaterialien zu den Wafern 12 exponieren. Die Abscheidungskammer 219 kann eine gewünschte Form haben, die zum Streuen der Vorläufermaterialien und Kontaktieren der Vorläufermaterialien mit den Wafern 12 geeignet ist. Bei der in 2 veranschaulichten Ausführungsform hat die Abscheidungskammer 219 eine zylindrische Seitenwand und einen Boden. Die Abscheidungskammer 219 ist jedoch nicht auf eine zylindrische Form beschränkt, und jede andere zweckmäßige Form, wie ein hohles Kantrohr, eine Achteckform oder dergleichen kann eingesetzt werden. Außerdem kann die Abscheidungskammer 219 von einem Gehäuse 233 umgeben sein, das aus einem Material gefertigt ist, das gegenüber den diversen Prozessmaterialien inert ist. Obwohl das Gehäuse 233 jedes zweckdienliche Material sein kann, das den chemischen Stoffen und Drücken, die bei dem Abscheidungsprozess involviert sind, standhalten kann, kann das Gehäuse 233 bei einer Ausführungsform Stahl, rostfreier Stahl, Nickel, Aluminium, Legierungen dieser, Kombinationen dieser und dergleichen sein.
  • Innerhalb der Abscheidungskammer 219 können die Wafer 12 auf der kollektiven Waferplatte 10 platziert werden, um die Wafer 12 während der Abscheidungsprozesse zu positionieren und zu steuern, sowie um die Wafer 12 zu drehen. Wie oben erwähnt, kann der Suszeptor 16 der kollektiven Waferplatte 10 die Wafer 12 während der Abscheidungsprozesse erhitzen. Die Steuereinheit 227 kann die Hitze, die von dem Suszeptor 16 erzeugt wird, steuern. Obwohl ferner eine einzige kollektive Waferplatte 10 in 2 veranschaulicht ist, kann außerdem eine beliebige Anzahl kollektiver Waferplatten 10 zusätzlich innerhalb der Abscheidungskammer 219 enthalten sein. Ein Antriebsmechanismus 243 kann mit der Welle 14, dem kollektiven Suszeptorzahnrad 32 und/oder den einzelnen Suszeptorzahnrädern 30 gekoppelt sein. Der Antriebsmechanismus 243 kann zum Beispiel aus Motoren bestehen, die betreibbar sind, um die Wafer 12 kollektiv um die Welle 14 (zum Beispiel durch Drehen der Welle 14) und einzeln in ihren Wafertaschen 18 (zum Beispiel durch Drehen des kollektiven Suszeptorzahnrad 32 um die Welle 14) zu drehen. Bei Ausführungsformen, bei welchen das kollektive Suszeptorzahnrad 32 frei um die Welle 14 dreht, treibt der Antriebsmechanismus 243 die Welle und das kollektive Suszeptorzahnrad 32 an. Bei Ausführungsformen, bei welchen das kollektive Suszeptorzahnrad 32 an der Welle 14 befestigt ist, treibt der Antriebsmechanismus 243 einzelne Suszeptorzahnräder 30 an. Der Antriebsmechanismus 243 kann von der Steuereinheit 227 während der Abscheidungsprozesse gesteuert werden.
  • Außerdem können die Abscheidungskammer 219 und die kollektive Waferplatte 10 Teil eines Cluster-Tool-Systems (nicht gezeigt) sein. Das Cluster-Tool-System kann verbunden mit einem automatisierten Handhabungssystem verwendet werden, um die Wafer 12 vor den Abscheidungsprozessen in der Abscheidungskammer 219 zu positionieren und zu platzieren, die Wafer 12 während der Abscheidungsprozesse zu positionieren und zu halten, und die Wafer 12 aus der Abscheidungskammer 219 nach den Abscheidungsprozessen zu entfernen.
  • Die Abscheidungskammer 219 kann auch einen Abgasauslass 237 haben, damit Abgase aus der Abscheidungskammer 219 austreten. Eine Vakuumpumpe 239 kann mit dem Abgasauslass 237 der Abscheidungskammer 219 verbunden sein, um beim Evakuieren der Abgase zu helfen. Die Vakuumpumpe 239 kann unter der Steuerung der Steuereinheit 227 auch eingesetzt werden, um den Druck innerhalb der Abscheidungskammer 219 zu verringern und auf einen gewünschten Druck zu steuern, und kann auch eingesetzt werden, um Vorläufermaterialien aus der Abscheidungskammer 219 zur Vorbereitung der Einführung des nächsten Vorläufermaterials zu evakuieren.
  • 3 veranschaulicht eine Ausführungsform der Steuereinheit 227, die zum Steuern des Vorläufergascontrollers 225 und der Vakuumpumpe 239 eingesetzt werden kann (wie in 2 veranschaulicht). Die Steuereinheit 227 kann eine beliebige Form eines Computerprozessors sein, der in industrieller Umgebung zum Steuern von Prozessmaschinen verwendet werden kann. Bei einer Ausführungsform umfasst die Steuereinheit 227 eine Verarbeitungseinheit 251, wie einen Desktopcomputer, eine Workstation, einen Laptopcomputer oder eine dedizierte, für eine bestimmte Anwendung customisierte Einheit. Die Steuereinheit 227 kann mit einem Display 253 und mit einem oder mehreren Eingangs-/Ausgangsbauteilen 255 ausgestattet sein, wie Anweisungsausgänge, Sensoreingänge, eine Maus, eine Tastatur, Drucker, Kombinationen dieser oder dergleichen. Die Verarbeitungseinheit 251 kann eine Zentraleinheit (Central Processing Unit - CPU) 257, einen Speicher 259, eine Massenspeichervorrichtung 261, einen Videoadapter 263 und eine E/A-Schnittstelle 265, die mit einem Bus 267 verbunden ist, aufweisen.
  • Der Bus 267 kann einer oder mehrere eines beliebigen Typs mehrerer Busarchitekturen sein, darunter ein Speicherbus oder Speichercontroller, ein Peripheriebus oder Videobus. Die CPU 257 kann einen beliebigen Typ von elektronischem Datenprozessor umfassen, und der Speicher 259 kann einen beliebigen Typ von Speichersystem umfassen, wie zum Beispiel einen statischen Direktzugriffsspeicher (Static Random Access Memory - SRAM), dynamischer Direktzugriffsspeicher (Dynamic Random Access Memory - DRAM) und Nurlesespeicher (Read-Only Memory - ROM). Die Massenspeichervorrichtung 261 kann einen beliebigen Typ von Speichervorrichtung umfassen, der konfiguriert ist, um Daten, Programme und andere Informationen zu speichern, und die Daten, Programme und anderen Informationen über den Bus 267 zugänglich zu machen. Die Massenspeichervorrichtung 261 kann zum Beispiel ein Festplattenlaufwerk und/oder ein magnetisches Plattenlaufwerk und/oder ein optisches Plattenlaufwerk umfassen.
  • Der Videoadapter 263 und die E/A-Schnittstelle 265 stellen Schnittstellen zum Koppeln externer Eingabe- und/Ausgabevorrichtungen mit der Verarbeitungseinheit 251 bereit. Wie in 3 veranschaulicht, weisen Beispiele der Eingabe- und Ausgabevorrichtungen das Display 253 auf, das mit den Videoadapter 263 gekoppelt ist, und das E/A-Bauteil 255, wie eine Maus, Tastatur, einen Drucker und dergleichen, die mit der E/A-Schnittstelle 265 gekoppelt sind. Andere Vorrichtungen können mit der Verarbeitungseinheit 251 gekoppelt sein, und zusätzliche oder weniger Schnittstellenkarten können eingesetzt werden. Zum Beispiel kann eine serielle Schnittstellenkarte (nicht gezeigt) verwendet werden, um für einen Drucker eine serielle Schnittstelle bereitzustellen. Die Verarbeitungseinheit 251 kann auch eine Netzwerkschnittstelle 269, die eine verdrahtete Verbindung zu einem Local Area Network (LAN) oder einem Wide Area Network (WAN) 271 sein kann, und/oder eine drahtlose Verbindung aufweisen.
  • Zu bemerken ist, dass die Steuereinheit 227 andere Bauteile aufweisen kann. Zum Beispiel kann die Steuereinheit 227 Netzteile, Kabel, eine Hauptplatine, abnehmbare Speichermedien, Fächer und dergleichen aufweisen. Diese anderen Bauteile werden als Teil der Steuereinheit 227 betrachtet, obwohl sie in 3 nicht gezeigt sind.
  • Die kollektive Waferplatte 10 und die Abscheidungskammer 200, die oben in den 1A bis 3 beschrieben sind, können verwendet werden, um diverse Schritte bei der Fertigung von FinFETs (oder anderer integrierter Schaltungen) auf den Wafern 12 auszuführen. Wie oben erwähnt, kann die Abscheidungskammer 200 verwendet werden, um einen formangeglichenen Abscheidungsprozess wie ALD auszuführen. Die Abscheidungskammer 200 kann daher verwendet werden, um ALD-Prozesse auszuführen, die bei der Fertigung von FinFETs auf den Wafern 12 verwendet werden. Nach der Fertigung der integrierten Schaltungen auf den Wafern 12, können die Wafer 12 vereinzelt werden, um einzelne integrierte Schaltungsvorrichtungen zu bilden.
  • 4 veranschaulicht ein Beispiel eines FinFET in einer dreidimensionalen Ansicht. Der FinFET umfasst eine Finne 56 auf einem Substrat 50. Das Substrat 50 weist Isolationsbereiche 54 auf, und die Finne 56 steht darüber und von zwischen benachbarten Isolationsbereichen 54 vor. Ein Gatedielektrikum 92 liegt entlang von Seitenwänden und über einer oberen Fläche der Finne 56, und eine Gateelektrode 94 liegt über dem Gatedielektrikum 92. Source-/Drain-Bereiche 82 sind in entgegengesetzten Seiten der Finne 56 in Bezug auf das Gatedielektrikum 92 und die Gateelektrode 94 angeordnet. 1 veranschaulicht ferner ReferenzQuerschnitte, die in späteren Figuren verwendet werden. Der Querschnitt A-A verläuft über einem Kanal, Gatedielektrikum 92 und einer Gateelektrode 94 des FinFET. Der Querschnitt B-B ist senkrecht zu dem Querschnitt A-A und verläuft entlang einer Längsachse der Finne 56 und in eine Richtung zum Beispiel eines Stromflusses zwischen den Source-/Drain-Bereichen 82. Darauffolgende Figuren verweisen zur Klarheit auf diese Referenzquerschnitte.
  • Einige Ausführungsformen, die hier besprochen sind, werden in dem Kontext von FinFETs besprochen, die unter Verwenden eines Gate-Last-Prozesses gebildet werden. Bei anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Einige Ausführungsformen ziehen auch Aspekte in Betracht, die in planaren Vorrichtungen verwendet werden, wie planares FETs.
  • Die 5 bis 22B sind Querschnittansichten von Zwischenstufen der Fertigung von FinFETs auf den Wafern 12 in Übereinstimmung mit beispielhaften Ausführungsformen. Die 5 bis 9 veranschaulichen den Referenzquerschnitte A-A, der in 4 veranschaulicht ist, mit Ausnahme mehrerer FinFETs. Die 10A bis 22B, Figuren, die mit einer „A“-Bezeichnung enden, sind entlang des Referenzquerschnitts A-A, der in 4 veranschaulicht ist, veranschaulicht, und Figuren, die mit einer „B“-Bezeichnung enden, sind entlang eines ähnlichen Querschnitts B-B, mit Ausnahme mehrerer FinFETs, veranschaulicht.
  • In 5 ist ein Substrat 50 vorgesehen, um die Wafer 12 zu bilden. Das Substrat 50 kann ein Halbleitersubstrat sein, wie ein Bulk-Halbleiter, ein Halbleiter auf Isolator (Semiconductor-On-Insulator - SOI)-Substrat oder dergleichen, das dotiert (zum Beispiel mit einem p-Typ oder einem n-Typ-Dotierstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer, wie ein Siliziumwafer sein. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet ist. Die Isolatorschicht kann zum Beispiel eine eingebettete Oxidschicht (Buried Oxid - BOX), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat vorgesehen, typischerweise auf einem Silizium- oder Glassubstrat. Andere Substrate, wie ein mehrschichtiges oder Gradient-Substrat, können auch verwendet werden. Bei einigen Ausführungsformen kann das Halbleitersubstrat 50 Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid aufweist; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP aufweist, oder Kombinationen davon aufweisen. Das Substrat 50 kann
  • Das Substrat 50 hat einen ersten Bereich 50B und einen zweiten Bereich 50C. Der erste Bereich 50B kann zum Bilden von n-Typ-Vorrichtungen, wie NMOS-Transistoren, zum Beispiel n-Typ-FinFETs, dienen. Der zweite Bereich 50C kann zum Bilden von p-Typ-Vorrichtungen, wie PMOS-Transistoren, zum Beispiel p-Typ-FinFETs, dienen. Bei einigen Ausführungsformen werden sowohl der erste Bereich 50B als auch der zweite Bereich 50C verwendet, um denselben Vorrichtungstyp zu bilden, wie zum Beispiel, wenn beide Regionen für n-Typ-Vorrichtungen oder p-Typ Vorrichtungen bestimmt sind.
  • In 6 sind Finnen 52 in dem Substrat 50 gebildet. Die Finnen 52 sind Halbleiterstreifen. Bei einigen Ausführungsformen können die Finnen 52 in dem Substrat 50 durch Ätzen von Gräben in dem Substrat 50 gebildet werden. Das Ätzen kann ein beliebiger akzeptabler Ätzprozess sein, wie zum Beispiel ein reaktives Ionenätzen (Reactive Ion Etch - RIE), Neutralstrahlätzen (Neutral Beam Etch - NBE) oder dergleichen, oder kann eine Kombination dieser sein. Das Ätzen kann anisotrop sein.
  • In 7 wird ein Isoliermaterial 54 zwischen benachbarten Finnen 52 gebildet, um die Isolationsbereiche 54 zu bilden. Das Isoliermaterial 54 kann ein Oxid sein, wie Siliziumoxid, ein Nitrid oder dergleichen, oder eine Kombination dieser, und kann durch hochdichte chemische Gasphasenabscheidung (High Density Plasma Chemical Vapor Deposition HDP-CVD), fließbare CVD (FCVD) (zum Beispiel eine auf CVD-basierende Materialabscheidung in einem entfernten Plasmasystem und Nachhärten gebildet werden, um es in ein anderes Material, wie ein Oxid, umwandeln zu lassen), dergleichen oder eine Kombination dieser. Andere Isoliermaterialien, die durch einen beliebigen akzeptablen Prozess gebildet werden, können verwendet werden. Bei der veranschaulichten Ausführungsform ist das Isoliermaterial 54 Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Eine Glühprozess kann ausgeführt werden, nachdem das Isoliermaterial gebildet wurde. Das Isoliermaterial 54 kann isolierte Bereiche 54 genannt werden. Ferner kann in 7 ein Planarisierungsprozess, wie ein chemisch-mechanisches Polieren (CMP) überschüssiges Isoliermaterial 54 entfernen und obere Flächen der isolierte Bereiche 54 und obere Flächen der Finnen 52, die eben sind, bilden.
  • In 8 werden die Isolationsbereiche 54 vertieft, um Shallow Trench Isolation (STI)-Bereiche 54 zu bilden. Die Isolationsbereiche 54 werden derart vertieft, dass die Finnen 56 in dem ersten Bereich 50B und in dem zweiten Bereich 50C von zwischen benachbarten Isolationsbereichen 54 vorstehen. Ferner können die oberen Flächen der Isolationsbereiche 54 eine flache Oberfläche, wie veranschaulicht, eine konvexe Oberfläche, eine konkave Oberfläche (wie zum Beispiel ein Dishing) oder eine Kombination dieser haben. Die oberen Flächen der Isolationsbereiche 54 können flach, konvex und/oder konkav durch ein zweckmäßiges Ätzen gebildet werden. Die Isolationsbereiche 54 können unter Verwenden eines akzeptablen Ätzprozesses vertieft werden, wie einem, der hinsichtlich des Materials der Isolationsbereiche 54 selektiv ist. Zum Beispiel kann eine chemische Oxidentfernung unter Verwenden einer CERTAS®-Schätzung oder eines Applied Materials SICONI-Tools oder verdünnte Fluorwasserstoffsäure (dHF) verwendet werden.
  • Ein Durchschnittsfachmann versteht ohne Weiteres, dass der Prozess, der unter Bezugnahme auf die 5 bis 8 beschrieben ist, nur ein Beispiel des Bildens der Finnen 56 ist. Bei einigen Ausführungsformen kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaktische Strukturen können epitaktisch in den Gräben aufgewachsen werden, und die dielektrische Schicht kann derart vertieft werden, dass die homoepitaktischen Strukturen aus der dielektrischen Schicht vorstehen, um Finnen zu bilden. Bei einigen Ausführungsformen können heteroepitaktische Strukturen für die Finnen 52 verwendet werden. Die Finnen 52 in 7 können zum Beispiel vertieft werden, und ein anderes Material als das der Finnen 52 kann epitaktisch an ihrer Stelle aufgewachsen werden. Bei noch einer weiteren Ausführungsform kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaktische Strukturen können epitaktisch in den Gräben unter Verwenden eines anderen Materials als das des Substrats 50 aufgewachsen werden, und die dielektrische Schicht kann derart vertieft werden, dass die heteroepitaktischen Strukturen aus der dielektrischen Schicht vorstehen, um die Finnen 57 zu bilden. Bei einigen Ausführungsformen, bei welchen homoepitaktische und heteroepitaktische Strukturen epitaktisch aufgewachsen werden, können die aufgewachsenen Materialien in situ während des Aufwachsens dotiert werden, was vorhergehende und darauffolgende Implantationen umgehen kann, obwohl sie in situ sind, und Implantationsdotierung kann gemeinsam verwendet werden. Ferner kann es vorteilhaft sein, ein Material epitaktisch in einem NMOS-Bereich, der von dem Material in einem PMOS-Bereich unterschiedlich ist, aufzuwachsen. Bei diversen Ausführungsformen können die Finnen 56 aus Silizium-Germanium (SixGe1-x, wobei x zwischen etwa 0 und 1 liegen kann), Siliziumkarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder dergleichen gebildet werden. Zum Beispiel weisen die verfügbaren Materialien zum Bilden eines III-V-Verbindungshalbleiters, ohne darauf beschränkt zu sein, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen auf.
  • Ferner können in 8 zweckmäßige Wannen (nicht gezeigt) in den Finnen 56, den Finnen 52 und/oder dem Substrat 50 gebildet werden. Bei einigen Ausführungsformen kann eine P-Wanne in dem ersten Bereich 50B gebildet werden, und eine N-Wanne in dem zweiten Bereich 50C. Bei einigen Ausführungsformen wird eine P-Wanne oder eine N-Wanne sowohl in dem ersten Bereich 50B als auch in dem zweiten Bereich 50C gebildet.
  • Bei den Ausführungsformen mit unterschiedlichen Wannentypen, können die unterschiedlichen Implantationsschritte für den ersten Bereich 50B und den zweiten Bereich 50C unter Verwenden eines Fotolacks oder anderer Masken (nicht gezeigt) erzielt werden. Ein Fotolack kann zum Beispiel über den Finnen 56 und den Isolationsbereichen 54 in dem ersten Bereich 50B gebildet werden. Der Fotolack wird strukturiert, um den zweiten Bereich 50C des Substrats 50, wie einen PMOS-Bereich, freizulegen. Der Fotolack kann unter Verwenden einer Aufschleudertechnik gebildet werden und kann unter Verwenden akzeptabler Fotolithografietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, wird eine n-Typ-Verunreinigungsimplantation in dem zweiten Bereich 50C ausgeführt, und der Fotolack kann als eine Maske wirken, um im Wesentlichen n-Typ-Verunreinigungen daran zu hindern, in dem ersten Bereich 50B, wie einem NMOS-Bereich, implantiert zu werden. Die n-Typ-Verunreinigungen können Phosphor, Arsen oder dergleichen sein, die in dem ersten Bereich mit einer Konzentration von gleich oder weniger als 1018 cm-3, wie zwischen etwa 1017 cm-3 und etwa 1018 cm-3 liegen. Nach dem Implantieren wird der Fotolack entfernt, wie zum Beispiel durch einen zweckdienlichen Veraschungsprozess.
  • Im Anschluss an das Implantieren des zweiten Bereichs 50C, wird über den Finnen 56 und den Isolationsbereichen 54 in dem zweiten Bereich 50C ein Fotolack gebildet. Der Fotolack wird strukturiert, um den ersten Bereich 50B des Substrats 50, wie einen NMOS-Bereich, freizulegen. Der Fotolack kann unter Verwenden einer Aufschleudertechnik gebildet werden und kann unter Verwenden akzeptabler Fotolithografietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, kann eine p-Typ-Verunreinigungsimplantation in dem ersten Bereich 50B ausgeführt werden, und der Fotolack kann als eine Maske wirken, um im Wesentlichen p-Typ-Verunreinigungen daran zu hindern, in dem zweiten Bereich 50C, wie in den PMOS-Bereich, implantiert zu werden. Die p-Typ-Verunreinigungen können Bor, BF2 oder dergleichen sein, die in dem ersten Bereich mit einer Konzentration von gleich oder weniger als 1018 cm-3, wie zwischen etwa 1017 cm-3 und etwa 1018 cm-3 liegen. Nach dem Implantieren kann der Fotolack entfernt werden, wie zum Beispiel durch einen zweckdienlichen Veraschungsprozess.
  • Nach den Implantationen des ersten Bereichs 50B und des zweiten Bereich 50C, kann ein Glühen ausgeführt werden, um die p-Typ- und/oder n-Typ-Verunreinigungen, die implantiert wurden, zu aktivieren. Bei einigen Ausführungsformen können aufgewachsene epitaktische Finnen in situ während des Aufwachsens dotiert werden, was die Implantationen umgehen kann, obwohl In-situ- und Implantationdotieren gemeinsam verwendet werden können.
  • In 9 wird auf den Finnen 56 eine Dummy-Dielektrikumschicht 58 gebildet. Die Dummy-Dielektrikumschicht 58 kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination dieser oder dergleichen sein, und kann gemäß zweckdienlichen Techniken abgeschieden oder thermisch aufgewachsen werden. Eine Dummy-Gateschicht 60 wird über der Dummy-Dielektrikumschicht 58 gebildet, und eine Maskenschicht 62 wird über der Dummy-Gateschicht 60 gebildet. Die Dummy-Gateschicht 60 kann über der Dummy-Dielektrikumschicht 58 abgeschieden und dann zum Beispiel durch ein CMP planarisiert werden. Die Maskenschicht 62 kann über der Dummy-Gateschicht 60 abgeschieden werden. Die Dummy-Gateschicht 60 kann ein leitfähiges Material sein und kann aus einer Gruppe ausgewählt werden, die polykristallines Silizium (Polysilizium), polykristallines Silizium-Germanium (Poly-SiGe), Metallnitride, Metallsilizide, Metalloxide und Metalle aufweist. Bei einer Ausführungsform wird amorphes Silizium abgeschieden und wieder kristallisiert, um Polysilizium zu schaffen. Die Dummy-Gateelektrode 60 kann durch physische Gasphasenabscheidung (PVD), CVD, Sputterabscheidung oder andere Techniken abgeschieden werden, die bekannt sind und im Stand der Technik zum Abscheiden leitfähiger Materialien verwendet werden. Die Dummy-Gateschicht 60 kann aus anderen Materialien bestehen, die eine hohe Ätzselektivität von dem Ätzen von Isolationsbereichen haben. Die Maskenschicht 62 kann zum Beispiel SiN, SiON oder dergleichen aufweisen. Bei diesem Beispiel werden eine einzige Dummy-Gateschicht 60 und eine einzige Maskenschicht 62 über den ersten Bereich 50B und dem zweiten Bereich 50C gebildet. Bei einigen Ausführungsformen können separate Dummy-Gateschichten in dem ersten Bereich 50B und dem zweiten Bereich 50C gebildet werden, und separate Maskenschichten können in dem ersten Bereich 50B und dem zweiten Bereich 50C gebildet werden.
  • In den 10A und 10B kann die Maskenschicht 62 unter Verwenden akzeptabler Fotolithografie- und Ätztechniken strukturiert werden, um Masken 72 zu bilden. Die Strukturen der Masken 72 können dann auf die Dummy-Gateschicht 60 und die Dummy-Dielektrikumschicht 58 durch eine akzeptable Ätztechnik übertragen werden, um Dummy-Gates 70 zu bilden. Die Dummy-Gates 70 decken jeweilige Kanalbereiche der Finnen 56 ab. Die Dummy-Gates 70 können auch eine Längsrichtung im Wesentlichen senkrecht zu der Längsrichtung der jeweiligen epitaktischen Finnen haben.
  • Ferner können in den 10A und 10B Gate-Dichtungsabstandhalter 80 auf exponierten Flächen der Dummy-Gates 70, der Masken 72 und/oder der Finnen 56 gebildet werden. Eine Wärmeoxidation oder eine Abscheidung gefolgt von einem anisotropen Ätzen können die Gate-Dichtungsabstandhalter 80 bilden.
  • Nach dem Bilden der Gate-Dichtungsabstandhalter 80 können Implantate für leicht dotierte Source-/Drain (LDD)-Bereiche gebildet werden. In den Ausführungsformen mit unterschiedlichen Vorrichtungstypen kann ähnlich wie bei den Implantaten, die oben in 8 besprochen wurden, eine Maske, wie ein Fotolack, über dem ersten Bereich 50B gebildet werden, während der zweite Bereich 50 exponiert wird, und Verunreinigungen eines zweckdienlichen Typs (zum Beispiel n-Typ oder p-Typ) können in die exponierten Finnen 56 in dem zweiten Bereich 50C implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske, wie ein Fotolack, über dem zweiten Bereich 50C gebildet werden, während der erste Bereich 50B exponiert wird, und Verunreinigungen eines zweckdienlichen Typs können in die exponierten Finnen 56 in dem ersten Bereich 50B implantiert werden. Die Maske kann dann entfernt werden. Die n-Typ-Verunreinigungen können beliebige der n-Typ-Verunreinigungen sein, die oben besprochen wurden, und die p-Typ-Verunreinigungen können beliebige der p-Typ-Verunreinigungen sein, die oben besprochen wurden. Die leicht dotierten Source-/Drain-Bereiche haben eine Verunreinigungskonzentration von etwa 1015 cm-3 bis etwa 1016 cm-3. Ein Glühen kann verwendet werden, um die implantierten Verunreinigungen zu aktivieren.
  • In den 11A und 11B werden epitaktische Source-Drain-Bereiche 82 in den Finnen 56 gebildet. B Die epitaktischen Source-Drain-Bereiche 82 werden in den Finnen 56 derart gebildet, dass jedes Dummy-Gate 70 zwischen jeweiligen benachbarten Paaren der epitaktischen Source-Drain-Bereiche 82 angeordnet ist. Bei einigen Ausführungsformen können sich die epitaktischen Source-Drain-Bereiche 82 in die Finnen 52 erstrecken.
  • Die epitaktischen Source-Drain-Bereiche 82 in dem ersten Bereich 50B, zum Beispiel in dem NMOS-Bereich, können durch Maskieren des zweiten Bereichs 50C, zum Beispiel des PMOS-Bereichs und formangeglichenes Abscheiden einer Abstandhalterschicht in dem ersten Bereich 50B, gefolgt von einem anisotropen Ätzen, um Dummy-Gate-Abstandhalter (nicht gezeigt) entlang von Seitenwänden der Dummy-Gates 70 und/oder Gate-Dichtungsabstandhalter 80 in dem ersten Bereich 50B zu bilden, gebildet werden. Dann werden Source-/Drain-Bereiche der epitaktischen Finnen in dem ersten Bereich 50B geätzt, um Vertiefungen zu bilden. Die Source-/Drain-Bereiche 82 in dem ersten Bereich 50B werden epitaktisch in den Vertiefungen aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 82 können ein beliebiges akzeptables Material, das für n-Typ-FinFETs geeignet ist, aufweisen. Falls die Finne 56 zum Beispiel Silizium ist, können die epitaktischen Source-/Drain-Bereiche 82 Silizium, SiC, SiCP, SiP oder dergleichen aufweisen. Die epitaktischen Source-/Drain-Bereiche 82 können Oberflächen haben, die von jeweiligen Oberflächen der Finnen 56 erhöht sind, und können Facetten haben. Anschließend werden die Dummy-Gateabstandhalter in dem ersten Bereich 50B entfernt, zum Beispiel durch ein Ätzen, wie die Maske auf dem zweiten Bereich 50C.
  • Die epitaktischen Source-Drain-Bereiche 82 in dem zweiten Bereich 50C, zum Beispiel der PMOS-Bereich, können durch Maskieren des ersten Bereichs 50B, zum Beispiel dem NMOS-Bereich, gebildet werden, und formangeglichenes Abscheiden einer Dummy-Abstandhalterschicht in dem zweiten Bereich 50C, gefolgt von einem anisotropen Ätzen, um Dummy-Gate-Abstandhalter (nicht gezeigt) entlang von Seitenwänden der Dummy-Gates 70 und/oder Gate-Dichtungsabstandhalter 80 in dem zweiten Bereich 50C zu bilden. Dann werden Source-/Drain-Bereiche der epitaktischen Finnen in dem zweiten Bereich 50C geätzt, um Vertiefungen zu bilden. Die epitaktischen Source-/Drain-Bereiche 82 in dem zweiten Bereich 50C werden epitaktisch in den Vertiefungen aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 82 können ein beliebiges akzeptables Material, das für p-Typ-FinFETs geeignet ist, aufweisen. Falls die Finne 56 zum Beispiel Silizium ist, können die epitaktischen Source-/Drain-Bereiche 82 SiGe, SiGeB, Ge, GeSn oder dergleichen umfassen. Die epitaktischen Source-/Drain-Bereiche 82 können Oberflächen haben, die von jeweiligen Oberflächen der Finnen 56 erhöht sind, und können Facetten haben. Anschließend werden die Dummy-Gateabstandhalter in dem zweiten Bereich 50C entfernt, zum Beispiel durch ein Ätzen, wie die Maske auf dem ersten Bereich 50B.
  • In den 12A und 12B werden Gate-Abstandhalter 86 auf den Gate-Dichtungsabstandhaltern 80 entlang von Seitenwänden der Dummy-Gates 70 und der Masken 72 gebildet. Die Gate-Abstandhalter 86 können durch formangeglichenes Abscheiden eines Materials und anschließendes anisotropes Ätzen des Materials gebildet werden. Das Material der Gate-Abstandhalter 86 kann Siliziumnitrid, SiCN, eine Kombination dieser oder dergleichen sein.
  • Die epitaktischen Source-/Drain-Bereiche 82 und/oder die epitaktischen Finnen können mit Dotierstoffen implantiert werden, um Source-/Drain-Bereiche zu bilden, ähnlich wie der oben besprochene Prozess zum Bilden leicht dotierter Source-/Drain-Bereiche, gefolgt von einem Glühen. Die Source-/Drain-Bereiche können eine Verunreinigungskonzentration von zwischen etwa 1019 cm-3 und etwa 1021 cm-3 haben. Die n-Typ- und/oder p-Typ-Verunreinigungen für Source-/Drain-Bereiche können beliebige der oben besprochenen Verunreinigungen sein. Bei einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 82 in situ während des Aufwachsens dotiert werden.
  • In den 13A und 13B, wird eine ILD 88 über der Struktur, die in den 12A und 12B veranschaulicht ist, abgeschieden. Die ILD 88 kann aus einem dielektrischen Material oder einem Halbleitermaterial gebildet werden, und kann durch ein beliebiges geeignetes Verfahren abgeschieden werden, wie CVD, plasmaverstärkte CVD (PECVD) oder FCVD. Dielektrische Materialien können Phospho-Silikatglas (Phospho-Silicate Glass - PSG), Borsilikat-Glas (Boro-Silicate Glass - BSG), Bor-dotiertes Phosphor-Silikatglas (Boron-Doped Phosphor-Silicate Glass - BPSG), undotieres Silikatglas (Undoped Silicate Glass - USG) oder dergleichen aufweisen. Die Halbleitermaterialien können amorphes Silizium, Silizium-Germanium (SixGe1-x, wobei x zwischen etwa 0 und 1 liegen kann), reines Germanium oder dergleichen aufweisen. Andere Isolier- oder Halbleitermaterialien, die durch einen beliebigen akzeptablen Prozess gebildet werden, können verwendet werden.
  • In den 14A und 14B kann ein Planarisierungsprozess, wie ein CMP, ausgeführt werden, um die obere Fläche der ILD 88 mit der oberen Fläche der Dummy-Gates 70 abzugleichen. Der Planarisierungsprozess kann auch die Masken 72 auf den Dummy-Gates 70 und Abschnitte von Gate-Dichtungsabstandhaltern 80 und Gate-Abstandhaltern 86 entlang von Seitenwänden der Masken 72 entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen der Dummy-Gates 70, die Gate-Dichtungsabstandhalter 80, die Gate-Abstandhalter 86 und die ILD 88 auf gleicher Ebene. Folglich werden die oberen Flächen der Dummy-Gates 70 durch die ILD 88 freigelegt.
  • In den 15A und 15B, werden die exponierten Abschnitte der Dummy-Gates 70, der Gate-Dichtungsabstandhalter 80 und Abschnitte der Dummy-Dielektrikumschicht 58, die direkt unter den exponierten Dummy-Gates 70 liegen, in einem oder mehreren Ätzschritten entfernt, so dass Vertiefungen 90 gebildet werden. Bei einigen Ausführungsformen werden die Dummy-Gates 70 anhand eines anisotropen Trockenätzprozesses entfernt. Der Ätzprozess kann zum Beispiel einen Trockenätzprozess aufweisen, bei dem Reaktionsgas(e) verwendet wird/werden, das/die die Dummy-Gates 70 ohne Ätzen der ILD 88 oder der Gate-Abstandhalter 86 selektiv ätzt/ätzen. Jede Vertiefung 90 legt einen Kanalbereich einer jeweiligen Finne frei. Jeder Kanalbereich ist zwischen benachbarten Paaren epitaktischer Source-/Drain-Bereiche 82 angeordnet. Während des Entfernens, kann die Dummy-Dielektrikumschicht 58 als eine Ätzstoppschicht verwendet werden, wenn die Dummy-Gates 70 geätzt werden. Die Dummy-Dielektrikumschicht 58 und die Gate-Dichtungsabstandhalter 80 können dann nach dem Entfernen der Dummy-Gates 70 entfernt werden.
  • In den 16A und 16B werden die dielektrischen Schichten 92 und Gateelektroden 94 für Ersatzgates gebildet. Die Gate-Dielektrikumschichten 92 werden formangeglichenen in den Vertiefungen 90 zum Beispiel auf der oberen Fläche und den Seitenwänden der Finnen 56 und auf Seitenwänden der Gate-Abstandhalter 86 und auf einer oberen Fläche der ILD 88 abgeschieden. In Übereinstimmung mit einigen Ausführungsformen, bestehen die Gate-Dielektrikumschichten 92 aus Siliziumoxid, Siliziumnitrid oder mehrfachen Schichten dieser. Bei einigen Ausführungsformen sind die Gate-Dielektrikumschichten 92 dielektrisches High-k-Material, und bei diesen Ausführungsformen können die Gate-Dielektrikumschichten 92 einen k-Wert größer als etwa 7,0 haben, und können ein Metalloxid oder ein Silikat von HF, Al, Zur, La, Mg, Ab, Ti, Pb und Kombinationen dieser aufweisen. Die Bildungsverfahren der Gate-Dielektrikumschichten 92 weisen Molekularstrahlablagerung (Molecular Beam Deposition MBD), ALD, PVD und dergleichen auf. Bei einigen Ausführungsformen werden die Dielektrikumschichten 92 mit einem ALD-Prozess unter Verwenden des Abscheidungssystems 200 abgeschieden.
  • Die Gateelektroden 94 werden jeweils über den Gate-Dielektrikumschichten 92 abgeschieden und füllen die restlichen Abschnitte der Vertiefungen 90. Die Gateelektroden 94 können ein Metall enthaltendes Material sein, wie TiN, TaN, TaC, Co, Ru, Al, Kombinationen dieser oder mehrfachen Schichten dieser. Nach dem Füllen der Gateelektroden 94, kann ein Planarisierungsprozess, wie ein CPM, ausgeführt werden, um die überschüssigen Abschnitte der Gate-Dielektrikumschichten 92 und des Materials der Gateelektroden 94 zu entfernen, wobei die überschüssigen Abschnitte über der oberen Fläche der ILD 88 liegen. Die resultierenden restlichen Abschnitte von Material der Gateelektroden 94 und der Gate-Dielektrikumschichten 92 bilden daher Ersatzgates der resultierenden FinFETs. Die Gateelektroden 94 und die Gate-Dielektrikumschichten 92 können gemeinsam ein „Gate“ oder ein „Gatestapel“ genannt werden.
  • Das Bilden der Gate-Dielektrikumschichten 92 in dem ersten Bereich 50B und in dem zweiten Bereich 50C kann gleichzeitig auftreten, so dass die Gate-Dielektrikumschichten 92 in jedem Bereich aus denselben Materialien gebildet werden, und das Bilden der Gateelektroden 94 kann gleichzeitig derart auftreten, dass die Gateelektroden 94 in jedem Bereich aus denselben Materialien gebildet werden. Bei einigen Ausführungsformen können die Gate-Dielektrikumschichten 92 in jedem Bereich durch getrennte Prozesse gebildet werden, so dass die Gate-Dielektrikumschichten 92 aus unterschiedlichen Materialien bestehen können, und die Gateelektroden 94 in jedem Bereich können durch getrennte Prozesse gebildet werden, so dass die Gateelektroden 94 aus unterschiedlichen Materialien bestehen können. Diverse Maskierungsschritte können verwendet werden, um zweckdienliche Bereiche beim Verwenden getrennter Prozesse zu maskieren und freizulegen.
  • In den 17A und 17B werden die Gate-Dielektrikumschichten 92 und die Gateelektrode 94 in einem/mehreren Ätzschritt(en) vertieft, so dass die Vertiefungen 100 gebildet werden. Der/die Ätzschritt(e) können ein anisotropes Trockenätzen aufweisen. Der/die Ätzschritt(e) können zum Beispiel einen Trockenätzprozess unter Verwenden von Reaktionsgas(en) aufweisen, das/die selektiv die Gate-Dielektrikumschicht 92 und die Gateelektrode 94 ohne Ätzen der ILD 88 oder der Gate-Abstandhalter 86 ätzt/ätzen.
  • In den 18A und 18B wird eine Hartmaske 102 in den Vertiefungen 100 und über der Gate-Dielektrikumschicht 92, der Gateelektrode 94 und der ILD 88 gebildet. Die Hartmaske 102 bietet Schutz für die Gate-Abstandhalter 86 während darauffolgender selbst ausrichtender Kontaktätzschritte, um sicherzustellen, dass die selbst ausrichtenden Kontakte die Gateelektroden 94 nicht zu den entsprechenden epitaktischen Source-/Drain-Bereichen 82 kurzschließen. Die Hartmaske 102 kann eine oder mehrere Oxid- (zum Beispiel Siliziumoxid) und/oder Nitrid- (zum Beispiel Siliziumnitrid)-Schichten aufweisen, und kann durch CVD, PVD, ALD, PEALD (Plasma-Enhanced Atomic Layer Deposition, plasmaverstärkte Atomschichtabscheidung), einen Aufschleuderdielektrikumprozess, dergleichen oder eine Kombination dieser gebildet werden. Bei einer Ausführungsform besteht die Hartmaske 102 aus SiN und wird anhand eines ALD-Prozesses abgeschieden, um eine Stärke von etwa 340 Ä zu haben.
  • Die Hartmaske 102 kann anhand eines ALD-Prozesses unter Verwenden des Abscheidungssystems 200 abgeschieden werden. Die Wafer 12 (die zum Beispiel die Substrate 50 bilden) werden in den Wafertaschen 18 der kollektiven Waferplatte 10 platziert. Der ALD-Prozess wird ausgeführt, um formangeglichene Reihen von Monoschichten der Hartmaske 102 zu bilden, die die Gate-Dielektrikumschicht 92, die Gateelektrode 94 und die ILD 88 abdecken.
  • Das Bilden der Hartmaske 102 in dem Abscheidungssystem 200 kann initiiert werden, indem ein erstes Vorläufermaterialn in das erste Vorläuferliefersystem 211 gegeben wird. Bei einer Ausführungsform, bei der die Hartmaske 102 ein Siliziumnitrid ist, kann der erste Vorläufer zum Beispiel ein Vorläufer wie Hexachlordisilan (Si2Cl6) sein und kann in das erste Vorläuferliefersystem 211 platziert werden. Wie ein Durchschnittsfachmann jedoch erkennt, ist dieser Vorläufer nicht der einzige Vorläufer, der eingesetzt werden kann, um eine Siliziumnitridschicht zu bilden, und der Gebrauch von Hexachlordisilan bezweckt nicht, auf die Ausführungsformen zu beschränken. Jedes geeignete Vorläufermaterial in einer geeigneten Phase (fest, flüssig oder gasmäßig) zum Bilden einer Siliziumnitridschicht, wie Dichlorsilan, oder ein beliebiger anderer Vorläufer, der verwendet werden kann, um andere Materialien zu bilden, kann verwendet werden.
  • Außerdem kann ein zweites Vorläufermaterial in das zweite Vorläuferliefersystem 213 platziert werden. Bei einer Ausführungsform, bei der eine Siliziumnitridschicht das gewünschte Material für die Hartmaske 102 ist, kann das zweite Vorläufermaterialien ein Vorläufermaterial sein, das Stickstoff enthalten kann, um mit dem ersten Vorläufermaterial zu reagieren, um eine Siliziumnitridmonoschicht zu bilden. Bei der Ausführungsform, bei der Hexachlordisilan als das erste Vorläufermaterial eingesetzt wird, kann zum Beispiel Ammoniak (NH3) als das zweite Vorläufermaterial verwendet werden, und kann in das zweite Vorläuferliefersystem 213 platziert werden. Die Beschreibung von Ammoniak als das zweite Vorläufermaterial bezweckt jedoch nicht, die Ausführungsformen einzuschränken, und ein beliebiges anderes geeignetes Vorläufermaterial, wie N2 oder dergleichen, kann als das zweite Vorläufermaterial verwendet werden.
  • Sobald das erste Vorläufermaterial und das zweite Vorläufermaterial jeweils in das erste Vorläuferliefersystem 211 und das zweite Vorläuferliefersystem 213 platziert wurden, kann das Bilden der Hartmaske 102 durch die Steuereinheit 227, die eine Anweisung zu dem Vorläufergascontroller 225 zum Verbinden des ersten Vorläuferliefersystems 211 mit der Abscheidungskammer 219 sendet, initiiert werden. Sobald es verbunden ist, kann das erste Vorläuferliefersystem 211 das erste Vorläufermaterial (zum Beispiel das Hexachlordisilan) zu dem Duschkopf 231 durch den Vorläufergascontroller 225 und den Verteiler 229 liefern. Der Duschkopf 231 kann dann das erste Vorläufermaterial in der Abscheidungskammer 219 verteilen, wo das erste Vorläufermaterial adsorbiert werden und mit den freigelegten Flächen der Gate-Dielektrikumschicht 92, der Gateelektrode 94 und der ILD 88 reagieren kann.
  • Bei der Ausführungsform zum Bilden einer Siliziumnitridschicht, lässt man das erste Vorläufermaterial in die Abscheidungskammer 219 mit einer Flussrate von zwischen etwa 0,1 Standard-Liter pro Minute (slm) und etwa 3 slm für etwa 12 Sekunden pro Zyklus fließen. Außerdem kann die Abscheidungskammer 219 an einem Druck von zwischen etwa 0,1 Torr und 5 Torr, wie etwa 0,5 Torr, gehalten werden, und an einer Temperatur zwischen etwa 570 °C und etwa 650 °C. Wie ein Durchschnittsfachmann erkennt, sollen diese Prozessbedingungen jedoch nur veranschaulichend sein, da beliebige geeignete Prozessbedingungen eingesetzt werden können, solange sie innerhalb des Schutzbereichs der Ausführungsformen bleiben.
  • Während das erste Vorläufermaterial auf den Flächen des Gatestapels und der ILD 88 absorbiert wird, reagiert das erste Vorläufermaterial mit offenen aktiven Stellen, die auf den freigelegten Flächen liegen. Sobald jedoch alle offenen aktiven Stellen auf den freigelegten Flächen mit dem ersten Vorläufermaterial reagiert haben, stoppt die Reaktion, weil es keine offenen aktiven Stellen mehr gibt, mit welchen sich das erste Vorläufermaterial bindet. Diese Einschränkung bewirkt, dass sich das Reagieren des ersten Vorläufermaterials mit den freigelegten Flächen des Gatestapels von selbst einschränkt und eine Monoschicht des ersten reagierten Vorläufermaterials auf den Flächen des Gatestapels bildet, was eine präzisere Steuerung der Stärke der Hartmaske 102 erlaubt.
  • Nach dem Ende der selbsteinschränkenden Reaktion kann das erste Vorläufermaterial aus der Abscheidungskammer 219 gespült werden. Die Steuereinheit 227 kann zum Beispiel den Vorläufergascontroller 225 anweisen, das erste Vorläuferliefersystem 211 (das das erste Vorläufermaterial enthält, das aus der Abscheidungskammer 219 auszuspülen ist) zu trennen, und ein Spülgasliefersystem 214 zu verbinden, um ein Spülgas zu der Abscheidungskammer 219 zu liefern. Bei einer Ausführungsform kann das Spülgasliefersystem 241 ein Gasbehälter oder eine andere Anlage sein, die ein Spülgas wie Stickstoff, Argon, Xenon oder ein anderes nicht reagierendes Gas zu der Abscheidungskammer 219 liefert. Außerdem kann die Steuereinheit 227 auch die Vakuumpumpe 239 initiieren, um einen ersten Differenzdruck an die Abscheidungskammer 219 anzulegen, um das Entfernen des ersten Vorläufermaterials zu unterstützen. Das Spülgas kann gemeinsam mit der Vakuumpumpe 239 das erste Vorläufermaterial aus der Abscheidungskammer 219 während 3 Sekunden ausspülen.
  • Nach dem Abschließen des Spülens des ersten Vorläufermaterials, kann das Einführen des zweiten Vorläufermaterials (zum Beispiel Ammoniak) in die Abscheidungskammer 219 von der Steuereinheit 227 initiiert werden, die eine Anweisung zu dem Vorläufergascontroller 225 zum Trennen des Spülgasliefersystems 241 und Verbinden des zweiten Vorläuferliefersystems 213 (das das zweite Vorläufermaterial enthält) mit der Abscheidungskammer 219 sendet. Sobald das zweite Vorläuferliefersystem 213 verbunden ist, kann es das zweite Vorläufermaterial zu dem Duschkopf 231 liefern. Der Duschkopf 231 kann dann das zweite Vorläufermaterial in der Abscheidungskammer 219 verteilen, wo das zweite Vorläufermaterial auf den freigelegten Flächen des Gatestapels und der ILD 88 adsorbiert werden und mit dem ersten Vorläufermaterial in einer anderen selbst einschränkenden Reaktion reagieren kann, um eine Monoschicht des gewünschten Materials, zum Beispiel Siliziumnitrid, auf den freigelegten Flächen des Gatestapels und der ILD 88 zu bilden. Bei einer besonderen Ausführungsform kann die Reaktion wie unten in Gleichung (1) beschrieben ablaufen. 3Si2Cl6 + 26NH3 → 2Si3N4 + 18NH4Cl + 3H2 (1)
  • Bei der oben besprochenen Ausführungsformen zum Bilden der Hartmaske 102 aus Siliziumnitrid mit Hexachlordisilan, kann Ammoniak in die Abscheidungskammer 219 mit einer Flussrate von zwischen etwa 1 slm und etwa 10 slm, wie etwa 4,5 slm, während etwa 30 Sekunden eingeführt werden. Außerdem kann die Abscheidungskammer 219 an einem Druck von zwischen etwa 1 Torr und 10 Torr, wie etwa 6,98 Torr, gehalten werden, und an einer Temperatur zwischen etwa 570 °C und etwa 650 °C. Wie ein Durchschnittsfachmann erkennt, sollen diese Prozessbedingungen jedoch nur veranschaulichend sein, da beliebige geeignete Prozessbedingungen eingesetzt werden können, um das zweite Vorläufermaterial einzuführen, solange sie innerhalb des Schutzbereichs der Ausführungsformen liegen.
  • Nachdem die Monoschicht des gewünschten Materials, zum Beispiel Siliziumnitrid, gebildet wurde, kann die Abscheidungskammer 219 während etwa drei Sekunden gespült werden (was die Monoschicht der Hartmaske 102 auf den freigelegten Flächen hinterlässt), zum Beispiel unter Verwenden des Spülgases aus dem Spülgasliefersystem 241. Nachdem die Abscheidungskammer 219 gespült wurde, ist ein erster Zyklus zum Bilden des gewünschten Materials abgeschlossen, und ein zweiter Zyklus, der dem ersten Zyklus ähnlich ist, kann gestartet werden. Der wiederholte Zyklus kann zum Beispiel das erste Vorläufermaterial einführen, mit dem Spülgas spülen, das zweite Vorläufermaterial einzuführen und mit dem Spülgas spülen. Diese Zyklen können wiederholt werden, bis die Hartmaske 102 eine Stärke von etwa 10 Ä bis etwa10000 Ä, wie etwa 340 Ä, hat.
  • Während des ersten Zyklus und des zweiten Zyklus können die Wafer 12 mit der kollektiven Waferplatte 10 gedreht werden. Die Drehung kann in situ, ohne Brechen eines Vakuums der Abscheidungskammer 219, auftreten. Das Drehen kann kontinuierlich sein, so dass die kollektive Waferplatte 10 während der gesamten Zeit, in der der erste Zyklus und der zweite Zyklus ausgeführt und wiederholt werden, gedreht wird. Jede der einzelnen Waferplatten 20 dreht den jeweiligen Wafer 12 in den jeweiligen Wafertaschen 18, und die kollektive Waferplatte 10 dreht ebenfalls. Die einzelnen Waferplatten 20 drehen um die ersten Achsen R1. Die kollektive Waferplatte 10 dreht um die zweite Achse R2. Die Wafer 12 drehen daher einzeln in ihren Wafertaschen 18 und kollektiv um die Welle 14. Die einzelnen Waferplatten 20 drehen in eine erste Drehrichtung um die ersten Achsen R1, und die kollektive Waferplatte 10 dreht in eine zweite Drehrichtung um die zweite Achse R2. Bei einigen Ausführungsformen sind die erste Drehrichtung und die zweite Drehrichtung dieselbe Richtung. Die kollektive Waferplatte 10 und die einzelnen Waferplatten 20 können zum Beispiel in den Uhrzeigersinn oder gegen den Uhrzeigersinn drehen. Bei einigen Ausführungsformen ist die erste Drehrichtung zu der zweiten Drehrichtung entgegengesetzt. Die kollektive Waferplatte 10 kann zum Beispiel in den Uhrzeigersinn drehen, und die einzelnen Waferplatten 20 können gegen den Uhrzeigersinn drehen.
  • Das Drehen der Wafer 12 um eine oder mehrere Achsen kann es den Wafern 12 erlauben, die Gleichmäßigkeit des Erhitzens in der Abscheidungskammer 219 zu verbessern. Die Wafer 12 können von dem Suszeptor 16 oder von Heizelementen (nicht gezeigt) in der Abscheidungskammer 219 erhitzt werden. Das Drehen der Wafer 12 um die ersten Achsen R1 und/oder die zweiten Achsen R2 während der Abscheidung kann die Wafer 12 gleichmäßiger erhitzen. Das gleichmäßigere Erhitzen der Wafer 12 kann die Plasmadichteverteilung in der Abscheidungskammer 219 verbessern, was erlaubt, dass die Monoschichten auf den Wafern 12 gleichmäßiger gebildet werden. Das Drehen der Wafer 12 um die ersten Achsen R1 und/oder um die zweite Achse R2 resultiert daher darin, dass die Hartmaske 102 in einer im Wesentlichen gleichmäßigen Art erhitzt wird und daher eine im Wesentlichen gleichmäßige Stärke haben kann.
  • Wie ein Durchschnittsfachmann jedoch erkennt, soll der oben beschriebene Prozess zum Bilden der Hartmaske 102 veranschaulichend sein und bezweckt nicht, die Ausführungsformen einzuschränken. Jeder andere geeignete Prozess, wie das anfängliche Einführen des zweiten Vorläufermaterials (zum Beispiel Ammoniak), das Spülen mit dem Spülgas, das Einführen des ersten Vorläufermaterials (zum Beispiel Hexachlordisilan) und das Spülen mit dem Spülgas zum Abschließen eines ersten Zyklus und dann Wiederholen des ersten Zyklus kann verwendet werden. Dieser und jeder beliebige andere Prozess zum Bilden der Hartmaske 102 gelten als in dem Schutzbereich der Ausführungsformen enthalten.
  • Ferner wird in den 18A und 18B ein dielektrisches Material 104 über der Hartmaske 102 gebildet. Das Dielektrikumschichtmaterial 104 ist eine Pufferschicht, die bei einem darauffolgenden Planarisierungsprozess (unten besprochen) verwendet wird. Das Dielektrikummterial 104 kann aus einem plasmaverstärkten Oxid (Plasma-Enhanced Oxide - PEOX), einem plasmaverstärkten SiN (PE-SiN), einem plasmaverstärkten undotierten Silikatglas (PE-USG) oder dergleichen gebildet werden, und kann durch einen Abscheidungsprozess wie CVD, PVD, PECVD, ALD, PEALD, einen Aufschleuderdielektrikumprozess, dergleichen oder einer Kombination dieser gebildet werden. Bei einer Ausführungsformen wird das Dielektrikumschichtmaterial 104 mit einem ALD-Prozess unter Verwenden des Abscheidungssystems 200 abgeschieden. Bei einer Ausführungsform ist das Dielektrikumschichtmaterial 104 eine plasmaverstärkte Oxid (PEOX)-Schicht und wird anhand eines PECVD-Prozesses abgeschieden, so dass es eine Tiefe von etwa 1000 Ä hat.
  • In den 19A und 19B kann ein Planarisierungsprozess, wie ein CMP, ausgeführt werden, um die obere Fläche der ILD 88 mit der oberen Fläche der Hartmaske 102 abzugleichen. Bei Ausführungsformen, bei welchen der Planarisierungsprozess ein CMP ist, wirkt das Dielektrikumschichtmaterial 104 als eine Pufferschicht, um die Materialmenge, die von dem CMP-Prozess entfernt wird, zu steuern. Die restlichen Abschnitte der Hartmaske 102 befinden sich in den Vertiefungen 100 und bilden ein Dummy-Kontaktmaterial 106, das zu dem Gatestapel selbstständig ausgerichtet ist. Der Planarisierungsprozess kann auch das dielektrische Material 104 auf der Hartmaske 102 entfernen. Die oberen Flächen der ILD 88 werden folglich freigelegt, und Abschnitte der Hartmaske 102 auf den oberen Flächen der ILD werden entfernt.
  • In den 20A und 20B wird eine ILD 108 über der ILD 88 und dem Dummy-Kontaktmaterial 106 abgeschieden. Bei einer Ausführungsform ist die ILD 108 eine fließbare Folie, die anhand eines fließbaren CVD-Verfahrens gebildet wird. Bei einigen Ausführungsformen wird die ILD 108 aus einem dielektrischen Material wie PSG, BSG, BPSG, USG oder dergleichen gebildet und kann anhand eines beliebigen geeigneten Verfahrens, wie CVD und PECVD, abgeschieden werden.
  • In den 21A und 21B werden Abschnitte des Dummy-Kontaktmaterials 106 über einigen der Gatestapel entfernt, um Öffnungen zu bilden, und Kontakte 110 werden über den Gatestapeln an Stelle der entfernten Abschnitte des Dummy-Kontaktmaterials 106 gebildet. Es ist klar, dass nicht das gesamte Dummy-Kontaktmaterial 106 entfernt wird. Eine elektronische Vorrichtung, wie ein Transistor, kann zum Beispiel von mehr als einer der Finnen 56 gebildet werden, und ein einzelner Kontakt 110 kann elektrisch alle Gates der elektronischen Vorrichtung kontaktierend gebildet werden. Ein Kontakt 110 kann daher über nur einem der Gatestapel für die elektronische Vorrichtung gebildet werden, und das Dummy-Kontaktmaterial 106, das über den anderen Gatestapeln verbleibt, wird eventuell nicht ersetzt.
  • Die Kontakte 110 werden durch Bilden eines Liners, wie einer Diffusionssperrschicht, einer Haftschicht oder dergleichen, und ein leitfähiges Material in den Öffnungen des Dummy-Kontaktmaterials 106 gebildet. Der Liner kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen aufweisen. Das leitfähige Material kann aus Kupfer, einer Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel oder dergleichen bestehen. Ein Planarisierungsprozess, wie zum Beispiel ein CMP, kann ausgeführt werden, um überschüssiges Material von einer Oberfläche der ILD 108 zu entfernen. Der restliche Liner und leitfähiges Material bilden die Kontakte 120 in den Öffnungen des Dummy-Kontaktmaterials 106. Die Kontakte 110 werden physisch und elektrisch mit den Gateelektroden 94 gekoppelt.
  • In den 22A und 22B werden Kontakte 112 durch die ILD 108 und die ILD 88 gebildet. Öffnungen für die Kontakte 112 werden durch die ILD 88 und die ILD 108 hindurch gebildet. Die Öffnungen können unter Verwenden akzeptabler Fotolithografie- und Ätztechniken gebildet werden. Ein Liner, wie eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material werden in den Öffnungen gebildet. Der Liner kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen aufweisen. Das leitfähige Material kann aus Kupfer, einer Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel oder dergleichen bestehen. Ein Planarisierungsprozess, wie zum Beispiel ein CMP, kann ausgeführt werden, um überschüssiges Material von einer Oberfläche der ILD 108 zu entfernen. Der restliche Liner und das leitfähige Material bilden die Kontakte 112 in den Öffnungen. Ein Glühprozess kann ausgeführt werden, um an der Schnittfläche zwischen den epitaktischen Source-/Drain-Bereichen 82 und den Kontakten 112 ein Silizid zu bilden. Die Kontakte 112 werden physisch und elektrisch mit den epitaktischen Source-/Drain-Bereichen 82 gekoppelt.
  • Ausführungsformen können Vorteile erzielen. Das Drehen der Wafer kann darin resultieren, dass sie gleichmäßiger erhitzt werden. Gleichmäßiges Erhitzen der Wafer kann die Plasmadichteverteilung in der Abscheidungskammer verbessern. Eine erhöhte Plasmadichteverteilung kann in Material resultieren, das auf den Wafern anhand eines ALD-Prozesses gebildet wird, das eine Stärke hat, die über der Fläche der Wafer im Vergleich zu ALD-Prozessen mit nicht gleichmäßigem Erhitzen bis zu 46 % gleichmäßiger ist. Das Drehen der Wafer in situ auf einer Platte kann Werkzeugausfallszeit vermeiden, die durch Anhalten der ALD zum manuellen Drehen der Platten auftritt.

Claims (16)

  1. Gerät, umfassend: eine kollektive Waferplatte (10), die eine Vielzahl einzelner Wafertaschen (18) umfasst, wobei die einzelnen Wafertaschen (18) jeweilige einzelne Waferplatten (20) aufweisen, wobei die einzelnen Waferplatten (20) konfiguriert sind, um um jeweilige erste Achsen (R1) zu drehen, wobei die kollektive Waferplatte (10) konfiguriert ist, um um eine zweite Achse (R2) zu drehen; einen Antriebmechanismus (243), der mit der kollektiven Waferplatte (10) gekoppelt ist, eine Steuereinheit (227), die konfiguriert ist, um den Antriebmechanismus (243)derart zu steuern, dass die einzelnen Waferplatten (20) um die jeweiligen ersten Achsen drehen und die kollektive Waferplatte (10) um die zweite Achse dreht; wobei die kollektive Waferplatte (10) Folgendes umfasst: einzelne Suszeptorzahnräder (30), die mit den einzelnen Waferplatten (20) gekoppelt sind, ein kollektives Suszeptorzahnrad (32), das mit der kollektiven Waferplatte (10) gekoppelt ist, wobei jedes der einzelnen Suszeptorzahnräder (30) mechanisch mit dem kollektiven Suszeptorzahnrad (32) gekoppelt ist; wobei das Gerät ferner Folgendes umfasst: eine Abscheidungskammer (219), wobei die kollektive Waferplatte (10) in der Abscheidungskammer (219) angeordnet ist, und einen Duschkopf (231), der konfiguriert ist, um ein oder mehrere Vorläufermaterialien über der kollektiven Waferplatte (10) abzugeben; wobei die kollektive Waferplatte (10) einen Suszeptor (16) umfasst, und die Steuereinheit (227) konfiguriert ist, um den Suszeptor (16) die kollektive Waferplatte (10) erhitzen zu lassen, während der Duschkopf (231) das eine oder die mehreren Vorläufermaterialien über der kollektiven Waferplatte (10) abgibt; und Dichtungen (28), die zwischen den einzelnen Waferplatten (20) und der kollektiven Waferplatte (10) angeordnet sind.
  2. Gerät nach Anspruch 1, wobei die kollektive Waferplatte (10) und die einzelnen Waferplatten (20) in dieselbe Richtung drehen.
  3. Gerät nach Anspruch 1, wobei die kollektive Waferplatte (10) und die einzelnen Waferplatten (20) in unterschiedliche Richtungen drehen.
  4. Gerät nach einem der vorhergehenden Ansprüche, wobei die ersten Achsen (R1) zu Hauptflächen der einzelnen Suszeptorzahnräder (30) senkrecht sind, und die zweite Achse (R2) zu einer Hauptfläche des kollektiven Suszeptorzahnrads (32) senkrecht ist.
  5. Gerät nach Anspruch 4, wobei der Antriebmechanismus (243)mit dem kollektiven Suszeptorzahnrad (32) verbunden ist und das kollektive Suszeptorzahnrad dreht.
  6. Gerät nach Anspruch 4, wobei der Antriebmechanismus (243)mit den einzelnen Suszeptorzahnrädern (30) verbunden ist und die einzelnen Suszeptorzahnräder (30) dreht.
  7. Gerät nach Anspruch 1, wobei die Steuereinheit (227) konfiguriert ist, um die kollektive Waferplatte (10) und die einzelnen Waferplatten (20) zu drehen, während der Duschkopf (231) das eine oder die mehreren Vorläufermaterialien über der kollektiven Waferplatte (10) abgibt.
  8. Gerät nach Anspruch 7, wobei die kollektive Waferplatte (10) ohne Brechen eines Vakuums in der Abscheidungskammer (219) gedreht wird, während der Duschkopf (231) das eine oder die mehreren Vorläufermaterialien über der kollektiven Waferplatte (10) abgibt.
  9. Gerät nach Anspruch 7, wobei die kollektive Waferplatte (10) kontinuierlich gedreht wird, während der Duschkopf (231) das eine oder die mehreren Vorläufermaterialien über der kollektiven Waferplatte (10) abgibt.
  10. Gerät, umfassend: einen Suszeptor (16), der mit einer Welle gekoppelt ist, wobei der Suszeptor (16) Wafertaschen (18) aufweist; Waferplatten (20), die in jeweiligen Wafertaschen (18) auf einer ersten Seite des Suszeptors (16) angeordnet sind, einzelne Suszeptorzahnräder (30), die mit jeweiligen Waferplatten (20) auf einer zweiten Seite des Suszeptors (16) gekoppelt sind, ein kollektives Suszeptorzahnrad (32), das mit der Welle auf der zweiten Seite des Suszeptors (16) gekoppelt ist, wobei das kollektive Suszeptorzahnrad (32) konfiguriert ist, um um die Welle zu drehen, wobei die einzelnen Suszeptorzahnräder (30) mechanisch und physisch mit dem kollektiven Suszeptorzahnrad (32) gekoppelt sind; und Dichtungen (28), die zwischen den Waferplatten (20) und dem Suszeptor (16) angeordnet sind; wobei der Suszeptor (16) dazu eingerichtet ist, Hitze zu erzeugen, und das Gerät ferner eine Steuereinheit (227) umfasst, die die Hitze steuert, die vom Suszeptor (16) erzeugt wird.
  11. Gerät nach Anspruch 10, das ferner Befestigungen (34) umfasst, die das kollektive Suszeptorzahnrad (32) an der Welle befestigen.
  12. Gerät nach Anspruch 10 oder 11, wobei jede der Waferplatten (20) Isolatoren (26) umfasst, die sich über einer oberen Fläche der Waferplatten (20) erstrecken.
  13. Verfahren zum Herstellen eines FinFET unter Verwendung eines Geräts nach einem der vorhergehenden Ansprüche, wobei das Verfahren Folgendes umfasst: Abgeben einer Vielzahl von Vorläufermaterialien über der kollektiven Waferplatte (10), die eine Vielzahl von Wafern hält; Erhitzen der kollektiven Waferplatte (10), während die Vorläufermaterialien abgegeben werden; Drehen der kollektiven Waferplatte (10) um die erste Achse (R2) während des Abgebens der Vorläufermaterialien und Erhitzens der kollektiven Waferplatte (10); Drehen der Wafer um die jeweiligen zweiten Achsen (R1) während des Abgebens der Vorläufermaterialien und Erhitzens der kollektiven Waferplatte (10), wobei die erste Achse (R2) von jeder der zweiten Achsen (R1) unterschiedlich ist, und Vereinzeln integrierter Schaltungsvorrichtung von jedem der Wafer.
  14. Verfahren nach Anspruch 13, wobei das Drehen der kollektiven Waferplatte (10) um die erste Achse (R2) das Drehen der kollektiven Waferplatte (10) in eine erste Richtung umfasst, und wobei das Drehen der Wafer um die jeweiligen zweiten Achsen (R1) das Drehen jedes der Wafer in eine zweite Richtung, die von der ersten Richtung unterschiedlich ist, umfasst.
  15. Verfahren nach Anspruch 13, wobei das Drehen der kollektiven Waferplatte (10) um die erste Achse (R2) das Drehen der kollektiven Waferplatte (10) in eine erste Richtung umfasst, und wobei das Drehen der Wafer um die jeweiligen zweiten Achsen (R1) das Drehen jedes der Wafer in die erste Richtung umfasst.
  16. Verfahren nach einem der vorhergehenden Ansprüche 13 bis 15, wobei das Drehen der Wafer um jeweilige zweite Achsen (R1) das kontinuierliche Drehen der Wafer in situ während des Abgebens der Vorläufermaterialien und Erhitzens der kollektiven Waferplatte (10) umfasst.
DE102017127232.1A 2016-12-15 2017-11-20 Verfahren zur Herstellung eines FinFET und Geräte zur Durchführung des Verfahrens Active DE102017127232B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434864P 2016-12-15 2016-12-15
US62/434,864 2016-12-15
US15/693,741 2017-09-01
US15/693,741 US10522387B2 (en) 2016-12-15 2017-09-01 Semiconductor device and multi-wafer deposition apparatus

Publications (2)

Publication Number Publication Date
DE102017127232A1 DE102017127232A1 (de) 2018-06-21
DE102017127232B4 true DE102017127232B4 (de) 2024-01-11

Family

ID=62251783

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017127232.1A Active DE102017127232B4 (de) 2016-12-15 2017-11-20 Verfahren zur Herstellung eines FinFET und Geräte zur Durchführung des Verfahrens

Country Status (1)

Country Link
DE (1) DE102017127232B4 (de)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110083602A1 (en) 2009-10-09 2011-04-14 Michael John Bergmann Multi-Rotation Epitaxial Growth Apparatus and Reactors Incorporating Same
US20110300297A1 (en) 2010-06-07 2011-12-08 Veeco Instruments Inc. Multi-wafer rotating disc reactor with inertial planetary drive
US20120145080A1 (en) 2010-12-13 2012-06-14 Youngkyou Park Substrate support unit, and apparatus and method for depositing thin layer using the same
JP2013147677A (ja) 2010-04-28 2013-08-01 Ulvac Japan Ltd 成膜装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110083602A1 (en) 2009-10-09 2011-04-14 Michael John Bergmann Multi-Rotation Epitaxial Growth Apparatus and Reactors Incorporating Same
JP2013147677A (ja) 2010-04-28 2013-08-01 Ulvac Japan Ltd 成膜装置
US20110300297A1 (en) 2010-06-07 2011-12-08 Veeco Instruments Inc. Multi-wafer rotating disc reactor with inertial planetary drive
US20120145080A1 (en) 2010-12-13 2012-06-14 Youngkyou Park Substrate support unit, and apparatus and method for depositing thin layer using the same

Also Published As

Publication number Publication date
DE102017127232A1 (de) 2018-06-21

Similar Documents

Publication Publication Date Title
DE102019117191B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102018107997B4 (de) Selektive abdeckprozesse und dadurch ausgebildete strukturen
DE102017124635A1 (de) Halbleiterbauelement und Herstellungsverfahren
DE102017128255B4 (de) Halbleitervorrichtung und Verfahren
DE102019115481A1 (de) Halbleiterbauelement und verfahren
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102021101880A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102017117798A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102018113168B4 (de) Halbleitervorrichtung mit einer nicht konformen oxidauskleidung und herstellungsverfahren dafür
DE102019102135A1 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102019123627A1 (de) Finnen-feldeffekttransistorvorrichtung und verfahren zu deren herstellung
DE102019118375A1 (de) FinFET-Vorrichtung und Verfahren zum Bilden derselbigen
DE102019121282B4 (de) Finnenfeldeffekttransistorvorrichtung und Verfahren zum Bilden derselben
DE102020119099A1 (de) Halbleitervorrichtung und verfahren
KR102301872B1 (ko) 반도체 소자, 제조 방법, 및 제조 도구
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102017126435B4 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102017127232B4 (de) Verfahren zur Herstellung eines FinFET und Geräte zur Durchführung des Verfahrens
DE102019128469B4 (de) Finnenfeldeffekttransistorbauelement und verfahren zu dessen herstellung
DE102020120899A1 (de) Abscheidungsprozess zum bilden von halbleitervorrichtungen und system
DE102019118515A1 (de) Finfet-vorrichtung und verfahren zu ihrer herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division