DE102017102534A1 - Umverteilungsschichten in Halbleiter-Packages und Verfahren zu deren Herstellung - Google Patents

Umverteilungsschichten in Halbleiter-Packages und Verfahren zu deren Herstellung Download PDF

Info

Publication number
DE102017102534A1
DE102017102534A1 DE102017102534.0A DE102017102534A DE102017102534A1 DE 102017102534 A1 DE102017102534 A1 DE 102017102534A1 DE 102017102534 A DE102017102534 A DE 102017102534A DE 102017102534 A1 DE102017102534 A1 DE 102017102534A1
Authority
DE
Germany
Prior art keywords
die
segment
package
conductive
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017102534.0A
Other languages
English (en)
Other versions
DE102017102534B4 (de
Inventor
Li-Hsien HUANG
An-Jhih Su
Chi-Hsi Wu
Chen-Hua Yu
Der-Chyang Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/396,208 external-priority patent/US10304801B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017102534A1 publication Critical patent/DE102017102534A1/de
Application granted granted Critical
Publication of DE102017102534B4 publication Critical patent/DE102017102534B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • H01L2224/11822Applying permanent coating, e.g. in-situ coating by dipping, e.g. in a solder bath
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/4911Disposition the connectors being bonded to at least one common bonding area, e.g. daisy chain
    • H01L2224/49113Disposition the connectors being bonded to at least one common bonding area, e.g. daisy chain the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body, e.g. converging wires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/81024Applying flux to the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/821Forming a build-up interconnect
    • H01L2224/82101Forming a build-up interconnect by additive methods, e.g. direct writing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/821Forming a build-up interconnect
    • H01L2224/82106Forming a build-up interconnect by subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83102Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus using surface energy, e.g. capillary forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83191Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83192Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9211Parallel connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Eine Ausführungsform eines Package umfasst einen ersten integrierten Schaltkreis-Die; ein Verkapselungsmaterial um den ersten integrierten Schaltkreis-Die; und eine leitende Verbindung, die eine erste leitende Durchkontaktierung mit einer zweiten leitenden Durchkontaktierung elektrisch verbindet, wobei die leitende Verbindung ein erstes Segment mit einer ersten Breite über dem ersten integrierten Schaltkreis-Die und ein zweites Segment mit einer zweiten Breite, die größer als die erste Breite ist, über dem ersten integrierten Schaltkreis-Die aufweist, wobei das zweite Segment über eine erste Grenze zwischen dem ersten integrierten Schaltkreis-Die und dem Verkapselungsmaterial verläuft. ()

Description

  • Prioritätsanspruch
  • Diese Anmeldung beansprucht die Priorität der am 31. Oktober 2016 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/415.210 und dem Titel „Umverteilungsschichten in Halbleiter-Packages und Verfahren zu deren Herstellung“, die durch Bezugnahme aufgenommen ist.
  • Hintergrund der Erfindung
  • Die Halbleiter-Branche hat auf Grund von ständigen Verbesserungen bei der Integrationsdichte verschiedener elektronischer Bauelemente (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) ein rasches Wachstum erfahren. Zum größten Teil ist die Verbesserung der Integrationsdichte auf die iterative Verringerung der kleinsten Strukturbreite zurückzuführen, was eine Integration von mehr Bauelementen auf einer gegebenen Fläche ermöglicht. Da die Forderung nach einer Verkleinerung von elektronischen Bauelementen stärker geworden ist, ist ein Bedarf an kreativeren Verfahren zur Verkappung von kleineren Halbleiter-Dies entstanden. Ein Beispiel für diese Verkappungssysteme ist die PoP-Technologie (PoP: package on package; Package auf Package). Bei einem PoP-Baustein wird ein oberes Halbleiter-Package auf ein unteres Halbleiter-Package gestapelt, um eine hohe Integrations- und Bauelementdichte zu ermöglichen. Die PoP-Technologie ermöglicht im Allgemeinen die Herstellung von Halbleiter-Bauelementen mit verbesserten Funktionalitäten und kleinen Anschlussflächen auf einer Leiterplatte (PCB).
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 15 zeigen Schnittansichten von Zwischenstufen bei einem Prozess zur Herstellung einer ersten Package-Struktur gemäß einigen Ausführungsformen.
    • Die 16 bis 18 zeigen Draufsichten einer leitenden Schichtführung gemäß einigen Ausführungsformen.
    • Die 19 bis 24 zeigen Schnittansichten von Zwischenstufen bei einem Prozess zur weiteren Herstellung des ersten Package und zum Befestigen von weiteren Package-Strukturen an dem ersten Package gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Beschreibung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Ausführungsformen, die hier erörtert werden, können in einem spezifischen Zusammenhang erörtert werden, und zwar in Zusammenhang mit einer Package-Struktur, die eine RDL-Führungskonfiguration (RDL: redistribution layer; Umverteilungsschicht) hat, die eine höhere Zuverlässigkeitsrobustheit an einer Halbleiter-Verkapselungsmaterial-Grenze [z. B. einer Silicium-Formmasse(Si/MC)-Grenze] ermöglicht. Die Package-Strukturen können ein Fan-out-Package oder ein Fan-in-Package sowie eine oder mehrere RDLs umfassen. Zum Beispiel führt das Erwärmen eines Package in Wafer-Form von Raumtemperatur auf 220 °C zu hohen Biegespannungen auf der RDL an der Halbleiter-Verkapselungsmaterial-Grenze, da sich die Krümmung wegen des Unterschieds der Wärmeausdehnungskoeffizienten (coefficient of thermal expansion; CTE) ändert. Der Halbleiter kann ein Die oder Chip sein. Die mechanische Spannung beim Übergang über diese Grenze kann zu einer Rissbildung in der RDL führen. Daher kann bei einigen Ausführungsformen der RDL-Führungsentwurf entsprechend diesem CTE-Unterschied konfiguriert werden und für eine bessere Zuverlässigkeitsrobustheit und weniger Fertigungsfehler verwendet werden. Bei einigen Ausführungsformen können die RDLs, die die Halbleiter-Verkapselungsmaterial-Grenze überqueren und innerhalb eines festgelegten Abstands von der Halbleiter-Verkapselungsmaterial-Grenze liegen, so hergestellt werden, dass sie breiter als normale RDLs sind, sodass sie nicht so leicht reißen. Darüber hinaus können die RDLs außerhalb der Grenze und eines festgelegten Abstands von der Grenze eine normale Breite haben, sodass es keinen Führungsnachteil für die breiteren Leiterbahnen der RDL gibt.
  • Weiterhin gelten die Grundsätze dieser Erfindung für eine Package-Struktur, die eine oder mehrere leitende Schichten über verschiedene Materialien hinweg hat, die unterschiedliche CTEs haben. Weitere Ausführungsformen ziehen andere Anwendungsmöglichkeiten in Betracht, wie etwa andere Package-Typen oder andere Konfigurationen, die einem Durchschnittsfachmann beim Lesen dieser Erfindung sofort klar werden dürften. Es ist zu beachten, dass Ausführungsformen, die hier erörtert werden, nicht unbedingt jede Komponente oder jedes Element erläutern müssen, die/das in einer Struktur vorkommen kann. Zum Beispiel können mehrfach auftretende Komponenten in einer Figur weggelassen werden, etwa wenn die Erörterung einer der Komponenten ausreicht, um Aspekte der Ausführungsform zu vermitteln. Darüber hinaus können Verfahrensausführungsformen, die hier erörtert werden, als Verfahrensausführungsformen erörtert werden, die in einer bestimmten Reihenfolge ausgeführt werden, aber andere Verfahrensausführungsformen können in jeder logischen Reihenfolge ausgeführt werden.
  • Die 1 bis 15 zeigen Schnittansichten von Zwischenstufen bei einem Prozess zur Herstellung einer ersten Package-Struktur gemäß einigen Ausführungsformen. 1 zeigt ein Trägersubstrat 100 und eine Ablöseschicht 102, die auf dem Trägersubstrat 100 hergestellt ist. Gezeigt sind ein erster Package-Bereich 600 und ein zweiter Package-Bereich 602 zur Herstellung eines ersten Package bzw. eines zweiten Package.
  • Das Trägersubstrat 100 kann ein Glas-Trägersubstrat, ein Keramik-Trägersubstrat oder dergleichen sein. Das Trägersubstrat 100 kann ein Wafer sein, sodass mehrere Packages gleichzeitig auf dem Trägersubstrat 100 hergestellt werden können. Die Ablöseschicht 102 kann aus einem Material auf Polymer-Basis bestehen, das zusammen mit dem Trägersubstrat 100 von den darüber befindlichen Strukturen entfernt werden kann, die in nachfolgenden Schritten hergestellt werden. Bei einigen Ausführungsformen ist die Ablöseschicht 102 ein sich durch Wärme ablösendes Material auf Epoxidharz-Basis, das beim Erwärmen sein Haftvermögen verliert, wie etwa eine LTHC-Ablöseschicht (LTHC: light-to-heat conversion; Licht-Wärme-Umwandlung). Bei weiteren Ausführungsformen kann die Ablöseschicht 102 ein Ultraviolett(UV)-Klebstoff sein, der sein Haftvermögen verliert, wenn er mit UV-Licht belichtet wird. Die Ablöseschicht 102 kann als eine Flüssigkeit verteilt werden und gehärtet werden, sie kann eine Mehrfachschicht, die auf das Trägersubstrat 100 aufgebracht wird, oder dergleichen sein. Die Oberseite der Ablöseschicht 102 kann nivelliert werden und kann ein hohes Maß an Koplanarität haben.
  • In 2 werden eine dielektrische Schicht 104 und eine Metallisierungsstruktur 106 hergestellt. Wie in 2 gezeigt ist, wird die dielektrische Schicht 104 auf der Ablöseschicht 102 hergestellt. Die Unterseite der dielektrischen Schicht 104 kann in Kontakt mit der Oberseite der Ablöseschicht 102 sein. Bei einigen Ausführungsformen wird die dielektrische Schicht 104 aus einem Polymer hergestellt, wie etwa Polybenzoxazol (PBO), Polyimid, Benzocyclobuten (BCB) oder dergleichen. Bei weiteren Ausführungsformen besteht die dielektrische Schicht 104 aus einem Nitrid, wie etwa Siliciumnitrid; einem Oxid, wie etwa Siliciumoxid; Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG) oder dergleichen. Die dielektrische Schicht 104 kann mit einem geeigneten Abscheidungsverfahren hergestellt werden, wie etwa Schleuderbeschichtung, chemische Aufdampfung (CVD), Laminierung oder dergleichen oder einer Kombination davon.
  • Die Metallisierungsstruktur 106 wird auf der dielektrischen Schicht 104 hergestellt. Als ein Beispiel für die Herstellung der Metallisierungsstruktur 106 wird eine Seed-Schicht (nicht dargestellt) über der dielektrischen Schicht 104 hergestellt. Bei einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine Einfachschicht oder eine Verbundschicht sein kann, die eine Vielzahl von Teilschichten umfasst, die aus unterschiedlichen Materialien bestehen. Bei einigen Ausführungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann zum Beispiel durch physikalische Aufdampfung (PVD) oder dergleichen hergestellt werden. Dann wird ein Fotoresist auf der Seed-Schicht hergestellt und strukturiert. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht der Metallisierungsstruktur 106. Durch die Strukturierung entstehen Öffnungen durch das Fotoresist, um die Seed-Schicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seed-Schicht wird ein leitendes Material abgeschieden. Das leitende Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitende Material kann ein Metall aufweisen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Dann werden das Fotoresist und die Teile der Seed-Schicht entfernt, auf denen das leitende Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, wie etwa unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden auch die freigelegten Teile der Seed-Schicht zum Beispiel mit einem geeigneten Ätzverfahren entfernt, wie etwa Nass- oder Trockenätzung. Die verbleibenden Teile der Seed-Schicht und das leitende Material bilden die Metallisierungsstruktur 106.
  • In 3 wird eine dielektrische Schicht 108 auf der Metallisierungsstruktur 106 und der dielektrischen Schicht 104 hergestellt. Bei einigen Ausführungsformen wird die dielektrische Schicht 108 aus einem Polymer hergestellt, das ein lichtempfindliches Material, wie etwa PBO, Polyimid, BCB oder dergleichen, sein kann, das unter Verwendung einer lithografischen Maske strukturiert werden kann. Bei weiteren Ausführungsformen besteht die dielektrische Schicht 108 aus einem Nitrid, wie etwa Siliciumnitrid; einem Oxid, wie etwa Siliciumoxid, PSG, BSG, BPSG oder dergleichen. Die dielektrische Schicht 108 kann durch Schleuderbeschichtung, Laminierung, CVD oder dergleichen oder eine Kombination davon hergestellt werden. Die dielektrische Schicht 108 wird dann strukturiert, um Öffnungen herzustellen, damit Teile der Metallisierungsstruktur 106 freigelegt werden können. Die Strukturierung kann mit einem geeigneten Verfahren durchgeführt werden, wie etwa durch Belichten der dielektrischen Schicht 108, wenn die dielektrische Schicht ein lichtempfindliches Material ist, oder durch Ätzung, zum Beispiel anisotrope Ätzung.
  • Die dielektrischen Schichten 104 und 108 und die Metallisierungsstruktur 106 können als eine rückseitige Umverteilungsstruktur 110 bezeichnet werden. Wie gezeigt ist, umfasst die rückseitige Umverteilungsstruktur 110 die beiden dielektrischen Schichten 104 und 108 und nur die eine Metallisierungsstruktur 106. Bei weiteren Ausführungsformen kann die rückseitige Umverteilungsstruktur 110 jede Anzahl von dielektrischen Schichten, Metallisierungsstrukturen und Durchkontaktierungen umfassen. Durch Wiederholen der Prozesse zur Herstellung der Metallisierungsstruktur 106 und der dielektrischen Schicht 108 können eine oder mehrere weitere Metallisierungsstrukturen und dielektrische Schichten in der rückseitigen Umverteilungsstruktur 110 hergestellt werden. Durchkontaktierungen können bei der Herstellung einer Metallisierungsstruktur dadurch hergestellt werden, dass die Seed-Schicht und das leitende Material der Metallisierungsstruktur in der Öffnung der darunter befindlichen dielektrischen Schicht abgeschieden werden. Die Durchkontaktierungen können daher die verschiedenen Metallisierungsstrukturen miteinander verbinden und elektrisch koppeln.
  • Weiterhin werden in 3 Durchkontaktierungen 112 hergestellt. Als ein Beispiel für die Herstellung der Durchkontaktierungen 112 wird eine Seed-Schicht über der rückseitigen Umverteilungsstruktur 110, z. B. der dielektrischen Schicht 108 und den freigelegten Teilen der Metallisierungsstruktur 106, hergestellt, wie gezeigt ist. Bei einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine Einfachschicht oder eine Verbundschicht sein kann, die eine Vielzahl von Teilschichten umfasst, die aus unterschiedlichen Materialien bestehen. Bei einigen Ausführungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird ein Fotoresist auf der Seed-Schicht hergestellt und strukturiert. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht den Durchkontaktierungen. Durch die Strukturierung entstehen Öffnungen durch das Fotoresist, um die Seed-Schicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seed-Schicht wird ein leitendes Material abgeschieden. Das leitende Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitende Material kann ein Metall aufweisen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Dann werden das Fotoresist und die Teile der Seed-Schicht entfernt, auf denen das leitende Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, wie etwa unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden auch die freigelegten Teile der Seed-Schicht zum Beispiel mit einem geeigneten Ätzverfahren entfernt, wie etwa Nass- oder Trockenätzung. Die verbleibenden Teile der Seed-Schicht und das leitende Material bilden die Durchkontaktierungen 112.
  • In 4 werden integrierte Schaltkreis-Dies 114 mittels eines Klebstoffs 116 an die dielektrische Schicht 108 angeklebt. Wie in 4 gezeigt ist, werden zwei integrierte Schaltkreis-Dies 114 jeweils in den ersten Package-Bereich 600 und den zweiten Package-Bereich 602 geklebt, und bei anderen Ausführungsformen können mehr oder weniger integrierte Schaltkreis-Dies 114 in jeden Bereich geklebt werden. Zum Beispiel kann bei einer Ausführungsform nur ein integrierter Schaltkreis-Die 114 in jeden Bereich geklebt werden. Die integrierten Schaltkreis-Dies 114 können logische Dies (z. B. ein Zentrale-Verarbeitungseinheits-Die, ein Microcontroller-Die usw.), Speicher-Dies [z. B. ein Dynamischer-RAM(DRAM)-Die, ein Statischer-RAM(SRAM)-Die usw.], Leistungs-Management-Dies [z. B. ein integrierter Power-Management-Schaltkreis(PMIC)-Die], Hochfrequenz-Dies, Sensor-Dies, Mikroelektromagnetisches-System(MEMS)-Dies, Signalverarbeitungs-Dies [z. B. ein Digitale-Signalverarbeitungs(DSP)-Die], Front-End-Dies [z. B. analoge Front-End(AFE)-Dies] oder dergleichen oder eine Kombination davon sein. Außerdem können bei einigen Ausführungsformen die integrierten Schaltkreis-Dies 114 unterschiedliche Größen (z. B. unterschiedliche Höhen und/oder Flächeninhalte) haben, und bei anderen Ausführungsformen können die integrierten Schaltkreis-Die 114 die gleiche Größe (z. B. die gleiche Höhe und/oder den gleichen Flächeninhalt) haben.
  • Bevor die integrierten Schaltkreis-Dies 114 an die dielektrische Schicht 108 angeklebt werden, können sie mit geeigneten Fertigungsverfahren bearbeitet werden, um integrierte Schaltkreise in den integrierten Schaltkreis-Dies 114 herzustellen. Zum Beispiel weisen die integrierten Schaltkreis-Dies 114 jeweils ein Halbleitersubstrat 118, wie etwa dotiertes oder undotiertes Silicium, oder eine aktive Schicht eines SOI-Substrats (SOI: Halbleiter auf Isolator) auf. Das Halbleitersubstrat kann Folgendes umfassen: ein anderes Halbleitermaterial, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Siliciumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Weitere Substrate, wie etwa Mehrschicht- oder Gradient-Substrate, können ebenfalls verwendet werden. Bauelemente, wie etwa Transistoren, Dioden, Kondensatoren, Widerstände usw., können in und/oder auf dem Halbleitersubstrat 118 hergestellt werden und können durch Verbindungsstrukturen 120, die zum Beispiel von Metallisierungsstrukturen in einer oder mehreren dielektrischen Schichten auf dem Halbleitersubstrat 118 gebildet werden, miteinander verbunden werden, um einen integrierten Schaltkreis herzustellen.
  • Die integrierten Schaltkreis-Dies 114 weisen weiterhin Pads 122, wie etwa Aluminium-Pads, auf, zu denen äußere Anschlüsse hergestellt werden. Die Pads 122 befinden sich auf Seiten, die als jeweilige aktive Seiten der integrierten Schaltkreis-Dies 114 bezeichnet werden können. Auf den integrierten Schaltkreis-Dies 114 und auf Teilen der Pads 122 befinden sich Passivierungsschichten 124. Öffnungen verlaufen durch die Passivierungsschichten 124 zu den Pads 122. In den Öffnungen durch die Passivierungsschichten 124 sind Die-Verbinder 126, wie etwa leitende Säulen (die zum Beispiel ein Metall wie Kupfer aufweisen), angeordnet, die mechanisch und elektrisch mit den jeweiligen Pads 122 verbunden sind. Die Die-Verbinder 126 können zum Beispiel durch Plattierung oder dergleichen hergestellt werden. Die Die-Verbinder 126 verbinden die jeweiligen integrierten Schaltkreise der integrierten Schaltkreis-Dies 114 elektrisch.
  • Auf den aktiven Seiten der integrierten Schaltkreis-Dies 114, wie etwa auf den Passivierungsschichten 124 und den Die-Verbindern 126, ist ein dielektrisches Material 128 angeordnet. Das dielektrische Material 128 kapselt die Die-Verbinder 126 seitlich ein und endet seitlich mit den jeweiligen integrierten Schaltkreis-Dies 114. Das dielektrische Material 128 kann ein Polymer, wie etwa PBO, Polyimid, BCB oder dergleichen; ein Nitrid, wie etwa Siliciumnitrid oder dergleichen; ein Oxid, wie etwa Siliciumoxid, PSG, BSG, BPSG oder dergleichen; ein ähnliches Material oder eine Kombination davon sein und kann zum Beispiel durch Schleuderbeschichtung, Laminierung, CVD oder dergleichen hergestellt werden.
  • Der Klebstoff 116 befindet sich auf den Rückseiten der integrierten Schaltkreis-Dies 114 und verklebt die integrierten Schaltkreis-Dies 114 mit der rückseitigen Umverteilungsstruktur 110, wie etwa der dielektrischen Schicht 108 in der Darstellung. Der Klebstoff 116 kann jeder geeignete Klebstoff, ein Epoxidharz, eine Die-Befestigungsschicht (die attach film; DAF) oder dergleichen sein. Der Klebstoff 116 kann auf eine Rückseite der integrierten Schaltkreis-Dies 114, wie etwa auf eine Rückseite des jeweiligen Halbleiterwafers, aufgebracht werden, oder er kann über der Oberfläche des Trägersubstrats 100 aufgebracht werden. Die integrierten Schaltkreis-Dies 114 können zum Beispiel durch Zersägen oder Zertrennen vereinzelt werden und können mittels des Klebstoffs 116 unter Verwendung zum Beispiel eines Pick-and-Place-Geräts an die dielektrische Schicht 108 angeklebt werden.
  • In 5 wird ein Verkapselungsmaterial 130 auf den verschiedenen Komponenten abgeschieden. Das Verkapselungsmaterial 130 kann eine Formmasse, ein Epoxidharz oder dergleichen sein und kann durch Formpressen, Transferpressen oder dergleichen aufgebracht werden. Nach dem Härten kann das Verkapselungsmaterial 130 einem Schleifprozess unterzogen werden, um die Durchkontaktierungen 112 und die Die-Verbinder 126 freizulegen. Die Oberseiten der Durchkontaktierungen 112, der Die-Verbinder 126 und des Verkapselungsmaterials 130 sind nach dem Schleifprozess koplanar. Bei einigen Ausführungsformen kann das Schleifen entfallen, zum Beispiel wenn die Durchkontaktierungen 112 und die Die-Verbinder 126 bereits freigelegt sind.
  • In den 6 bis 15 und 19 wird eine vorderseitige Umverteilungsstruktur 160 hergestellt. Wie in 19 dargestellt ist, weist die vorderseitige Umverteilungsstruktur 160 dielektrische Schichten 132, 140, 148 und 156 und Metallisierungsstrukturen 138, 146 und 154 auf.
  • In 6 wird die dielektrische Schicht 132 auf dem Verkapselungsmaterial 130, den Durchkontaktierungen 112 und den Die-Verbindern 126 abgeschieden. Bei einigen Ausführungsformen wird die dielektrische Schicht 132 aus einem Polymer hergestellt, das ein lichtempfindliches Material, wie etwa PBO, Polyimid, BCB oder dergleichen, sein kann, das unter Verwendung einer lithografischen Maske strukturiert werden kann. Bei weiteren Ausführungsformen besteht die dielektrische Schicht 132 aus einem Nitrid, wie etwa Siliciumnitrid; einem Oxid, wie etwa Siliciumoxid; PSG, BSG, BPSG oder dergleichen. Die dielektrische Schicht 132 kann durch Schleuderbeschichtung, Laminierung, CVD oder dergleichen oder eine Kombination davon hergestellt werden.
  • In 7 wird dann die dielektrische Schicht 132 strukturiert. Durch die Strukturierung entstehen Öffnungen, um Teile der Durchkontaktierungen 112 und der Die-Verbinder 126 freizulegen. Die Strukturierung kann mit einem geeigneten Verfahren durchgeführt werden, wie etwa durch Belichten der dielektrischen Schicht 132, wenn die dielektrische Schicht 132 ein lichtempfindliches Material ist, oder durch Ätzung, zum Beispiel anisotrope Ätzung. Wenn die dielektrische Schicht 132 ein lichtempfindliches Material ist, kann sie nach der Belichtung entwickelt werden.
  • In 8 wird die Metallisierungsstruktur 138 mit Durchkontaktierungen auf der dielektrischen Schicht 132 hergestellt. Als ein Beispiel für die Herstellung der Metallisierungsstruktur 138 wird eine Seed-Schicht (nicht dargestellt) über der dielektrischen Schicht 132 und in Öffnungen durch die dielektrische Schicht 132 hergestellt. Bei einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine Einfachschicht oder eine Verbundschicht sein kann, die eine Vielzahl von Teilschichten umfasst, die aus unterschiedlichen Materialien bestehen. Bei einigen Ausführungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird ein Fotoresist auf der Seed-Schicht hergestellt und strukturiert. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht der Metallisierungsstruktur 138. Durch die Strukturierung entstehen Öffnungen durch das Fotoresist, um die Seed-Schicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seed-Schicht wird ein leitendes Material abgeschieden. Das leitende Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitende Material kann ein Metall aufweisen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Dann werden das Fotoresist und die Teile der Seed-Schicht entfernt, auf denen das leitende Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, wie etwa unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden auch die freigelegten Teile der Seed-Schicht zum Beispiel mit einem geeigneten Ätzverfahren entfernt, wie etwa Nass- oder Trockenätzung. Die verbleibenden Teile der Seed-Schicht und das leitende Material bilden die Metallisierungsstruktur 138 und die Durchkontaktierungen. Die Durchkontaktierungen werden in den Öffnungen durch die dielektrische Schicht 132 z. B. zu den Durchkontaktierungen 112 und/oder den Die-Verbindern 126 hergestellt.
  • In 9 wird die dielektrische Schicht 140 auf der Metallisierungsstruktur 138 und der dielektrischen Schicht 132 abgeschieden. Bei einigen Ausführungsformen wird die dielektrische Schicht 140 aus einem Polymer hergestellt, das ein lichtempfindliches Material, wie etwa PBO, Polyimid, BCB oder dergleichen, sein kann, das unter Verwendung einer lithografischen Maske strukturiert werden kann. Bei weiteren Ausführungsformen besteht die dielektrische Schicht 140 aus einem Nitrid, wie etwa Siliciumnitrid; einem Oxid, wie etwa Siliciumoxid; PSG, BSG, BPSG oder dergleichen. Die dielektrische Schicht 140 kann durch Schleuderbeschichtung, Laminierung, CVD oder dergleichen oder eine Kombination davon hergestellt werden.
  • In 10 wird dann die dielektrische Schicht 140 strukturiert. Durch die Strukturierung entstehen Öffnungen, um Teile der Metallisierungsstruktur 138 freizulegen. Die Strukturierung kann mit einem geeigneten Verfahren durchgeführt werden, wie etwa durch Belichten der dielektrischen Schicht 140, wenn die dielektrische Schicht ein lichtempfindliches Material ist, oder durch Ätzung, zum Beispiel anisotrope Ätzung. Wenn die dielektrische Schicht 140 ein lichtempfindliches Material ist, kann sie nach der Belichtung entwickelt werden.
  • In 11 wird die Metallisierungsstruktur 146 mit Durchkontaktierungen auf der dielektrischen Schicht 140 hergestellt. Als ein Beispiel für die Herstellung der Metallisierungsstruktur 146 wird eine Seed-Schicht (nicht dargestellt) über der dielektrischen Schicht 140 und in Öffnungen durch die dielektrische Schicht 140 hergestellt. Bei einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine Einfachschicht oder eine Verbundschicht sein kann, die eine Vielzahl von Teilschichten umfasst, die aus unterschiedlichen Materialien bestehen. Bei einigen Ausführungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird ein Fotoresist auf der Seed-Schicht hergestellt und strukturiert. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht der Metallisierungsstruktur 146. Durch die Strukturierung entstehen Öffnungen durch das Fotoresist, um die Seed-Schicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seed-Schicht wird ein leitendes Material abgeschieden. Das leitende Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitende Material kann ein Metall aufweisen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Dann werden das Fotoresist und die Teile der Seed-Schicht entfernt, auf denen das leitende Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, wie etwa unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden auch die freigelegten Teile der Seed-Schicht zum Beispiel mit einem geeigneten Ätzverfahren entfernt, wie etwa Nass- oder Trockenätzung. Die verbleibenden Teile der Seed-Schicht und das leitende Material bilden die Metallisierungsstruktur 146 und die Durchkontaktierungen. Die Durchkontaktierungen werden in den Öffnungen durch die dielektrische Schicht 140 z. B. zu Teilen der Metallisierungsstruktur 138 hergestellt.
  • In 12 wird die dielektrische Schicht 148 auf der Metallisierungsstruktur 146 und der dielektrischen Schicht 140 abgeschieden. Bei einigen Ausführungsformen wird die dielektrische Schicht 148 aus einem Polymer hergestellt, das ein lichtempfindliches Material, wie etwa PBO, Polyimid, BCB oder dergleichen, sein kann, das unter Verwendung einer lithografischen Maske strukturiert werden kann. Bei weiteren Ausführungsformen besteht die dielektrische Schicht 148 aus einem Nitrid, wie etwa Siliciumnitrid; einem Oxid, wie etwa Siliciumoxid; PSG, BSG, BPSG oder dergleichen. Die dielektrische Schicht 148 kann durch Schleuderbeschichtung, Laminierung, CVD oder dergleichen oder eine Kombination davon hergestellt werden.
  • In 13 wird dann die dielektrische Schicht 148 strukturiert. Durch die Strukturierung entstehen Öffnungen, um Teile der Metallisierungsstruktur 146 freizulegen. Die Strukturierung kann mit einem geeigneten Verfahren durchgeführt werden, wie etwa durch Belichten der dielektrischen Schicht 148, wenn die dielektrische Schicht ein lichtempfindliches Material ist, oder durch Ätzung, zum Beispiel anisotrope Ätzung. Wenn die dielektrische Schicht 148 ein lichtempfindliches Material ist, kann sie nach der Belichtung entwickelt werden.
  • In 14 wird die Metallisierungsstruktur 154 mit Durchkontaktierungen auf der dielektrischen Schicht 148 hergestellt. Als ein Beispiel für die Herstellung der Metallisierungsstruktur 154 wird eine Seed-Schicht (nicht dargestellt) über der dielektrischen Schicht 148 und in Öffnungen durch die dielektrische Schicht 148 hergestellt. Bei einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine Einfachschicht oder eine Verbundschicht sein kann, die eine Vielzahl von Teilschichten umfasst, die aus unterschiedlichen Materialien bestehen. Bei einigen Ausfiihrungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird ein Fotoresist auf der Seed-Schicht hergestellt und strukturiert. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht der Metallisierungsstruktur 154. Durch die Strukturierung entstehen Öffnungen durch das Fotoresist, um die Seed-Schicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seed-Schicht wird ein leitendes Material abgeschieden. Das leitende Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitende Material kann ein Metall aufweisen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Dann werden das Fotoresist und die Teile der Seed-Schicht entfernt, auf denen das leitende Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, wie etwa unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden auch die freigelegten Teile der Seed-Schicht zum Beispiel mit einem geeigneten Ätzverfahren entfernt, wie etwa Nass- oder Trockenätzung. Die verbleibenden Teile der Seed-Schicht und das leitende Material bilden die Metallisierungsstruktur 154 und die Durchkontaktierungen. Die Durchkontaktierungen werden in den Öffnungen durch die dielektrische Schicht 148 z. B. zu Teilen der Metallisierungsstruktur 146 hergestellt.
  • In 15 wird die dielektrische Schicht 156 auf der Metallisierungsstruktur 154 und der dielektrischen Schicht 148 abgeschieden. Bei einigen Ausführungsformen wird die dielektrische Schicht 156 aus einem Polymer hergestellt, das ein lichtempfindliches Material, wie etwa PBO, Polyimid, BCB oder dergleichen, sein kann, das unter Verwendung einer lithografischen Maske strukturiert werden kann. Bei weiteren Ausführungsformen besteht die dielektrische Schicht 156 aus einem Nitrid, wie etwa Siliciumnitrid; einem Oxid, wie etwa Siliciumoxid, PSG, BSG, BPSG oder dergleichen. Die dielektrische Schicht 156 kann durch Schleuderbeschichtung, Laminierung, CVD oder dergleichen oder eine Kombination davon hergestellt werden.
  • Die 16, 17 und 18 zeigen vereinfachte Draufsichten der RDL-Führung gemäß einigen Ausführungsformen. 16 zeigt eine vereinfachte Draufsicht einer der ersten Package-Strukturen von 15. 16 zeigt zwei integrierte Schaltkreis-Dies 114A und 114B, die in dem Verkapselungsmaterial 130 eingekapselt sind. Bei einer Ausführungsform kann ein Abstand D2 zwischen den beiden integrierten Schaltkreis-Dies 114A und 114B etwa 50 µm bis etwa 300 µm betragen. Bei einer weiteren Ausführungsform kann der Abstand D2 zwischen den beiden integrierten Schaltkreis-Dies 114A und 114B einen anderen Wert haben. Der erste integrierte Schaltkreis-Die 114A und das Verkapselungsmaterial 130 haben eine erste Grenze 702A gemeinsam, und der zweite integrierte Schaltkreis-Die 114B und das Verkapselungsmaterial 130 haben eine zweite Grenze 702B gemeinsam.
  • Wie in 16 gezeigt ist, verlaufen leitende Verbindungen 704 und 708 über die Grenzen 702A und 702B zwischen den integrierten Schaltkreis-Dies 114A und 114B und dem Verkapselungsmaterial 130. Die leitenden Verbindungen 704 und 708 können leitende Durchkontaktierungen 706A und 710A über dem integrierten Schaltkreis-Die 114A mit leitenden Durchkontaktierungen 706A und 710B in und/oder über dem integrierten Schaltkreis-Die 114B elektrisch und mechanisch verbinden. Wie vorstehend dargelegt worden ist, kann es auf Grund des CTE-Unterschieds zwischen den Materialien der integrierten Schaltkreis-Dies 114A und 114B und dem Verkapselungsmaterial 130 zu Krümmungen des Bauelement-Package an den Grenzen 702A und 702B kommen, wodurch mechanische Spannungen auf die leitenden Verbindungen 704 und 708 an den Stellen aufgebracht werden, an denen sich die Grenzen 702A und 702B befinden. Es ist festgestellt worden, dass diese Spannung auf die leitenden Verbindungen 704 und 708 dadurch abgeschwächt werden kann, dass die leitenden Verbindungen 704 und 708 über dem Verkapselungsmaterial 130 und über den integrierten Schaltkreis-Dies 114A und 114B in der Nähe der Grenzen 702A und 702B verbreitert werden.
  • Zwei Konfigurationen von leitenden Verbindungen sind bei den leitenden Verbindungen 704 und 708 der darüber befindlichen Metallisierungsstrukturen 154/146/138 (RDL-Strukturen) dargestellt. Die leitende Verbindung 704 ist kürzer, wenn Pads/Durchkontaktierungen näher an den Grenzen 702A und 702B sind, und die leitenden Verbindungen 708 sind länger, wenn Pads/Durchkontaktierungen von den Grenzen 702A und 702B weiter entfernt sind. Dadurch können die Pads/Durchkontaktierungen von benachbarten leitenden Verbindungen 704 und 708 dichter zusammengepackt werden. Außerdem sind die leitenden Verbindungen 706A, 706B, 710A und 710B (z. B. die Die-Verbinder 126, die Durchkontaktierungen 112 und/oder die Durchkontaktierungen der Metallisierungsstrukturen 154/146/138) zur Bezugnahme im Geisterbild dargestellt. Die dielektrischen Schichten 132, 140, 148 und 156 sind nicht dargestellt. Verschiedene Merkmale der in 16 gezeigten Draufsicht sind lediglich der Einfachheit halber in einer Einfachschicht dargestellt. Bei verschiedenen Ausführungsformen können Merkmale in 16 in anderen Schichten entsprechend der Schnittansicht von 15 angeordnet werden. Darüber hinaus können die leitenden Verbindungen 704 und 708 in ein und derselben Metallisierungsstruktur oder in verschiedenen Metallisierungsstrukturen in einem Package angeordnet werden. Zum Beispiel kann die leitende Verbindung 704 in ein und derselben Schicht, über oder unter der leitenden Verbindung 708 angeordnet werden.
  • Die leitenden Verbindungen 704 und 708 haben jeweils mindestens einen breiteren Teil 704B bzw. 708B, die über dem Verkapselungsmaterial 130 und über den integrierten Schaltkreis-Dies 114A und 114B mit einem ersten Abstand D1 von den Grenzen 702A bzw. 702B angeordnet sind. Die leitenden Verbindungen 704 und 708 können jeweils schmalere Teile 704A und 708B, die schmaler als die breiteren Teile sind, über den integrierten Schaltkreis-Dies 114A und 114B außerhalb des ersten Abstands D1 aufweisen. Bei einigen Ausführungsformen haben die breiteren Teile 704B und 708B eine Breite W2, die größer als oder gleich etwa 5 µm ist. Bei einigen Ausführungsformen haben die schmaleren Teile 704A und 708A eine Breite W1, die kleiner als oder gleich etwa 2 µm ist. Bei einigen Ausführungsformen ist der erste Abstand D1 größer als oder gleich etwa 10 µm. Bei weiteren Ausführungsformen können die Breiten W1 und W2 und der erste Abstand unterschiedliche Werte haben, wobei die Breite W2 größer als die Breite W1 ist. Die Breiten der vorstehend beschriebenen Teile/Segmente werden in einer Richtung senkrecht zu einer Längsachse des Teils/Segments gemessen. Es ist festgestellt worden, dass dadurch, dass die leitenden Verbindungen entsprechend dem CTE-Unterschied zwischen den integrierten Schaltkreis-Dies 114A und 114B und dem Verkapselungsmaterial 130 in der hier beschriebenen Weise konfiguriert werden, die mechanische Spannung auf leitende Verbindungen, die über eine Die-Formmasse-Grenze verlaufen, signifikant verringert werden kann. Durch Verringern der auf die leitenden Verbindungen aufgebrachten Spannung können Rissbildung und/oder andere Fertigungsfehler in den Metallisierungsstrukturen einer RDL reduziert werden. Darüber hinaus ist durch Anordnen der schmalen Teile 704A und 708A in den Pad-/Durchkontaktierungsbereichen der Führungsnachteil der breiteren leitenden Verbindungen vernachlässigbar.
  • 17 zeigt eine vereinfachte Draufsicht einer RDL-Führung, die der von 16 ähnlich ist, mit der Ausnahme, dass die breiteren Teile der leitenden Verbindungen stumpfwinklige Biegungen haben. In 17 sind eine leitende Verbindung 712 und Durchkontaktierungen 714A und 714B der leitenden Verbindung 704 und den Durchkontaktierungen 706A und 706B von 16 mit Ausnahme der stumpfwinkligen Biegungen in dem breiteren Teil 712B ähnlich, und sie werden hier nicht nochmals beschrieben. In 17 sind eine leitende Verbindung 716 und Durchkontaktierungen 718A und 718B der leitenden Verbindung 708 und den Durchkontaktierungen 710A und 710B von 16 mit Ausnahme der stumpfwinkligen Biegungen in dem breiteren Teil 716B ähnlich, und sie werden hier nicht nochmals beschrieben.
  • Die stumpfwinkligen Biegungen in den breiteren Teilen 712B und 716B der leitenden Verbindungen 712 und 716 bilden einen Winkel θ1. Bei einigen Ausführungsformen kann der Winkel θ1, der zwischen jeweiligen Segmenten der breiteren Teile 712B und/oder 716B gemessen wird, größer als 90° und kleiner als 180° sein. Außerdem sind die leitenden Verbindungen und der Winkel zwischen den leitenden Verbindungssegmenten und den Grenzen 702A und 702B nur erläuternd, und die leitenden Verbindungssegmente können in einem Winkel über die Grenzen 702A und 702B hinweg angeordnet werden.
  • 18 zeigt eine vereinfachte Draufsicht einer RDL-Führung, die der von 16 ähnlich ist, mit der Ausnahme, dass die breiteren Teile der leitenden Verbindungen spitzwinklige Biegungen haben. In 18 sind eine leitende Verbindung 720 und Durchkontaktierungen 722A und 722B der leitenden Verbindung 704 und den Durchkontaktierungen 706A und 706B von 16 mit Ausnahme der spitzwinkligen Biegungen in dem breiteren Teil 720B ähnlich, und sie werden hier nicht nochmals beschrieben. In 18 sind eine leitende Verbindung 724 und Durchkontaktierungen 726A und 726B der leitenden Verbindung 708 und den Durchkontaktierungen 710A und 710B von 16 mit Ausnahme der spitzwinkligen Biegungen in dem breiteren Teil 724B ähnlich, und sie werden hier nicht nochmals beschrieben.
  • Die spitzwinkligen Biegungen in den breiteren Teilen 720B und 724B der leitenden Verbindungen 720 und 724 bilden einen Winkel θ2. Bei einigen Ausführungsformen kann der Winkel θ2, der zwischen jeweiligen Segmenten der breiteren Teile 720B und/oder 724B gemessen wird, kleiner als 90° und größer als 0° sein. Außerdem sind die leitenden Verbindungen und der Winkel zwischen den leitenden Verbindungssegmenten und den Grenzen 702A und 702B nur erläuternd, und die leitenden Verbindungssegmente können in einem Winkel über die Grenzen 702A und 702B hinweg angeordnet werden. Bei einigen Ausführungsformen ist der Winkel zwischen den Segmenten der breiteren Teile ein rechter Winkel, z. B. etwa 90°.
  • In den 16, 17 und 18 sind die leitenden Durchkontaktierungen 706B, 710B, 714B, 718B, 722B und 726B über oder in dem integrierten Schaltkreis-Die 114B angeordnet. Bei weiteren Ausführungsformen können die leitenden Durchkontaktierungen 706B, 710B, 714B, 718B, 722B und 726B über oder in dem Verkapselungsmaterial 130 angeordnet werden, sodass es nur eine Grenze 702 zwischen dem integrierten Schaltkreis-Die 114A und dem Verkapselungsmaterial 130 gibt.
  • Bei einigen Ausführungsformen werden die vorstehend beschriebenen RDL-Führungsentwurfsverfahren nur für die erste Metallisierungsstruktur (z. B. die Metallisierungsstruktur 138) über dem integrierten Schaltkreis-Die 114 und dem Verkapselungsmaterial 130 verwendet, während die übrigen Metallisierungsstrukturen ohne Berücksichtigung der in den 16 und 17 beschriebenen Konfigurationen geführt werden. Bei einigen weiteren Ausführungsformen werden die vorstehend beschriebenen RDL-Führungsentwurfsverfahren für alle Metallisierungsstrukturen über dem integrierten Schaltkreis-Die 114 und dem Verkapselungsmaterial 130 verwendet (z. B. für die Metallisierungsstrukturen 138, 146 und 154).
  • Die 19 bis 24 zeigen Schnittansichten von Zwischenstufen bei einem Prozess zur weiteren Herstellung des ersten Package und zum Befestigen von weiteren Package-Strukturen an dem ersten Package gemäß einigen Ausführungsformen.
  • In 19 wird dann die dielektrische Schicht 156 strukturiert. Durch die Strukturierung entstehen Öffnungen, um Teile der Metallisierungsstruktur 154 freizulegen. Die Strukturierung kann mit einem geeigneten Verfahren durchgeführt werden, wie etwa durch Belichten der dielektrischen Schicht 156, wenn die dielektrische Schicht ein lichtempfindliches Material ist, oder durch Ätzung, zum Beispiel anisotrope Ätzung. Wenn die dielektrische Schicht 156 ein lichtempfindliches Material ist, kann sie nach der Belichtung entwickelt werden.
  • Die vorderseitige Umverteilungsstruktur 160 ist als ein Beispiel gezeigt. Es können mehr oder weniger dielektrische Schichten und Metallisierungsstrukturen in der vorderseitigen Umverteilungsstruktur 160 hergestellt werden. Wenn weniger dielektrische Schichten und Metallisierungsstrukturen hergestellt werden sollen, können Schritte und Prozesse, die vorstehend erörtert worden sind, weggelassen werden. Wenn mehr dielektrische Schichten und Metallisierungsstrukturen hergestellt werden sollen, können Schritte und Prozesse, die vorstehend erörtert worden sind, wiederholt werden. Ein Durchschnittsfachmann dürfte ohne weiteres erkennen, welche Schritte und Prozesse weggelassen oder wiederholt werden.
  • Der RDL-Führungsentwurf, der hier beschrieben wird, wird zwar anhand der vorderseitigen Umverteilungsstruktur 160 erörtert, aber die Grundsätze des RDL-Führungsverfahrens können auch für die rückseitige Umverteilungsstruktur 110 verwendet werden.
  • In 20 werden Pads 162 auf einer Außenseite der vorderseitigen Umverteilungsstruktur 160 hergestellt. Die Pads 162 dienen zum Verbinden mit leitenden Verbindern 166 (siehe 21) und können als Metallisierungen unter dem Kontakthügel (underbump metallizations; UBMs) 162 bezeichnet werden. Bei der dargestellten Ausführungsform werden die Pads 162 durch Öffnungen durch die dielektrische Schicht 156 zu der Metallisierungsstruktur 154 hergestellt. Als ein Beispiel für die Herstellung der Pads 162 wird eine Seed-Schicht (nicht dargestellt) über der dielektrischen Schicht 156 hergestellt. Bei einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine Einfachschicht oder eine Verbundschicht sein kann, die eine Vielzahl von Teilschichten umfasst, die aus unterschiedlichen Materialien bestehen. Bei einigen Ausführungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird ein Fotoresist auf der Seed-Schicht hergestellt und strukturiert. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht den Pads 162. Durch die Strukturierung entstehen Öffnungen durch das Fotoresist, um die Seed-Schicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seed-Schicht wird ein leitendes Material abgeschieden. Das leitende Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen hergestellt werden. Das leitende Material kann ein Metall aufweisen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Dann werden das Fotoresist und die Teile der Seed-Schicht entfernt, auf denen das leitende Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, wie etwa unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden auch die freigelegten Teile der Seed-Schicht zum Beispiel mit einem geeigneten Ätzverfahren entfernt, wie etwa Nass- oder Trockenätzung. Die verbleibenden Teile der Seed-Schicht und das leitende Material bilden die Pads 162. Bei einer Ausführungsform, bei der die Pads 162 anders hergestellt werden, können mehr Fotoresist- und Strukturierungsschritte verwendet werden.
  • In 21 werden leitende Verbinder 166 auf den UBMs 162 hergestellt. Die leitenden Verbinder 166 können BGA-Verbinder (BGA: ball grid array; Kugelgitter-Array), Lötkugeln, Metallsäulen, C4-Kontakthügel (C4: controlled collapse chip connection; Chipverbindung mit kontrolliertem Kollaps), Microbumps, mit dem ENEPIG-Verfahren hergestellte Kontakthügel (ENEPIG: Electroless Nickel Electroless Palladium Immersion Gold) oder dergleichen sein. Die leitenden Verbinder 166 können ein leitendes Material aufweisen, wie etwa Lot, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn oder dergleichen oder eine Kombination davon. Bei einigen Ausführungsformen werden die leitenden Verbinder 166 dadurch hergestellt, dass zunächst eine Schicht aus Lot mittels solchen allgemein üblichen Verfahren wie Verdampfung, Elektroplattierung, Drucken, Lotübertragung, Kugelplatzierung oder dergleichen hergestellt wird. Nachdem eine Schicht aus Lot auf der Struktur hergestellt worden ist, kann eine Aufschmelzung durchgeführt werden, um dem Material die gewünschten Kontakthügel-Formen zu verleihen. Bei einer weiteren Ausführungsform sind die leitenden Verbinder 166 Metallsäulen (wie etwa Kupfersäulen), die durch Sputtern, Drucken Elektroplattierung, stromlose Plattierung, CVD oder dergleichen hergestellt werden. Die Metallsäulen können lötfrei sein und im Wesentlichen vertikale Seitenwände haben. Bei einigen Ausführungsformen wird eine Metall-Deckschicht (nicht dargestellt) auf der Oberseite der Metallsäulen-Verbinder 166 hergestellt. Die Metall-Deckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold oder dergleichen oder eine Kombination davon aufweisen und kann mit einem Plattierungsprozess hergestellt werden.
  • In 22 wird eine Trägersubstrat-Ablösung durchgeführt, um das Trägersubstrat 100 von der rückseitigen Umverteilungsstruktur, z. B. der dielektrischen Schicht 104, abzulösen. Gemäß einigen Ausführungsformen umfasst die Ablösung das Projizieren von Licht, wie etwa Laserlicht oder UV-Licht, auf die Ablöseschicht 102, sodass sich die Ablöseschicht 102 durch die Wärme des Lichts zersetzt und das Trägersubstrat 100 entfernt werden kann. Die Struktur wird dann umgedreht und auf einem Band 190 platziert.
  • Wie weiterhin in 22 gezeigt ist, werden Öffnungen durch die dielektrische Schicht 104 hergestellt, um Teile der Metallisierungsstruktur 106 freizulegen. Die Öffnungen können zum Beispiel durch Laserbohren, Ätzen oder dergleichen hergestellt werden.
  • Durch Zersägen entlang Ritzgrabenbereichen z. B. zwischen benachbarten Bereichen 600 und 602 wird eine Vereinzelung durchgeführt. Durch das Zersägen wird der erste Package-Bereich 600 von dem zweiten Package-Bereich 602 getrennt.
  • 23 zeigt ein resultierendes vereinzeltes Package 200, das von dem ersten Package-Bereich 600 oder dem zweiten Package-Bereich 602 stammen kann. Das Package 200 kann auch als ein integriertes Fan-out(InFO)-Package 200 bezeichnet werden.
  • 24 zeigt eine Package-Struktur 500, die das Package 200 (das als ein erstes Package 200 bezeichnet werden kann), ein zweites Package 300 und ein Substrat 400 aufweist. Das zweite Package 300 weist ein Substrat 302 und eine oder mehrere gestapelte Dies 308 (308A und 308B) auf, die mit dem Substrat 302 verbunden sind. Das Substrat 302 kann aus einem Halbleitermaterial, wie etwa Silicium, Germanium, Diamant oder dergleichen, bestehen. Bei einigen Ausfiihrungsformen können auch zusammengesetzte Materialien verwendet werden, wie etwa Siliciumgermanium, Siliciumcarbid, Galliumarsen, Indiumarsenid, Indiumphosphid, Siliciumgermaniumcarbid, Galliumarsenphosphid, Galliumindiumphosphid, Kombinationen davon und dergleichen. Außerdem kann das Substrat 302 ein Silicium-auf-Isolator-Substrat (SOI-Substrat) sein. In der Regel weist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial auf, wie etwa epitaxiales Silicium, Germanium, Siliciumgermanium, SOI, Siliciumgermanium auf Isolator (SGOI) oder Kombinationen davon. Das Substrat 302 basiert bei einer alternativen Ausführungsform auf einem isolierenden Kern, wie etwa einem Kern aus glasfaserverstärktem Harz. Ein beispielhaftes Kernmaterial ist Glasfaser-Harz, wie etwa FR4. Alternativen für das Kernmaterial sind Bismaleimid-Triazin(BT)-Harz oder alternativ andere Leiterplatten-Materialien oder -Schichten. Für das Substrat 302 können auch Aufbauschichten, wie etwa eine Ajinomoto-Aufbauschicht (ABF), oder andere Schichtstoffe verwendet werden.
  • Das Substrat 302 kann aktive und passive Bauelemente (in 24 nicht dargestellt) aufweisen. Wie ein Durchschnittsfachmann erkennen dürfte, können viele verschiedene Bauelemente, wie etwa Transistoren, Kondensatoren, Widerstände, Kombinationen davon und dergleichen, zum Erfüllen der baulichen und funktionellen Anforderungen an den Entwurf des Halbleiter-Package 300 verwendet werden. Die Bauelemente können mit geeigneten Verfahren hergestellt werden.
  • Das Substrat 302 kann außerdem Metallisierungsschichten (nicht dargestellt) und Durchkontaktierungen 306 aufweisen. Die Metallisierungsschichten können über den aktiven und passiven Bauelementen hergestellt werden und sind so konfiguriert, dass sie die verschiedenen Bauelemente zu funktionellen Schaltungen verbinden. Die Metallisierungsschichten können aus abwechselnden Schichten aus einem dielektrischen Material (z. B. einem dielektrischen Low-k-Material) und einem leitenden Material (z. B. Kupfer) mit Durchkontaktierungen, die die Schichten aus leitendem Material miteinander verbinden, mit einem geeigneten Verfahren (wie etwa Abscheidung, Single-Damascene-Prozess, Dual-Damascene-Prozess oder dergleichen) hergestellt werden. Bei einigen Ausführungsformen ist das Substrat 302 im Wesentlichen frei von aktiven und passiven Bauelementen.
  • Das Substrat 302 kann Bondpads 303 auf einer ersten Seite des Substrats 302 zum Verbinden mit den gestapelten Dies 308 und Bondpads 304 auf einer zweiten Seite des Substrats 302 zum Verbinden mit den leitenden Verbindern 314 haben, wobei die zweite Seite des Substrats 302 der ersten Seite entgegengesetzt liegt. Bei einigen Ausführungsformen werden die Bondpads 303 und 304 dadurch hergestellt, dass Aussparungen (nicht dargestellt) in dielektrischen Schichten (nicht dargestellt) auf der ersten und der zweiten Seite des Substrats 302 ausgebildet werden. Die Aussparungen können so ausgebildet werden, dass die Bondpads 303 und 304 in die dielektrischen Schichten eingebettet werden. Bei weiteren Ausführungsformen werden die Aussparungen weggelassen, da die Bondpads 303 und 304 auf der dielektrischen Schicht hergestellt werden können. Bei einigen Ausführungsformen weisen die Bondpads 303 und 304 eine dünne Seed-Schicht (nicht dargestellt) aus Kupfer, Titan, Nickel, Gold, Palladium oder dergleichen oder einer Kombination davon auf. Das leitende Material der Bondpads 303 und 304 kann über der dünnen Seed-Schicht abgeschieden werden. Das leitende Material kann durch elektrochemische Plattierung, stromlose Plattierung, CVD, ALD, PVD oder dergleichen oder eine Kombination davon abgeschieden werden. Bei einer Ausführungsform ist das leitende Material der Bondpads 303 und 304 Kupfer, Wolfram, Aluminium, Silber, Gold oder dergleichen oder eine Kombination davon.
  • Bei einer Ausführungsform sind die Bondpads 303 und 304 UBMs, die drei Schichten aus leitenden Materialien umfassen, wie etwa eine Schicht aus Titan, eine Schicht aus Kupfer und eine Schicht aus Nickel. Ein Durchschnittsfachmann dürfte jedoch erkennen, dass es viele geeignete Anordnungen von Materialien und Schichten gibt, wie etwa die Anordnung Chrom/Chrom-Kupfer-Legierung/Kupfer/Gold, die Anordnung Titan/Titanwolfram/Kupfer oder die Anordnung Kupfer/Nickel/Gold, die für die Herstellung der UBMs 303 und 304 geeignet sind. Alle geeigneten Materialien oder Materialschichten, die für die UBMs 303 und 304 verwendet werden können, sollen innerhalb des Schutzumfangs der vorliegenden Anmeldung liegen. Bei einigen Ausführungsformen verlaufen die Durchkontaktierungen 306 durch das Substrat 302 und verbinden mindestens ein Bondpad 303 mit mindestens einem Bondpad 304.
  • Bei der dargestellten Ausführungsform werden die gestapelten Dies 308 durch Drahtverbindungen 310 mit dem Substrat 302 verbunden, aber es können auch andere Verbindungen verwendet werden, wie etwa leitende Kontakthügel. Bei einer Ausführungsform sind die gestapelten Dies 308 gestapelte Speicher-Dies. Die gestapelten Speicher-Dies 308 können zum Beispiel leistungsarme (low-power; LP) Speichermodule mit doppelter Datenflussrate (double data rate; DDR) umfassen, wie etwa LPDDR1, LPDDR2, LPDDR3, LPDDR4 oder ähnliches Speichermodule.
  • Bei einigen Ausführungsformen können die gestapelten Dies 308 und die Drahtverbindungen 310 mit einem Formmaterial 312 eingekapselt werden. Das Formmaterial 312 kann zum Beispiel durch Formpressen auf den gestapelten Dies 308 und den Drahtverbindungen 310 geformt werden. Bei einigen Ausführungsformen ist das Formmaterial 312 eine Formmasse, ein Polymer, ein Epoxidharz, Siliciumoxid-Füllstoff oder dergleichen oder eine Kombination davon. Zum Härten des Formmaterials 312 kann ein Härtungsprozess durchgeführt werden, wobei die Härtung durch Warmhärten, UV-Härten oder dergleichen oder eine Kombination davon erfolgen kann.
  • Bei einigen Ausführungsformen werden die gestapelten Dies 308 und die Drahtverbindungen 310 in dem Formmaterial 312 vergraben, und nach dem Härten des Formmaterials 312 wird ein Planarisierungsprozess, wie etwa Schleifen, durchgeführt, um überschüssige Teile des Formmaterials 312 zu entfernen und eine im Wesentlichen planare Oberfläche für das zweite Package 300 bereitzustellen.
  • Nachdem die zweiten Packages 300 hergestellt worden sind, werden sie mittels der leitenden Verbinder 314, der Bondpads 304 und der Metallisierungsstruktur 106 an die ersten Packages 200 gebondet. Bei einigen Ausführungsformen können die gestapelten Speicher-Dies 308 über die Drahtverbindungen 310, die Bondpads 303 und 304, die Durchkontaktierungen 306, die leitenden Verbinder 314 und die Durchkontaktierungen 112 mit dem integrierten Schaltkreis-Die 114 verbunden werden.
  • Die leitenden Verbinder 314 können den vorstehend beschriebenen leitenden Verbindern 166 ähnlich sein, und sie werden hier nicht nochmals beschrieben, auch wenn die leitenden Verbinder 314 und 166 nicht gleich sein müssen. Bei einigen Ausführungsformen werden die leitenden Verbinder 314 vor dem Bonden mit einem Flussmittel (nicht dargestellt), wie etwa einem rückstandsfreien Flussmittel, überzogen. Die leitenden Verbinder 314 können in das Flussmittel eingetaucht werden, oder das Flussmittel kann auf die leitenden Verbinder 314 gesprüht werden. Bei einer weiteren Ausführungsform kann das Flussmittel auf die Oberflächen der Metallisierungsstrukturen 106 aufgebracht werden.
  • Bei einigen Ausführungsformen können die leitenden Verbinder 314 ein Epoxid-Flussmittel (nicht dargestellt) haben, das aufgebracht wird, bevor sie wieder aufgeschmolzen werden, wobei zumindest ein Teil des Epoxid-Anteils des Epoxid-Flussmittels zurückbleibt, nachdem das zweite Package 300 an dem ersten Package 200 befestigt worden ist. Dieser zurückbleibende Epoxid-Anteil kann als eine Unterfüllung zum Verringern der mechanischen Spannung und zum Schützen der Verbindungsstellen fungieren, die durch die Aufschmelzung der leitenden Verbinder 314 entstehen. Bei einigen Ausführungsformen kann eine Unterfüllung (nicht dargestellt) zwischen dem zweiten Package 300 und dem ersten Package 200 und um die leitenden Verbinder 314 herum hergestellt werden. Die Unterfüllung kann mit dem Kapillarfluss-Verfahren hergestellt werden, nachdem das zweite Package 300 angebracht worden ist, oder sie kann mit einem geeigneten Abscheidungsverfahren hergestellt werden, bevor das zweite Package 300 angebracht wird.
  • Das Bonden zwischen dem zweiten Package 300 und dem ersten Package 200 kann durch Lötbonden oder direktes Metall-auf-Metall-Bonden (wie etwa Kupfer-auf-Kupfer- oder Zinn-auf-Zinn-Bonden) erfolgen. Bei einer Ausführungsform wird das zweite Package 300 mit einem Aufschmelzprozess an das erste Package 200 gebondet. Während dieses Aufschmelzprozesses sind die leitenden Verbinder 314 in Kontakt mit den Bondpads 304 und den Metallisierungsstrukturen 106, um das zweite Package 300 körperlich und elektrisch mit dem ersten Package 200 zu verbinden. Nach dem Bondprozess kann eine intermetallische Verbindung (IMC) an der Grenzfläche zwischen den Metallisierungsstrukturen 106 und den leitenden Verbindern 314 sowie an der Grenzfläche zwischen den leitenden Verbindern 314 und den Bondpads 304 (nicht dargestellt) entstehen.
  • Das Halbleiter-Package 500 umfasst die Packages 200 und 300, die auf das Substrat 400 montiert sind. Das Substrat 400 kann auch als Package-Substrat 400 bezeichnet werden. Das Package 200 wird unter Verwendung der leitenden Verbinder 166 auf das Package-Substrat 400 montiert.
  • Das Package-Substrat 400 kann aus einem Halbleitermaterial, wie etwa Silicium, Germanium, Diamant oder dergleichen, bestehen. Alternativ können auch zusammengesetzte Materialien verwendet werden, wie etwa Siliciumgermanium, Siliciumcarbid, Galliumarsen, Indiumarsenid, Indiumphosphid, Siliciumgermaniumcarbid, Galliumarsenphosphid, Galliumindiumphosphid, Kombinationen davon und dergleichen. Außerdem kann das Package-Substrat 400 ein SOI-Substrat sein. In der Regel weist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial auf, wie etwa epitaxiales Silicium, Germanium, Siliciumgermanium, SOI, SGOI oder Kombinationen davon. Das Package-Substrat 400 basiert bei einer alternativen Ausführungsform auf einem isolierenden Kern, wie etwa einem Kern aus glasfaserverstärktem Harz. Ein beispielhaftes Kernmaterial ist Glasfaser-Harz, wie etwa FR4. Alternativen für das Kernmaterial sind Bismaleimid-Triazin(BT)-Harz oder alternativ andere Leiterplatten-Materialien oder -Schichten. Für das Package-Substrat 400 können auch Aufbauschichten, wie etwa ABF, oder andere Schichtstoffe verwendet werden.
  • Das Package-Substrat 400 kann aktive und passive Bauelemente (in 24 nicht dargestellt) aufweisen. Wie ein Durchschnittsfachmann erkennen dürfte, können viele verschiedene Bauelemente, wie etwa Transistoren, Kondensatoren, Widerstände, Kombinationen davon und dergleichen, zum Erfüllen der baulichen und funktionellen Anforderungen an den Entwurf des Halbleiter-Package 500 verwendet werden. Die Bauelemente können mit geeigneten Verfahren hergestellt werden.
  • Das Package-Substrat 400 kann außerdem Metallisierungsschichten (nicht dargestellt) und Bondpads 402 über den Metallisierungsschichten und Durchkontaktierungen aufweisen. Die Metallisierungsschichten können über den aktiven und passiven Bauelementen hergestellt werden und sind so konfiguriert, dass sie die verschiedenen Bauelemente zu funktionellen Schaltungen verbinden. Die Metallisierungsschichten können aus abwechselnden Schichten aus einem dielektrischen Material (z. B. einem dielektrischen Low-k-Material) und einem leitenden Material (z. B. Kupfer) mit Durchkontaktierungen, die die Schichten aus leitendem Material miteinander verbinden, mit einem geeigneten Verfahren (wie etwa Abscheidung, Single-Damascene-Prozess, Dual-Damascene-Prozess oder dergleichen) hergestellt werden. Bei einigen Ausführungsformen ist das Package-Substrat 400 im Wesentlichen frei von aktiven und passiven Bauelementen.
  • Bei einigen Ausführungsformen können die leitenden Verbinder 166 aufgeschmolzen werden, um das erste Package 200 an den Bondpads 402 zu befestigen. Die leitenden Verbinder 166 verbinden das Substrat 400, einschließlich der Metallisierungsschichten in dem Substrat 400, elektrisch und/oder physisch mit dem ersten Package 200.
  • Die leitenden Verbinder 166 können ein Epoxid-Flussmittel (nicht dargestellt) haben, das aufgebracht wird, bevor sie wieder aufgeschmolzen werden, wobei zumindest ein Teil des Epoxid-Anteils des Epoxid-Flussmittels zurückbleibt, nachdem das Package 200 an dem Substrat 400 befestigt worden ist. Dieser zurückbleibende Epoxid-Anteil kann als eine Unterfüllung zum Verringern der mechanischen Spannung und zum Schützen der Verbindungsstellen fungieren, die durch die Aufschmelzung der leitenden Verbinder 166 entstehen. Bei einigen Ausführungsformen kann eine Unterfüllung (nicht dargestellt) zwischen dem ersten Package 200 und dem Substrat 400 und um die leitenden Verbinder 166 herum hergestellt werden. Die Unterfüllung kann mit dem Kapillarfluss-Verfahren hergestellt werden, nachdem das Package 200 angebracht worden ist, oder sie kann mit einem geeigneten Abscheidungsverfahren hergestellt werden, bevor das Package 200 angebracht wird.
  • Die Ausführungsformen der Bausteine und Verfahren in der vorliegenden Erfindung haben zahlreiche Vorzüge. Insbesondere ermöglicht eine RDL-Führungskonfiguration eine höhere Zuverlässigkeitsrobustheit an einer Halbleiter-Verkapselungsmaterial-Grenze [z. B. einer Silicium-Formmasse(Si/MC)-Grenze]. Zum Beispiel führt das Erwärmen eines Package in Wafer-Form von Raumtemperatur auf 220 °C zu hohen Biegespannungen auf der RDL an der Halbleiter-Verkapselungsmaterial-Grenze, da sich die Krümmung wegen des Unterschieds der Wärmeausdehnungskoeffizienten (CTE) drastisch ändert. Die mechanische Spannung beim Übergang von dem Fan-in- zu dem Fan-out-Bereich kann zu einer Rissbildung in der RDL und an den Die-Ecken und -Seiten führen. Daher kann bei einigen Ausführungsformen der RDL-Führungsentwurf (siehe 16, 17 und 18) für die Zuverlässigkeitsrobustheit verwendet werden.
  • Eine Ausführungsform umfasst eine Struktur mit einem ersten integrierten Schaltkreis-Die; einem Verkapselungsmaterial um den ersten integrierten Schaltkreis-Die; und einer leitenden Verbindung, die eine erste leitende Durchkontaktierung mit einer zweiten leitenden Durchkontaktierung elektrisch verbindet, wobei die leitende Verbindung ein erstes Segment mit einer ersten Breite über dem ersten integrierten Schaltkreis-Die und ein zweites Segment mit einer zweiten Breite, die größer als die erste Breite ist, über dem ersten integrierten Schaltkreis-Die aufweist, wobei das zweite Segment über eine erste Grenze zwischen dem ersten integrierten Schaltkreis-Die und dem Verkapselungsmaterial verläuft.
  • Eine weitere Ausführungsform umfasst ein Verfahren mit den folgenden Schritten: Verkapseln eines ersten integrierten Schaltkreis-Dies in einem Verkapselungsmaterial; und Herstellen von Umverteilungsschichten (RDLs) über dem ersten integrierten Schaltkreis-Die und dem Verkapselungsmaterial, wobei die RDLs eine erste leitende Durchkontaktierung über dem ersten integrierten Schaltkreis-Die und eine leitende Verbindung aufweisen, die die erste leitende Durchkontaktierung elektrisch mit einer zweiten leitenden Durchkontaktierung verbindet, wobei die leitende Verbindung ein erstes Segment mit einer ersten Breite über dem ersten integrierten Schaltkreis-Die und ein zweites Segment aufweist, das über eine Grenze zwischen dem ersten integrierten Schaltkreis-Die und dem Verkapselungsmaterial verläuft, wobei das zweite Segment eine zweite Breite hat, die größer als die erste Breite ist.
  • Eine weitere Ausführungsform betrifft ein Verfahren, das das Herstellen eines ersten Package mit den folgenden Schritten umfasst: Herstellen eines elektrischen Verbinders über einem Trägersubstrat; Befestigen eines ersten Die und eines zweiten Die an dem Trägersubstrat, wobei sich der elektrische Verbinder von einer Rückseite des ersten Dies zu einer aktiven Seite des ersten Dies erstreckt, die aktive Seite der Rückseite entgegengesetzt ist und der elektrische Verbinder dem ersten Die und den zweiten Die benachbart ist; Verkapseln des ersten Die und des elektrischen Verbinders mit einer Formmasse; und Herstellen einer Umverteilungsstruktur über aktiven Seiten des ersten Dies und des zweiten Dies und der Formmasse, wobei das Herstellen der Umverteilungsstruktur Folgendes umfasst: Herstellen einer ersten leitenden Durchkontaktierung über der aktiven Seite des ersten Dies; Herstellen einer zweiten leitenden Durchkontaktierung über der aktiven Seite des zweiten Dies; und Herstellen einer leitenden Verbindung, die die erste leitende Durchkontaktierung elektrisch mit der zweiten leitenden Durchkontaktierung verbindet, wobei die leitende Verbindung ein erstes Segment, ein zweites Segment und ein drittes Segment aufweist, wobei sich das erste Segment über dem ersten Die befindet und eine erste Breite hat, das zweite Segment über eine erste Grenze zwischen dem ersten Die und der Formmasse und über eine zweite Grenze zwischen dem zweiten Die und der Formmasse verläuft, wobei das zweite Segment eine zweite Breite hat, die größer als die erste Breite ist, und sich das dritte Segment über dem zweiten Die befindet und eine dritte Breite hat, die kleiner als die zweite Breite ist.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Package mit: einem ersten integrierten Schaltkreis-Die; einem Verkapselungsmaterial um den ersten integrierten Schaltkreis-Die; und einer leitenden Verbindung, die eine erste leitende Durchkontaktierung mit einer zweiten leitenden Durchkontaktierung elektrisch verbindet, wobei die leitende Verbindung Folgendes aufweist: ein erstes Segment mit einer ersten Breite über dem ersten integrierten Schaltkreis-Die; und ein zweites Segment mit einer zweiten Breite, die größer als die erste Breite ist, über dem ersten integrierten Schaltkreis-Die, wobei das zweite Segment über eine erste Grenze zwischen dem ersten integrierten Schaltkreis-Die und dem Verkapselungsmaterial verläuft.
  2. Package nach Anspruch 1, wobei die leitende Verbindung weiterhin ein drittes Segment aufweist, das eine dritte Breite hat, die kleiner als die zweite Breite ist, wobei das zweite Segment zwischen dem ersten Segment und dem dritten Segment angeordnet ist.
  3. Package nach Anspruch 1 oder 2, wobei das zweite Segment eine erste Biegung aufweist, die einen ersten Winkel hat.
  4. Package nach Anspruch 3, wobei der erste Winkel größer als etwa 90° ist.
  5. Package nach Anspruch 3, wobei der erste Winkel kleiner als etwa 90° ist.
  6. Package nach Anspruch 3, wobei der erste Winkel etwa 90° beträgt.
  7. Package nach einem der vorhergehenden Ansprüche, wobei die zweite leitende Durchkontaktierung in das Verkapselungsmaterial hinein reicht oder über dem Verkapselungsmaterial angeordnet ist.
  8. Package nach einem der vorhergehenden Ansprüche, das weiterhin einen zweiten integrierten Schaltkreis-Die, der dem ersten integrierten Schaltkreis-Die benachbart ist, aufweist, wobei das Verkapselungsmaterial zwischen dem ersten integrierten Schaltkreis-Die und dem zweiten integrierten Schaltkreis-Die angeordnet ist und die zweite leitende Durchkontaktierung über dem zweiten integrierten Schaltkreis-Die angeordnet ist.
  9. Verfahren mit den folgenden Schritten: Verkapseln eines ersten integrierten Schaltkreis-Dies in einem Verkapselungsmaterial; und Herstellen von Umverteilungsschichten (RDLs) über dem ersten integrierten Schaltkreis-Die und dem Verkapselungsmaterial, wobei die RDLs Folgendes aufweisen: eine erste leitende Durchkontaktierung über dem ersten integrierten Schaltkreis-Die; und eine leitende Verbindung, die die erste leitende Durchkontaktierung elektrisch mit einer zweiten leitenden Durchkontaktierung verbindet, wobei die leitende Verbindung Folgendes aufweist: ein erstes Segment mit einer ersten Breite über dem ersten integrierten Schaltkreis-Die; und ein zweites Segment, das über eine Grenze zwischen dem ersten integrierten Schaltkreis-Die und dem Verkapselungsmaterial verläuft, wobei das zweite Segment eine zweite Breite hat, die größer als die erste Breite ist.
  10. Verfahren nach Anspruch 9, wobei die zweite leitende Durchkontaktierung durch das Verkapselungsmaterial verläuft.
  11. Verfahren nach Anspruch 9, wobei die zweite leitende Durchkontaktierung über dem Verkapselungsmaterial angeordnet ist.
  12. Verfahren nach Anspruch 9, wobei die zweite leitende Durchkontaktierung über einem zweiten integrierten Schaltkreis-Die angeordnet ist.
  13. Verfahren nach einem der Ansprüche 9 bis 12, wobei das zweite Segment eine erste Biegung aufweist, die einen ersten Winkel hat.
  14. Verfahren nach Anspruch 13, wobei der erste Winkel größer als etwa 90° ist.
  15. Verfahren nach Anspruch 13, wobei der erste Winkel kleiner als etwa 90° ist.
  16. Verfahren nach Anspruch 13, wobei der erste Winkel etwa 90° beträgt.
  17. Verfahren, das das Herstellen eines ersten Package mit den folgenden Schritten umfasst: Herstellen eines elektrischen Verbinders über einem Trägersubstrat; Befestigen eines ersten Die und eines zweiten Die an dem Trägersubstrat, wobei sich der elektrische Verbinder von einer Rückseite des ersten Dies zu einer aktiven Seite des ersten Dies erstreckt, die aktive Seite der Rückseite entgegengesetzt ist und der elektrische Verbinder dem ersten Die und den zweiten Die benachbart ist; Verkapseln des ersten Dies, des zweiten Dies und des elektrischen Verbinders mit einer Formmasse; und Herstellen einer Umverteilungsstruktur über aktiven Seiten des ersten Dies und des zweiten Dies und der Formmasse, wobei das Herstellen der Umverteilungsstruktur Folgendes umfasst: Herstellen einer ersten leitenden Durchkontaktierung über der aktiven Seite des ersten Dies; Herstellen einer zweiten leitenden Durchkontaktierung über der aktiven Seite des zweiten Dies; und Herstellen einer leitenden Verbindung, die die erste leitende Durchkontaktierung elektrisch mit der zweiten leitenden Durchkontaktierung verbindet, wobei die leitende Verbindung ein erstes Segment, ein zweites Segment und ein drittes Segment aufweist, wobei sich das erste Segment über dem ersten Die befindet und eine erste Breite hat, das zweite Segment über eine erste Grenze zwischen dem ersten Die und der Formmasse und über eine zweite Grenze zwischen dem zweiten Die und der Formmasse verläuft, wobei das zweite Segment eine zweite Breite hat, die größer als die erste Breite ist, und sich das dritte Segment über dem zweiten Die befindet und eine dritte Breite hat, die kleiner als die zweite Breite ist.
  18. Verfahren nach Anspruch 17, wobei das zweite Segment mindestens eine Biegung mit einem ersten Winkel aufweist.
  19. Verfahren nach Anspruch 17 oder 18, wobei das Herstellen des ersten Package weiterhin Folgendes umfasst: Herstellen einer ersten Gruppe von leitenden Verbindern über und in elektrischer Verbindung mit der Umverteilungsstruktur; und Entfernen des Trägersubstrats.
  20. Verfahren nach Anspruch 17, 18 oder 19, das weiterhin Folgendes umfasst: Bonden eines zweiten Package an das erste Package unter Verwendung einer zweiten Gruppe von leitenden Verbindern, wobei das zweite Package dicht an den Rückseiten des ersten Die und des zweiten Die angeordnet ist.
DE102017102534.0A 2016-10-31 2017-02-09 Umverteilungsschichten in Halbleiter-Packages und Verfahren zu deren Herstellung Active DE102017102534B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662415210P 2016-10-31 2016-10-31
US62/415,210 2016-10-31
US15/396,208 US10304801B2 (en) 2016-10-31 2016-12-30 Redistribution layers in semiconductor packages and methods of forming same
US15/396,208 2016-12-30

Publications (2)

Publication Number Publication Date
DE102017102534A1 true DE102017102534A1 (de) 2018-05-03
DE102017102534B4 DE102017102534B4 (de) 2022-01-13

Family

ID=61912076

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017102534.0A Active DE102017102534B4 (de) 2016-10-31 2017-02-09 Umverteilungsschichten in Halbleiter-Packages und Verfahren zu deren Herstellung

Country Status (1)

Country Link
DE (1) DE102017102534B4 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018127314A1 (de) * 2018-10-29 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes Schaltkreis-Package und Verfahren
DE102019118612B4 (de) 2018-09-28 2024-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Lithographieprozess für halbleiterpackaging und daraus entstehende strukturen

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140124941A1 (en) * 2011-10-21 2014-05-08 Panasonic Corporation Semiconductor device
US20150279776A1 (en) * 2014-03-31 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Structure in Wafer Level Package

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140124941A1 (en) * 2011-10-21 2014-05-08 Panasonic Corporation Semiconductor device
US20150279776A1 (en) * 2014-03-31 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Structure in Wafer Level Package

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019118612B4 (de) 2018-09-28 2024-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Lithographieprozess für halbleiterpackaging und daraus entstehende strukturen
DE102018127314A1 (de) * 2018-10-29 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes Schaltkreis-Package und Verfahren
US10665520B2 (en) * 2018-10-29 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11217497B2 (en) 2018-10-29 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
DE102018127314B4 (de) 2018-10-29 2022-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes Schaltkreis-Package und Verfahren
US11658085B2 (en) 2018-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11984375B2 (en) 2018-10-29 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method

Also Published As

Publication number Publication date
DE102017102534B4 (de) 2022-01-13

Similar Documents

Publication Publication Date Title
DE102017117810B4 (de) Halbleiterpackage, sowie vorrichtung mit umverteilungsschichten und verfahrenzu dessen herstellung
DE102018108051B4 (de) Integrierte Fan-Out-Packages und Verfahren zu deren Herstellung
DE102017117815B4 (de) Struktur eines Halbleitergehäuses und Herstellungsverfahren
DE102020101431B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102015105855B4 (de) Halbleitergehäuse und Verfahren zu ihrer Ausbildung
DE102019117762B4 (de) Integriertes schaltungspackage und verfahren
DE102019103729B4 (de) Halbleiter-package und verfahren
DE102015105952B4 (de) Halbleitereinrichtung und Verfahren
DE102018116743A1 (de) Halbleiter-Bauelement und Verfahren
DE102018130035B4 (de) Package und verfahren
DE102019121201A1 (de) Integrierte fan-out-packages und verfahren zum bilden derselben
DE102018121879B4 (de) Verfahren zur Herstellung eines Halbleiter-Package
DE102018102086A1 (de) Halbleiter-packages und verfahren zu deren herstellung
DE102020124229A1 (de) Halbleitervorrichtung und verfahren
DE102018127314B4 (de) Integriertes Schaltkreis-Package und Verfahren
DE102017123326B4 (de) Halbleiter-Packages und Verfahren zu deren Herstellung
DE102017118183B4 (de) Halbleiter-Packages mit Dummy-Verbindern und Verfahren zu deren Herstellung
DE102021119243A1 (de) Geformte dies in halbleiterpackages und deren herstellungsverfahren
DE102017102534B4 (de) Umverteilungsschichten in Halbleiter-Packages und Verfahren zu deren Herstellung
DE102018105052B4 (de) Halbleiter-Package und Verfahren
DE102020108481A1 (de) Halbleiter-Die-Package und Herstellungsverfahren
DE102018108409B4 (de) Integrierte schaltkreis-packages und verfahren zu deren herstellung
DE102023100773A1 (de) Integriertes schaltungs-package und verfahren
DE102021108156A1 (de) Halbleiter-package und verfahren zur bildung derselben
DE102018105166B4 (de) Zwei vorrichtungen zu einem halbleiter-package und verfahren zur herstellung eines halbleiter-package

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final