DE102016101128A1 - Verfahren zum Herstellen von Masken - Google Patents

Verfahren zum Herstellen von Masken Download PDF

Info

Publication number
DE102016101128A1
DE102016101128A1 DE102016101128.2A DE102016101128A DE102016101128A1 DE 102016101128 A1 DE102016101128 A1 DE 102016101128A1 DE 102016101128 A DE102016101128 A DE 102016101128A DE 102016101128 A1 DE102016101128 A1 DE 102016101128A1
Authority
DE
Germany
Prior art keywords
layout
conductive
cell
pattern
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102016101128.2A
Other languages
English (en)
Inventor
Ta-Pen Guo
Yi-Hsun CHIU
Yi-Hsiung Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016101128A1 publication Critical patent/DE102016101128A1/de
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Software Systems (AREA)

Abstract

Ein Verfahren zum Herstellen einer Gruppe von Masken zur Herstellung eines integrierten Schaltkreises umfasst das Ermitteln des Vorhandenseins einer ersten Durchkontaktierungs-Layoutstruktur und einer Speisespannungsleitungs-Layoutstruktur in einem Original-Layoutentwurf. Die erste Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur überlappen einander. Die erste Durchkontaktierungs-Layoutstruktur ist Teil eines ersten Zellen-Layouts des Original-Layoutentwurfs. Das erste Zellen-Layout und ein zweites Zellen-Layout des Original-Layoutentwurfs haben die Speisespannungsleitungs-Layoutstruktur gemeinsam. Das Verfahren umfasst weiterhin das Modifizieren des Original-Layoutentwurfs zu einem modifizierten Layoutentwurf und das Herstellen der Gruppe von Masken auf Grund des modifizierten Layoutentwurfs. In dem Fall, dass die erste Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitung in dem Original-Layoutentwurf vorhanden sind, umfasst das Modifizieren des Original-Layoutentwurfs das Ersetzen der ersten Durchkontaktierungs-Layoutstruktur durch eine vergrößerte Durchkontaktierungs-Layoutstruktur.

Description

  • Hintergrund der Erfindung
  • Ein integrierter Schaltkreis (IC) wird nach einem Layoutentwurf gefertigt, der zum Herstellen einer Vielzahl von Masken zum selektiven Ausbilden oder Entfernen von verschiedenen Schichten mit Strukturen verwendet werden kann, wie etwa aktiven Bereichen, Gate-Elektroden, verschiedenen Schichten mit Isolationsstrukturen und/oder verschiedenen Schichten mit leitenden Strukturen. Zum Erhöhen der räumlichen Auflösung verschiedener Merkmals-Schichten stehen zahlreiche Fertigungsverfahren zur Verfügung, mit denen eine feinere räumliche Auflösung von Layout-Strukturen in einem entsprechenden Layout erzielt werden kann. Viele der Fertigungsverfahren zum Erhöhen der räumlichen Auflösung von Strukturen werden jedoch auf Kosten einer höheren Komplexität und einer höheren Anzahl von Ressourcen durchgeführt, wie etwa zusätzliche Masken, zusätzliche Belichtungsprozesse und/oder zusätzliche Ätzprozesse.
  • Kurze Beschreibung der Zeichnungen
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Klarheit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
  • 1 ist ein Teil einer Anordnungszeichnung eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
  • Die 2A, 3A, 4A, 5A und 6A sind Anordnungszeichnungen verschiedener beispielhafter Szenarios in einem Original-Layoutentwurf gemäß einigen Ausführungsformen.
  • Die 2B, 3B, 4B, 5B und 6B sind Schnittansichten von Teilen eines integrierten Schaltkreises, der auf Grund des Original-Layoutentwurfs hergestellt wird, wobei die Schnittansichten jeweils den verschiedenen Szenarios in den 2A, 3A, 4A, 5A und 6A entsprechen, gemäß einigen Ausführungsformen.
  • Die 2C, 3C, 4C, 5C und 6C sind Anordnungszeichnungen eines modifizierten Layoutentwurfs, die auf verschiedenen Szenarios in den 2A, 3A, 4A, 5A und 6A basieren, gemäß einigen Ausführungsformen.
  • Die 2D, 3D, 4D, 5D und 6D sind Schnittansichten von Teilen eines integrierten Schaltkreises, der auf Grund des modifizierten Layoutentwurfs hergestellt wird, wobei die Schnittansichten jeweils den verschiedenen Szenarios in den 2C, 3C, 4C, 5C und 6C entsprechen, gemäß einigen Ausführungsformen.
  • Die 7A und 7C sind Schnittansichten von zwei Beispielen von Durchkontaktierungssteckern, die verschiedene Größen haben, gemäß einigen Ausführungsformen.
  • Die 7B und 7D sind Draufsichten der beispielhaften Durchkontaktierungsstecker in den 7A und 7C, gemäß einigen Ausführungsformen.
  • 8 ist ein Ablaufdiagramm für ein Verfahren zum Herstellen einer Gruppe von Masken zur Herstellung eines integrierten Schaltkreises, gemäß einigen Ausführungsformen.
  • 9 ist ein Blockschaltbild eines Systems zum Modifizieren eines Layoutentwurfs, der zum Herstellen einer Gruppe von Masken verwendet werden kann, gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter liegend”, „unter”, „untere(r)”/„unteres”, „darüber liegend”, „obere(r)”/„oberes” und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung verschiedene Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Das Bauelement kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung wird ein Original-Layoutentwurf überprüft und so modifiziert, dass die räumliche Auflösung einiger der Layoutstrukturen des Original-Layoutentwurfs verringert wird. Bei einigen Ausführungsformen wird der Original-Layoutentwurf überprüft, um das Vorhandensein eines oder mehrerer festgelegter Layoutszenarios zu ermitteln. In Reaktion auf das Vorhandensein eines oder mehrerer der festgelegten Layoutszenarios werden eine oder mehrere entsprechende Durchkontaktierungs-Layoutstrukturen durch vergrößerte Durchkontaktierungs-Layoutstrukturen ersetzt. Dadurch wird der elektrische Widerstand des resultierenden Durchkontaktierungssteckers verringert. Bei einigen Ausführungsformen wird die Anzahl von Masken gesenkt, die zum Herstellen des entsprechenden Durchkontaktierungssteckers verwendet werden. Bei einigen Ausführungsformen wird im Vergleich zu dem resultierenden integrierten Schaltkreis, der auf dem Original-Layoutentwurf basiert, die Betriebsfrequenz des resultierenden integrierten Schaltkreises, der auf dem modifizierten Layoutentwurf basiert, um etwa 4–5% verbessert, und der Fertigungsprozess zum Herstellen der Durchkontaktierungsstecker-Schicht wird von einem 7P7E-Prozess (7-mal Strukturieren, 7-mal Ätzen) zu einem 5P5E-Prozess vereinfacht.
  • 1 ist ein Teil 100 einer Anordnungszeichnung eines Layoutentwurfs, der zum Herstellen eines integrierten Schaltkreises verwendet werden kann, gemäß einigen Ausführungsformen. Wenn der integrierte Schaltkreis entworfen wird, werden bei einigen Ausführungsformen Standardzellen verwendet, die festgelegte Funktionen haben. Der Teil 100 des Layoutentwurfs umfasst die Layoutstrukturen, die verschiedenen Standardzellen entsprechen, die Bereiche einnehmen, die von entsprechenden Zellengrenzen begrenzt werden, die als verschiedene fette Linien 110 dargestellt sind. Die Layoutstrukturen, die verschiedenen Standardzellen entsprechen, werden in der vorliegenden Erfindung als Standardzellen-Layouts 112, 114, 121, 122, 123, 124, 125, 126 und 127 bezeichnet. Jedes der Zellen-Layouts 112, 114, 121, 122, 123, 124, 125, 126 und 127 umfasst eine Vielzahl von Layoutstrukturen, die der Herstellung von Transistoren und Verbindungsstrukturen über den entsprechenden Transistoren entsprechen. Bei einigen Ausführungsformen umfassen die Verbindungsstrukturen verschiedene Durchkontaktierungsstecker und leitende Leitungen. Darüber hinaus umfasst der Teil 100 des Layoutentwurfs Layoutstrukturen, die anderen Standardzellen (nicht dargestellt) entsprechen, die an die Standardzellen-Layouts 112, 114, 121, 122, 123, 124, 125, 126 und 127 angrenzen. In 1 sind einige Einzelheiten der Standardzellen-Layouts und einige Layoutstrukturen, die verschiedenen leitenden Leitungen entsprechen, weggelassen.
  • Der integrierte Schaltkreis, der auf Grund des in 1 gezeigten Layoutentwurfs hergestellt wird, umfasst Transistoren, die Kanalstrukturen haben, die entlang einer Richtung, die senkrecht zu einer Oberseite eines Substrats und verschiedenen leitenden Leitungen ist, verlaufen und die entsprechenden Gate-Strukturen umgeben. Diese Art von Transistoren ist gelegentlich als vertikaler Oxiddiffusionstransistor (OD-Transistor) bekannt. Vertikale OD-Transistoren werden in der vorliegenden Erfindung als Beispiele verwendet. Verschiedene Layoutszenarios und die entsprechenden Modifikationsschemata für die Durchkontaktierungs-Layoutstruktur, die in der vorliegenden Erfindung erläutert werden, können auch für Layoutentwürfe zum Herstellen anderer Arten von Transistoren verwendet werden, unter anderem zum Beispiel planare OD-Transistoren oder verschiedene Arten von FinFET-Bauelementen.
  • Bei einigen Ausführungsformen sind eine oder mehrere der Standardzellen logische Gate-Zellen. Bei einigen Ausführungsformen umfassen die logischen Gate-Zellen UND-, ODER-, NICHT-UND-, NICHT-ODER-, Antivalenz-, Invert- (INV), UND-ODER-Invert(AOI), ODER-UND-Invert- (OAI), Multiplexer- (MUX), Flip-Flop-, Puffer- (BUFF), Latch-, Verzögerungs-, Taktzellen oder andere Arten von logischen Gate-Zellen.
  • Der Teil 100 des Layoutentwurfs umfasst weiterhin Speisespannungsleitungs-Layoutstrukturen 132, 134 und 136, die bei einigen Ausführungsformen entlang Zellengrenzen (nicht bezeichnet) verlaufen und verschiedene Teile in entsprechenden Zellen-Layouts haben, die gemeinsame Zellengrenzen haben. In dieser Erfindung sind die Speisespannungsleitungs-Layoutstrukturen 132, 134 und 136 als Layoutstrukturen beschrieben, die entsprechende angrenzende Zellen-Layouts gemeinsam haben. Jedes der Zellen-Layouts 112, 114, 121, 122, 123, 124, 125, 126 und 127 umfasst eine Vielzahl von Durchkontaktierungs-Layoutstrukturen VD, die entsprechende Speisespannungsleitungs-Layoutstrukturen 132, 134 und 136 überlappen. In dem resultierenden integrierten Schaltkreis entsprechen die Durchkontaktierungs-Layoutstrukturen VD der Herstellung von Durchkontaktierungssteckern in einer oder mehreren verschiedenen Durchkontaktierungsstecker-Schichten des integrierten Schaltkreises. Darüber hinaus entsprechen die Speisespannungsleitungs-Layoutstrukturen 132, 134 und 136 leitenden Leitungen in einer leitenden Schicht des integrierten Schaltkreises über der einen oder den mehreren verschiedenen Durchkontaktierungsstecker-Schichten. Bei einigen Ausführungsformen befindet sich die leitende Schicht direkt über der einen oder den mehreren verschiedenen Durchkontaktierungsstecker-Schichten. Bei einem resultierenden integrierten Schaltkreis sind leitende Leitungen, die den Speisespannungsleitungs-Layoutstrukturen 132, 134 und 136 entsprechen, so konfiguriert, dass sie eine oder mehrere Betriebsspannungen und/oder Bezugspotenzialspannungen führen. An sich empfangen Standardzellen, die den Zellen-Layouts 112, 114, 121, 122, 123, 124, 125, 126 und 127 entsprechen, die eine oder mehreren Betriebsspannungen oder die Bezugspotenzialspannung von den leitenden Leitungen, die den Speisespannungsleitungs-Layoutstrukturen 132, 134 oder 136 entsprechen, über verschiedene Durchkontaktierungsstecker, die den Durchkontaktierungs-Layoutstrukturen VD entsprechen.
  • Bei einigen Ausführungsformen werden die Layouts 112, 114, 121, 122, 123, 124, 125, 126 und 127 entworfen und in einer Zellenbibliothek gespeichert, bevor die Zellen-Layouts geladen und so platziert werden, dass ein Layoutentwurf entsteht. Daher werden die Layoutstrukturen der Zellen-Layouts 112, 114, 121, 122, 123, 124, 125, 126 und 127 meistens so angeordnet, dass sie vielen verschiedenen möglichen Platzierungs- oder Angrenzungs-Anordnungen Rechnung tragen. Dennoch werden, nachdem die Zellen-Layouts 112, 114, 121, 122, 123, 124, 125, 126 und 127 in einem Layoutentwurf platziert worden sind, einige Layoutstrukturen, die an die Zellengrenzen angrenzen, weiter modifiziert, um die nachfolgenden Fertigungsprozesse durch Verringern der räumlichen Auflösung verschiedener Layoutstrukturen zu vereinfachen. Fünf beispielhafte Layoutszenarios, bei denen weitere Layoutstruktur-Modifikationen gerechtfertigt sind, sind in 1 als Bereiche 141, 142, 143, 144 und 145 bezeichnet und werden in Verbindung mit den 2A6D weiter erläutert. Weitere Modifikationen liegen innerhalb des vorgesehenen Schutzumfangs der vorliegenden Erfindung.
  • 8 ist ein Ablaufdiagramm für ein Verfahren 800 zum Herstellen einer Gruppe von Masken zur Herstellung eines integrierten Schaltkreises, gemäß einigen Ausführungsformen. Bei einigen Ausführungsformen wird die Gruppe von Masken, die in dem Verfahren 800 beschrieben wird, auf Grund eines modifizierten Layoutentwurfs hergestellt, der in Verbindung mit den 2A6D erläutert wird. Weitere Verfahren zum Herstellen der Gruppe von Masken auf Grund des modifizierten Layoutentwurfs, der in Verbindung mit den 2A6D erläutert wird, und/oder weitere modifizierte Layoutentwürfe liegen innerhalb des vorgesehenen Schutzumfangs der vorliegenden Erfindung.
  • 2A ist eine vergrößerte Anordnungszeichnung eines Teils 200A eines Original-Layoutentwurfs, der dem Bereich 141 von 1 entspricht und um 90 Grad im Uhrzeigersinn gedreht ist, gemäß einigen Ausführungsformen. Die Komponenten in 2A, die gleich oder ähnlich denen von 1 sind, sind mit den gleichen Bezugssymbolen bezeichnet und werden daher nicht näher beschrieben.
  • Der Teil 200A zeigt Teile der Zellen-Layouts 112, 121 und 122, eine Zellengrenze 202, die die Zellen-Layouts 112 und 121 und die Zellen-Layouts 112 und 122 teilt, und eine Zellengrenze 204, die die Zellen-Layouts 121 und 122 teilt. Die Speisespannungsleitungs-Layoutstruktur 134 verläuft entlang der Zellengrenze 202 und hat verschiedene Teile in den Zellen-Layouts 112, 121 und 122 (d. h. sie haben die Zellen-Layouts 112 und 121 und die Zellen-Layouts 112 und 122 gemeinsam).
  • Das Zellen-Layout 121 umfasst leitende Layoutstrukturen 206 und 208 und Durchkontaktierungs-Layoutstrukturen 212 und 214. Die leitende Layoutstruktur 206 überlappt die Durchkontaktierungs-Layoutstruktur 212, und die leitende Layoutstruktur 208 überlappt die Durchkontaktierungs-Layoutstruktur 214. Die leitenden Layoutstrukturen 206 und 208 und die Speisespannungsleitungs-Layoutstruktur 134 entsprechen der Herstellung von leitenden Strukturen in einer ersten leitenden Schicht des integrierten Schaltkreises. Die Durchkontaktierungs-Layoutstruktur 212 entspricht der Herstellung eines Durchkontaktierungssteckers in einer ersten Durchkontaktierungsstecker-Schicht. Bei einigen Ausführungsformen umfasst die erste Durchkontaktierungsstecker-Schicht Durchkontaktierungsstecker, die zum Verbinden einer Drain-Kontaktstellen-Schicht mit der ersten leitenden Schicht des integrierten Schaltkreises verwendet werden kann. Eine andere Durchkontaktierungsstecker-Schicht, die andere Schichten des integrierten Schaltkreises verbindet, liegt innerhalb des vorgesehenen Schutzumfangs der vorliegenden Erfindung. Die Durchkontaktierungs-Layoutstruktur 214 entspricht der Herstellung eines Durchkontaktierungssteckers in einer zweiten Durchkontaktierungsstecker-Schicht. Bei einigen Ausführungsformen umfasst die zweite Durchkontaktierungsstecker-Schicht Durchkontaktierungsstecker, die zum Verbinden einer Metall-Null-Schicht auf Polysilicium („M0PO” oder „MP”) mit der ersten leitenden Schicht des integrierten Schaltkreises verwendet werden kann. Eine andere Durchkontaktierungsstecker-Schicht, die andere Schichten des integrierten Schaltkreises verbindet, liegt innerhalb des vorgesehenen Schutzumfangs der vorliegenden Erfindung.
  • Das Zellen-Layout 112 umfasst eine Durchkontaktierungs-Layoutstruktur 216 und ein leitendes Layout 218. Die Durchkontaktierungs-Layoutstruktur 216, das leitende Layout 218 und die Speisespannungsleitungs-Layoutstruktur 134 überlappen einander. Das leitende Layout 218 entspricht der Herstellung einer Struktur eines ersten Typs aus einer Metall-Null-Schicht auf Oxid-Definitionsbereichen („M0OD-1” oder „MD1”) des integrierten Schaltkreises. Die Durchkontaktierungs-Layoutstruktur 216 entspricht der Herstellung eines Durchkontaktierungssteckers in einer dritten Durchkontaktierungsstecker-Schicht, wobei die dritte Durchkontaktierungsstecker-Schicht Durchkontaktierungsstecker umfasst, die zum Verbinden der MD1-Strukturen mit der ersten leitenden Schicht des integrierten Schaltkreises verwendet werden können. Weitere Einzelheiten der Zellen-Layouts 112, 121 und 122 werden weggelassen. Bei einigen Ausführungsformen haben die Durchkontaktierungs-Layoutstrukturen 212, 214 und 216 die gleichen Abmessungen.
  • 2B ist eine Schnittansicht eines Teils 200B des integrierten Schaltkreises, der auf Grund des Original-Layoutentwurfs hergestellt wird, gemäß einigen Ausführungsformen. Der Teil 200B wird entlang einer Bezugslinie erstellt, die der Bezugslinie 220 von 2A entspricht. In 2B geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 2A an. Einige Einzelheiten des Teils 200B des integrierten Schaltkreises sind weggelassen.
  • Der Teil 200B weist einen Bereich 232, der dem Zellen-Layout 121 von 2A entspricht, und einen Bereich 234 auf, der dem Zellen-Layout 112 entspricht. Eine Bezugslinie 236 gibt die Position an, die der Zellengrenze 202 entspricht. Der Teil 200B hat eine erste Source-Kontaktstelle 242 in dem Bereich 232, eine zweite Source-Kontaktstelle 244 in dem Bereich 234, eine Kanalstruktur 246 über der ersten Source-Kontaktstelle 242, eine Gate-Struktur 248 über der ersten Source-Kontaktstelle 242 und um die Kanalstruktur 246 herum, eine Drain-Kontaktstelle 252 über der Kanalstruktur 246, eine MP-Struktur 254 über der Gate-Struktur 248 und eine MD1-Struktur 256 über der zweiten Source-Kontaktstelle 244.
  • Darüber hinaus hat der Teil 200B leitende Strukturen 262, 264 und 266 und Durchkontaktierungsstecker 272, 274 und 276. Die leitende Struktur 262 wird auf Grund der leitenden Layoutstruktur 206 von 2A hergestellt, die leitende Struktur 264 wird auf Grund der leitenden Layoutstruktur 208 hergestellt, und die leitende Struktur 266 ist Teil einer Speisespannungsleitung, die auf Grund der Speisespannungsleitungs-Layoutstruktur 134 hergestellt wird. Der Durchkontaktierungsstecker 272 wird auf Grund der Durchkontaktierungs-Layoutstruktur 212 hergestellt, der Durchkontaktierungsstecker 274 wird auf Grund der Durchkontaktierungs-Layoutstruktur 214 hergestellt, und der Durchkontaktierungsstecker 276 wird auf Grund der Durchkontaktierungs-Layoutstruktur 216 hergestellt. Die leitende Struktur 266 ist in Kontakt mit dem Durchkontaktierungsstecker 276 und hat keinen Kontakt mit den Durchkontaktierungssteckern 272 und 274.
  • Bei einigen Ausführungsformen wird die Größe der Durchkontaktierungs-Layoutstruktur 216 von der Position und der Größe der nächstgelegenen Durchkontaktierungs-Layoutstruktur der gleichen Layoutschicht so weit begrenzt, dass die Mindestabstands-Layout-Regel für diese Layoutschicht nicht verletzt wird. Um vielen verschiedenen möglichen Platzierungs- oder Angrenzungs-Anordnungen Rechnung zu tragen, wird die Durchkontaktierungs-Layoutstruktur 216 so weit weg von der Zellengrenze 202 platziert, dass die Einhaltung der Mindestabstands-Layout-Regel unabhängig von dem möglichen Zellen-Layout auf jeder Seite der Zellengrenze 202 gewährleistet ist. Wie in 2A und 2B gezeigt ist, sind die Zellen-Layouts 112 und 121 so angeordnet, dass eine Durchkontaktierungs-Layoutstruktur 216 auf der einen Seite der Zellengrenze 202 innerhalb des Zellen-Layouts 112 vorhanden ist, und sie sind weiterhin so angeordnet, dass keine Gegenstück-Durchkontaktierungs-Layoutstruktur, die die Speisespannungsleitungs-Layoutstruktur 134 überlappt, auf der anderen Seite den Zellengrenze 202 innerhalb des Zellen-Layouts 121 vorhanden ist. Nachdem für die Durchkontaktierungs-Layoutstruktur 216 die Platzierung der Zellen-Layouts 112 und 121 festgelegt worden ist, wird durch das Fehlen einer Gegenstück-Durchkontaktierungs-Layoutstruktur auf der anderen Seite der Zellengrenze 202 zusätzlicher Platz in dem Layoutentwurf geschaffen, der zum Vergrößern der Durchkontaktierungs-Layoutstruktur 216 ausreicht, ohne die Mindestabstands-Layout-Regel zwischen der Durchkontaktierungs-Layoutstruktur 216 und einer anderen Layoutstruktur der gleichen Layoutschicht zu verletzen. Eine vergrößerte Layoutstruktur (wie etwa eine Durchkontaktierungs-Layoutstruktur 282 in 2C) entspricht einem vergrößerten Durchkontaktierungsstecker (wie etwa einem Durchkontaktierungsstecker 286 in 2D), der eine größere Fläche einnimmt und einen geringeren elektrischen Widerstand entlang einer vertikalen Richtung Z hat.
  • 2C ist eine Anordnungszeichnung eines Teils 200A' eines modifizierten Layoutentwurfs, der auf dem in 2A dargestellten Szenario basiert, gemäß einigen Ausführungsformen. Komponenten in 2C, die denen von 2A gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Die Durchkontaktierungs-Layoutstruktur 216 wird durch eine größere Durchkontaktierungs-Layoutstruktur 282 in dem Teil 200A' als in dem Teil 200A von 2A ersetzt. Die Durchkontaktierungs-Layoutstruktur 282 entspricht der Herstellung eines Durchkontaktierungssteckers in der dritten Durchkontaktierungsstecker-Schicht.
  • 2D ist eine Schnittansicht eines Teils 200B' eines integrierten Schaltkreises, der auf Grund des modifizierten Layoutentwurfs hergestellt wird. Der Teil 200B' wird entlang einer Bezugslinie erstellt, die der Bezugslinie 220' von 2C entspricht. In 2D geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 2C an. Einige Einzelheiten des Teils 200B' des integrierten Schaltkreises sind weggelassen. Komponenten in 2D, die denen von 2B gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Im Gegensatz zu dem Teil 200B von 2B hat der Teil 200B' einen Durchkontaktierungsstecker 286 anstelle des Durchkontaktierungssteckers 276. Der Durchkontaktierungsstecker 286 wird auf Grund der vergrößerten Durchkontaktierungs-Layoutstruktur 282 von 2C hergestellt und verbindet die MD1-Struktur 256 mit der leitenden Struktur 266, die als ein Teil einer Speisespannungsleitung verwendet werden kann. Die leitende Struktur 266 hat keinen Kontakt mit den Durchkontaktierungssteckern 272 und 274. Außerdem nimmt der Durchkontaktierungsstecker 286 eine größere Fläche als der Durchkontaktierungsstecker 276 ein. Dadurch hat der Durchkontaktierungsstecker 286 einen geringeren elektrischen Widerstand entlang der vertikalen Richtung Z als der Durchkontaktierungsstecker 276.
  • 3A ist eine vergrößerte Anordnungszeichnung, die einen Teil 300A des Original-Layoutentwurfs zeigt, der dem Bereich 142 von 1 entspricht und um 90 Grad im Uhrzeigersinn gedreht ist, gemäß einigen Ausführungsformen. Die Komponenten in 3A, die gleich oder ähnlich denen von 1 sind, sind mit den gleichen Bezugssymbolen bezeichnet und werden daher nicht näher beschrieben.
  • Der Teil 300A zeigt Teile der Zellen-Layouts 112, 121 und 123, eine Zellengrenze 302, die die Zellen-Layouts 112 und 122 und die Zellen-Layouts 112 und 123 teilt, und eine Zellengrenze 304, die die Zellen-Layouts 122 und 123 teilt. Die Speisespannungsleitungs-Layoutstruktur 134 verläuft entlang der Zellengrenze 302 und hat verschiedene Teile innerhalb der Zellen-Layouts 112, 122 und 123 (d. h. sie haben die Zellen-Layouts 112 und 122 und die Zellen-Layouts 112 und 123 gemeinsam).
  • Das Zellen-Layout 122 umfasst leitende Layoutstrukturen 306 und 308, eine Durchkontaktierungs-Layoutstruktur 316 und eine leitende Layoutstruktur 318. Die Durchkontaktierungs-Layoutstruktur 316 überlappt die Speisespannungsleitungs-Layoutstruktur 134. Die leitende Layoutstruktur 318 überlappt mindestens die Durchkontaktierungs-Layoutstruktur 316 und die Speisespannungsleitungs-Layoutstruktur 134. Die leitenden Layoutstrukturen 306 und 308 und die Speisespannungsleitungs-Layoutstruktur 134 entsprechen der Herstellung der leitenden Strukturen in der ersten leitenden Schicht des integrierten Schaltkreises.
  • Die leitenden Layoutstruktur 318 entspricht der Herstellung einer Struktur eines zweiten Typs aus einer Metall-Null-Schicht auf Oxid-Definitionsbereichen („M0OD-2” oder „MD2”) des integrierten Schaltkreises. Bei einigen Ausführungsformen hat eine MD2-Struktur (wie etwa eine MD2-Struktur 354 in 3B) eine Unterseite, die in einer Ebene mit einer Oberseite einer Drain-Kontaktstelle (wie etwa einer Drain-Kontaktstelle 352 in 3B) liegt, und eine Oberseite, die in einer Ebene mit einer Oberseite einer MD1-Struktur liegt. Die Durchkontaktierungs-Layoutstruktur 316 entspricht der Herstellung eines Durchkontaktierungssteckers in einer vierten Durchkontaktierungsstecker-Schicht. Bei einigen Ausführungsformen hat die vierte Durchkontaktierungsstecker-Schicht Durchkontaktierungsstecker, die zum Verbinden der MD2-Strukturen mit der ersten leitenden Schicht des integrierten Schaltkreises verwendet werden können. Weitere Einzelheiten der Zellen-Layouts 112, 122 und 123 werden weggelassen. Weitere Schichten, die andere Schichten des integrierten Schaltkreises verbinden, liegen innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • 3B ist eine Schnittansicht eines Teils 300B des integrierten Schaltkreises, der auf Grund des Original-Layoutentwurfs hergestellt wird, gemäß einigen Ausführungsformen. Der Teil 300B wird entlang einer Bezugslinie erstellt, die der Bezugslinie 320 von 3A entspricht. In 3B geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 3A an. Einige Einzelheiten des Teils 300B des integrierten Schaltkreises sind weggelassen.
  • Der Teil 300B weist einen Bereich 332, der dem Zellen-Layout 122 von 3A entspricht, und einen Bereich 334 auf, der dem Zellen-Layout 112 entspricht. Eine Bezugslinie 336 gibt die Position an, die der Zellengrenze 302 entspricht. Der Teil 300B hat eine erste Source-Kontaktstelle 342, die der Source-Kontaktstelle 242 von 2B entspricht, eine zweite Source-Kontaktstelle 344, die der Source-Kontaktstelle 244 entspricht, eine Kanalstruktur 346, die der Kanalstruktur 246 entspricht, eine Gate-Struktur 348, die der Gate-Struktur 248 entspricht, und eine Drain-Kontaktstelle 352, die der Drain-Kontaktstelle 252 entspricht. Daher werden sie hier nicht näher beschrieben.
  • Der Teil 300B umfasst weiterhin eine MD2-Struktur 354, leitende Strukturen 362, 364 und 366 und einen Durchkontaktierungsstecker 376. Die MD2-Struktur 354 wird auf Grund der leitenden Layoutstruktur 318 von 3A hergestellt. Die leitende Struktur 362 wird auf Grund der leitenden Layoutstruktur 306 hergestellt, die leitende Struktur 364 wird auf Grund der leitenden Layoutstruktur 308 hergestellt, und die leitende Struktur 366 ist Teil der Speisespannungsleitung, die auf Grund der Speisespannungsleitungs-Layoutstruktur 134 hergestellt wird. Die MD2-Struktur 354 ist so konfiguriert, dass sie die Drain-Kontaktstelle 352 zu einer Position direkt unter der leitenden Struktur 366 elektrisch überbrückt. Der Durchkontaktierungsstecker 376 wird auf Grund der Durchkontaktierungs-Layoutstruktur 316 hergestellt. Der Durchkontaktierungsstecker 376 verbindet die MD2-Struktur 354 mit der leitenden Struktur 366.
  • Bei einigen Ausführungsformen wird die Größe der Durchkontaktierungs-Layoutstruktur 316 von der Position und der Größe der nächstgelegenen Durchkontaktierungs-Layoutstruktur der gleichen Layoutschicht so weit begrenzt, dass die Mindestabstands-Layout-Regel für diese Layoutschicht nicht verletzt wird. Um vielen verschiedenen möglichen Platzierungs- oder Angrenzungs-Anordnungen Rechnung zu tragen, wird die Durchkontaktierungs-Layoutstruktur 316 so weit weg von der Zellengrenze 302 platziert, dass die Einhaltung der Mindestabstands-Layout-Regel unabhängig von dem möglichen Zellen-Layout auf jeder Seite der Zellengrenze 302 gewährleistet ist. Wie in 3A und 3B gezeigt ist, sind die Zellen-Layouts 112 und 122 so angeordnet, dass eine Durchkontaktierungs-Layoutstruktur 316 auf der einen Seite der Zellengrenze 302 innerhalb des Zellen-Layouts 122 vorhanden ist, und sie sind weiterhin so angeordnet, dass keine Gegenstück-Durchkontaktierungs-Layoutstruktur, die die Speisespannungsleitungs-Layoutstruktur 134 überlappt, auf der anderen Seite den Zellengrenze 302 innerhalb des Zellen-Layouts 112 vorhanden ist. Nachdem für die Durchkontaktierungs-Layoutstruktur 316 die Platzierung der Zellen-Layouts 112 und 121 festgelegt worden ist, wird durch das Fehlen einer Gegenstück-Durchkontaktierungs-Layoutstruktur auf der anderen Seite der Zellengrenze 302 zusätzlicher Platz in dem Layoutentwurf geschaffen, der zum Vergrößern der Durchkontaktierungs-Layoutstruktur 316 ausreicht, ohne die Mindestabstands-Layout-Regel zwischen der Durchkontaktierungs-Layoutstruktur 316 und einer anderen Layoutstruktur der gleichen Layoutschicht zu verletzen. Eine vergrößerte Layoutstruktur (wie etwa eine Durchkontaktierungs-Layoutstruktur 382 in 3C) entspricht einem vergrößerten Durchkontaktierungsstecker (wie etwa einem Durchkontaktierungsstecker 386 in 3D), der eine größere Fläche einnimmt und einen geringeren elektrischen Widerstand entlang einer vertikalen Richtung Z hat.
  • 3C ist eine Anordnungszeichnung eines Teils 300A' eines modifizierten Layoutentwurfs, der auf dem in 3A dargestellten Szenario basiert, gemäß einigen Ausführungsformen. Komponenten in 3C, die denen von 3A gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Die Durchkontaktierungs-Layoutstruktur 316 wird durch eine größere Durchkontaktierungs-Layoutstruktur 382 in dem Teil 300A' als in dem Teil 300A von 3A ersetzt. Bei einigen Ausführungsformen entspricht die Durchkontaktierungs-Layoutstruktur 382 der Herstellung eines Durchkontaktierungssteckers in der vierten Durchkontaktierungsstecker-Schicht. Bei einigen Ausführungsformen wird die Durchkontaktierungs-Layoutstruktur 382 mit anderen Durchkontaktierungs-Layoutstrukturen zur Herstellung von Durchkontaktierungssteckern in der dritten Durchkontaktierungsstecker-Schicht zu einer Gruppe zusammengefasst.
  • 3D ist eine Schnittansicht eines Teils 300B' des integrierten Schaltkreises, der auf Grund des modifizierten Layoutentwurfs hergestellt wird. Der Teil 300B' wird entlang einer Bezugslinie erstellt, die der Bezugslinie 320' von 3C entspricht. In 3D geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 3C an. Einige Einzelheiten des Teils 300B' des integrierten Schaltkreises sind weggelassen. Komponenten in 3D, die denen von 3B gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Im Gegensatz zu dem Teil 300B von 3B hat der Teil 300B' einen Durchkontaktierungsstecker 386 anstelle des Durchkontaktierungssteckers 376. Der Durchkontaktierungsstecker 386 wird auf Grund der vergrößerten Durchkontaktierungs-Layoutstruktur 382 von 3C hergestellt und verbindet die MD2-Struktur 354 mit der leitenden Struktur 366. Außerdem nimmt der Durchkontaktierungsstecker 386 eine größere Fläche als der Durchkontaktierungsstecker 376 ein. Dadurch hat der Durchkontaktierungsstecker 386 einen geringeren elektrischen Widerstand entlang der vertikalen Richtung Z als der Durchkontaktierungsstecker 376.
  • 4A ist eine vergrößerte Anordnungszeichnung, die einen Teil 400A des Original-Layoutentwurfs zeigt, der dem Bereich 143 von 1 entspricht und um 90 Grad im Uhrzeigersinn gedreht ist, gemäß einigen Ausführungsformen. Die Komponenten in 4A, die gleich oder ähnlich denen von 1 sind, sind mit den gleichen Bezugssymbolen bezeichnet und werden daher nicht näher beschrieben.
  • Der Teil 400A zeigt Teile der Zellen-Layouts 112, 123 und 124, eine Zellengrenze 402, die die Zellen-Layouts 112 und 123 und die Zellen-Layouts 112 und 124 teilt, und eine Zellengrenze 404, die die Zellen-Layouts 123 und 124 teilt. Die Speisespannungsleitungs-Layoutstruktur 134 verläuft entlang der Zellengrenze 402 und hat verschiedene Teile innerhalb der Zellen-Layouts 112, 123 und 124 (d. h. sie haben die Zellen-Layouts 112 und 123 und die Zellen-Layouts 112 und 124 gemeinsam).
  • Das Zellen-Layout 112 umfasst eine Durchkontaktierungs-Layoutstruktur 412 und eine leitende Layoutstruktur 414. Die Durchkontaktierungs-Layoutstruktur 412, die leitende Layoutstruktur 414 und die Speisespannungsleitungs-Layoutstruktur 134 überlappen einander. Die leitende Layoutstruktur 414 entspricht der Herstellung einer MD1-Struktur des integrierten Schaltkreises. Die Durchkontaktierungs-Layoutstruktur 412 entspricht der Herstellung eines Durchkontaktierungssteckers in der dritten Durchkontaktierungsstecker-Schicht. Das Zellen-Layout 123 umfasst leitende Layoutstrukturen 406 und 408, eine Durchkontaktierungs-Layoutstruktur 416 und eine leitende Layoutstruktur 418. Die Durchkontaktierungs-Layoutstruktur 416 überlappt die Speisespannungsleitungs-Layoutstruktur 134. Die Durchkontaktierungs-Layoutstruktur 416 entspricht der Herstellung eines Durchkontaktierungssteckers in der vierten Durchkontaktierungsstecker-Schicht. Die leitende Layoutstruktur 418 überlappt mindestens die Durchkontaktierungs-Layoutstruktur 416 und die Speisespannungsleitungs-Layoutstruktur 134. Die leitenden Layoutstrukturen 406 und 408 und die Speisespannungsleitungs-Layoutstruktur 134 entsprechen der Herstellung von leitenden Strukturen in der ersten leitenden Schicht des integrierten Schaltkreises. Die Durchkontaktierungs-Layoutstrukturen 412 und 416 sind entlang einer Bezugslinie 420 senkrecht zu der Zellengrenze 402 ausgerichtet. Die leitenden Layoutstrukturen 414 und 418 sind ebenfalls entlang der Bezugslinie 420 ausgerichtet.
  • Weitere Einzelheiten zu den Zellen-Layouts 112, 123 und 124 sind weggelassen. Bei einigen Ausführungsformen haben die Durchkontaktierungs-Layoutstrukturen 412 und 416 die gleichen Abmessungen.
  • 4B ist eine Schnittansicht eines Teils 400B des integrierten Schaltkreises, der auf Grund des Original-Layoutentwurfs hergestellt wird, gemäß einigen Ausführungsformen. Der Teil 400B wird entlang einer Bezugslinie erstellt, die der Bezugslinie 420 von 4A entspricht. In 4B geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 4A an. Einige Einzelheiten des Teils 400B des integrierten Schaltkreises sind weggelassen.
  • Der Teil 400B weist einen Bereich 432, der dem Zellen-Layout 123 von 4A entspricht, und einen Bereich 434 auf, der dem Zellen-Layout 112 entspricht. Eine Bezugslinie 436 gibt die Position an, die der Zellengrenze 402 entspricht. Der Teil 400B hat eine erste Source-Kontaktstelle 442, die der Source-Kontaktstelle 242 von 2B entspricht, eine zweite Source-Kontaktstelle 444, die der Source-Kontaktstelle 244 entspricht, eine Kanalstruktur 446, die der Kanalstruktur 246 entspricht, eine Gate-Struktur 448, die der Gate-Struktur 248 entspricht, und eine Drain-Kontaktstelle 452, die der Drain-Kontaktstelle 252 entspricht. Daher werden sie hier nicht näher beschrieben.
  • Der Teil 400B umfasst weiterhin eine MD2-Struktur 454 in dem Bereich 432, eine MD1-Struktur 456 in dem Bereich 434, leitende Strukturen 462, 464 und 466 und einen Durchkontaktierungsstecker 476. Die MD2-Struktur 454 wird auf Grund der leitenden Layoutstruktur 418 von 4A hergestellt, und die MD1-Struktur 456 wird auf Grund der leitenden Layoutstruktur 414 hergestellt. Die leitende Struktur 462 wird auf Grund der leitenden Layoutstruktur 406 hergestellt, die leitende Struktur 464 wird auf Grund der leitenden Layoutstruktur 408 hergestellt, und die leitende Struktur 466 ist Teil der Speisespannungsleitung, die auf Grund der Speisespannungsleitungs-Layoutstruktur 134 hergestellt wird. Die MD1-Struktur 456 und der Durchkontaktierungsstecker 472 entsprechen der MD1-Struktur 256 und dem Durchkontaktierungsstecker 267 von 2B, und sie werden daher nicht näher beschrieben. Die MD2-Struktur 454 und der Durchkontaktierungsstecker 476 entsprechen der MD2-Struktur 354 und dem Durchkontaktierungsstecker 376 von 3B, und sie werden daher nicht näher beschrieben.
  • Um vielen verschiedenen möglichen Platzierungs- oder Angrenzungs-Anordnungen Rechnung zu tragen, werden die Layoutstrukturen 412, 414, 416 und 418 so weit weg von der Zellengrenze 402 platziert, dass die Einhaltung der Mindestabstands-Layout-Regel unabhängig von dem möglichen Zellen-Layout auf jeder Seite der Zellengrenze 402 gewährleistet ist. Wie in 4A und 4B gezeigt ist, sind die Zellen-Layouts 112 und 123 so angeordnet, dass eine Durchkontaktierungs-Layoutstruktur 412 und eine leitende Layoutstruktur 414 des Zellen-Layouts 112 auf der einen Seite der Zellengrenze 402 vorhanden sind und eine Durchkontaktierungs-Layoutstruktur 416 und eine leitende Layoutstruktur 418 des Zellen-Layouts 123 auf der anderen Seite der Zellengrenze 402 vorhanden sind. Die leitenden Strukturen 466, 472, 456, 476 und 454, die auf Grund der Layoutstrukturen 134, 412, 414, 416 und 418 hergestellt werden, sind alle elektrisch miteinander verbunden. Nachdem die Platzierung der Zellen-Layouts 112 und 123 festgelegt worden ist, werden die Layoutstrukturen 412 oder 416 so modifiziert, dass sie aneinander grenzen, oder die Layoutstrukturen 414 oder 418 werden so modifiziert, dass sie aneinander grenzen, und dabei wird der Schaltbild-Entwurf nicht geändert. Daher werden bei einigen Ausführungsformen eine oder mehrere der Layoutstrukturen 412, 414, 416 und 418 miteinander kombiniert oder ihre Größe wird geändert, um die Durchkontaktierungs-Layoutstrukturen 412 und 416 durch eine vergrößerte Durchkontaktierungs-Layoutstruktur (wie etwa eine Durchkontaktierungs-Layoutstruktur 484 in 4C) zu ersetzen. Die vergrößerte Layoutstruktur 484 entspricht einem vergrößerten Durchkontaktierungsstecker (wie etwa einem Durchkontaktierungsstecker 488 in 4D), der eine größere Fläche einnimmt als der Durchkontaktierungsstecker 472 oder der Durchkontaktierungsstecker 476.
  • 4C ist eine Anordnungszeichnung eines Teils 400A' eines modifizierten Layoutentwurfs, der auf dem in 4A dargestellten Szenario basiert, gemäß einigen Ausführungsformen. Komponenten in 4C, die denen von 4A gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Die leitende Layoutstruktur 414 wird durch eine leitende Layoutstruktur 482 in dem Teil 400A' ersetzt, die gegenüber dem Teil 400A von 4A modifiziert ist. Bei einigen Ausführungsformen wird die modifizierte leitende Layoutstruktur 482 dadurch erzeugt, dass die leitende Layoutstruktur 414 von 4A so umgeformt oder verschoben wird, dass ein Rand der modifizierten leitenden Layoutstruktur 482 zu der Zellengrenze 402 verschoben wird oder in Kontakt mit der leitenden Layoutstruktur 418 kommt. Die leitenden Layoutstrukturen 482 und 418 sind ebenfalls entlang einer Bezugslinie 420' senkrecht zu der Zellengrenze 402 ausgerichtet.
  • Darüber hinaus werden die Durchkontaktierungs-Layoutstrukturen 412 und 416 von 4A durch eine vergrößerte Durchkontaktierungs-Layoutstruktur 484 ersetzt. Die vergrößerte Durchkontaktierungs-Layoutstruktur 484 überlappt die modifizierte leitende Layoutstruktur 482, die leitende Layoutstruktur 418, die Speisespannungsleitungs-Layoutstruktur 134 und die Zellengrenze 402. Bei einigen Ausführungsformen wird die Durchkontaktierungs-Layoutstruktur 484 mit anderen Durchkontaktierungs-Layoutstrukturen zur Herstellung von Durchkontaktierungssteckern in der dritten Durchkontaktierungsstecker-Schicht zu einer Gruppe zusammengefasst.
  • 4D ist eine Schnittansicht eines Teils 400B' des integrierten Schaltkreises, der auf Grund des modifizierten Layoutentwurfs hergestellt wird. Der Teil 400B' wird entlang einer Bezugslinie erstellt, die der Bezugslinie 420' von 4C entspricht. In 4D geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 4C an. Einige Einzelheiten des Teils 400B' des integrierten Schaltkreises sind weggelassen. Komponenten in 4D, die denen von 4B gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Im Gegensatz zu dem Teil 400B von 4B hat der Teil 400B' eine MD1-Struktur 486 anstelle der MD1-Struktur 456 und einen Durchkontaktierungsstecker 488 anstelle der Durchkontaktierungsstecker 472 und 476. Die MD1-Struktur 486 wird auf Grund der leitenden Layoutstruktur 482 von 4A hergestellt und wird so angeordnet, dass sie in Kontakt mit der MD2-Struktur 454 ist. Der Durchkontaktierungsstecker 488 wird auf Grund der Durchkontaktierungs-Layoutstruktur 484 von 4C hergestellt und verbindet die MD1-Struktur 486 und die MD2-Struktur 454 mit der leitenden Struktur 466. Außerdem nimmt der Durchkontaktierungsstecker 488 eine größere Fläche als der Durchkontaktierungsstecker 472 oder der Durchkontaktierungsstecker 476 oder als eine Kombination aus den Durchkontaktierungssteckern 472 und 476 ein. Dadurch hat der Durchkontaktierungsstecker 488 einen geringeren elektrischen Widerstand entlang der vertikalen Richtung Z als der Durchkontaktierungsstecker 472 oder der Durchkontaktierungsstecker 476.
  • 5A ist eine vergrößerte Anordnungszeichnung, die einen Teil 500A des Original-Layoutentwurfs zeigt, der dem Bereich 144 von 1 entspricht und um 90 Grad im Uhrzeigersinn gedreht ist, gemäß einigen Ausführungsformen. Die Komponenten in 5A, die gleich oder ähnlich denen von 1 sind, sind mit den gleichen Bezugssymbolen bezeichnet und werden daher nicht näher beschrieben.
  • Der Teil 500A zeigt Teile der Zellen-Layouts 112, 125 und 126, eine Zellengrenze 502, die die Zellen-Layouts 112 und 125 und die Zellen-Layouts 112 und 126 teilt, und eine Zellengrenze 504, die die Zellen-Layouts 125 und 126 teilt. Die Speisespannungsleitungs-Layoutstruktur 134 verläuft entlang der Zellengrenze 502 und hat verschiedene Teile innerhalb der Zellen-Layouts 112, 125 und 126 (d. h. sie haben die Zellen-Layouts 112 und 125 und die Zellen-Layouts 112 und 126 gemeinsam).
  • Das Zellen-Layout 112 umfasst eine Durchkontaktierungs-Layoutstruktur 512 und eine leitende Layoutstruktur 514. Die Durchkontaktierungs-Layoutstruktur 512, die leitende Layoutstruktur 514 und die Speisespannungsleitungs-Layoutstruktur 134 überlappen einander. Die leitende Layoutstruktur 514 entspricht der Herstellung einer MD1-Struktur des integrierten Schaltkreises. Die Durchkontaktierungs-Layoutstruktur 512 entspricht der Herstellung eines Durchkontaktierungssteckers in der dritten Durchkontaktierungsstecker-Schicht. Das Zellen-Layout 125 umfasst eine leitende Layoutstruktur 506, eine Durchkontaktierungs-Layoutstruktur 508, die die leitende Layoutstruktur 514 überlappt, eine Durchkontaktierungs-Layoutstruktur 516 und eine leitende Layoutstruktur 518. Die Durchkontaktierungs-Layoutstruktur 516 und die leitende Layoutstruktur 518 überlappen die Speisespannungsleitungs-Layoutstruktur 134. Die leitende Layoutstruktur 506 und die Speisespannungsleitungs-Layoutstruktur 134 entsprechen der Herstellung von leitenden Strukturen in der ersten leitenden Schicht des integrierten Schaltkreises. Die Durchkontaktierungs-Layoutstruktur 508 entspricht der Herstellung eines Durchkontaktierungssteckers in der zweiten Durchkontaktierungsstecker-Schicht. Die Durchkontaktierungs-Layoutstruktur 516 entspricht der Herstellung eines Durchkontaktierungssteckers in der dritten Durchkontaktierungsstecker-Schicht. Die Durchkontaktierungs-Layoutstrukturen 512 und 516 sind entlang einer Bezugslinie 520 senkrecht zu der Zellengrenze 502 ausgerichtet. Die leitenden Layoutstrukturen 514 und 518 sind ebenfalls entlang der Bezugslinie 520 ausgerichtet.
  • Weitere Einzelheiten zu den Zellen-Layouts 112, 125 und 126 sind weggelassen. Bei einigen Ausführungsformen haben die Durchkontaktierungs-Layoutstrukturen 508, 512 und 516 die gleichen Abmessungen.
  • 5B ist eine Schnittansicht eines Teils 500B des integrierten Schaltkreises, der auf Grund des Original-Layoutentwurfs hergestellt wird, gemäß einigen Ausführungsformen. Der Teil 500B wird entlang einer Bezugslinie erstellt, die der Bezugslinie 520 von 5A entspricht. In 5B geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 5A an. Einige Einzelheiten des Teils 500B des integrierten Schaltkreises sind weggelassen.
  • Der Teil 500B weist einen Bereich 532, der dem Zellen-Layout 125 von 5A entspricht, und einen Bereich 534 auf, der dem Zellen-Layout 112 entspricht. Eine Bezugslinie 536 gibt die Position an, die der Zellengrenze 502 entspricht. Der Teil 500B hat eine erste Source-Kontaktstelle 542, die der Source-Kontaktstelle 242 von 2B entspricht, eine zweite Source-Kontaktstelle 544, die der Source-Kontaktstelle 244 entspricht, eine Kanalstruktur 546, die der Kanalstruktur 246 entspricht, eine Gate-Struktur 548, die der Gate-Struktur 248 entspricht, und eine Drain-Kontaktstelle 552, die der Drain-Kontaktstelle 252 entspricht. Daher werden sie hier nicht näher beschrieben.
  • Der Teil 500B umfasst weiterhin eine MD1-Struktur 554 in dem Bereich 532, eine MD1-Struktur 556 in dem Bereich 534 und Durchkontaktierungsstecker 572, 574 und 576. Die MD1-Struktur 554 wird auf Grund der leitenden Layoutstruktur 518 von 5A hergestellt, und die MD1-Struktur 556 wird auf Grund der leitenden Layoutstruktur 514 hergestellt. Die leitende Struktur 562 wird auf Grund der leitenden Layoutstruktur 506 hergestellt, und die leitende Struktur 566 ist Teil der Speisespannungsleitung, die auf Grund der Speisespannungsleitungs-Layoutstruktur 134 hergestellt wird. Der Durchkontaktierungsstecker 572 wird auf Grund der Durchkontaktierungs-Layoutstruktur 508 hergestellt, der Durchkontaktierungsstecker 574 wird auf Grund der Durchkontaktierungs-Layoutstruktur 516 hergestellt, und der Durchkontaktierungsstecker 576 wird auf Grund der Durchkontaktierungs-Layoutstruktur 512 hergestellt. Die leitende Struktur 566 ist in Kontakt mit den Durchkontaktierungssteckern 574 und 576 und hat keinen Kontakt mit dem Durchkontaktierungsstecker 572.
  • Um vielen verschiedenen möglichen Platzierungs- oder Angrenzungs-Anordnungen Rechnung zu tragen, werden die Layoutstrukturen 512, 514, 516 und 518 so weit weg von der Zellengrenze 502 platziert, dass die Einhaltung der Mindestabstands-Layout-Regel unabhängig von dem möglichen Zellen-Layout auf jeder Seite der Zellengrenze 502 gewährleistet ist. Wie in 5A und 5B gezeigt ist, sind die Zellen-Layouts 112 und 125 so angeordnet, dass eine Durchkontaktierungs-Layoutstruktur 512 und eine leitende Layoutstruktur 514 des Zellen-Layouts 112 auf der einen Seite der Zellengrenze 502 vorhanden sind und eine Durchkontaktierungs-Layoutstruktur 516 und eine leitende Layoutstruktur 518 des Zellen-Layouts 125 auf der anderen Seite der Zellengrenze 502 vorhanden sind. Die leitenden Strukturen 566, 576, 556, 574 und 554, die auf Grund der Layoutstrukturen 134, 512, 514, 516 und 518 hergestellt werden, sind alle elektrisch miteinander verbunden. Nachdem die Platzierung der Zellen-Layouts 112 und 125 festgelegt worden ist, werden die Layoutstrukturen 512 oder 516 so modifiziert, dass sie aneinander grenzen, oder die Layoutstrukturen 514 oder 518 werden so modifiziert, dass sie aneinander grenzen, und dabei wird der Schaltbild-Entwurf nicht geändert. Daher werden bei einigen Ausführungsformen eine oder mehrere der Layoutstrukturen 512, 514, 516 und 518 miteinander kombiniert oder ihre Größe wird geändert, um die Durchkontaktierungs-Layoutstrukturen 512 und 516 durch eine vergrößerte Durchkontaktierungs-Layoutstruktur (wie etwa eine Durchkontaktierungs-Layoutstruktur 584 in 5C) zu ersetzen. Die vergrößerte Layoutstruktur 584 entspricht einem vergrößerten Durchkontaktierungsstecker (wie etwa einem Durchkontaktierungsstecker 588 in 5D), der eine größere Fläche einnimmt als der Durchkontaktierungsstecker 574 oder der Durchkontaktierungsstecker 576.
  • 5C ist eine Anordnungszeichnung eines Teils 500A' des modifizierten Layoutentwurfs, der auf dem in 4A dargestellten Szenario basiert, gemäß einigen Ausführungsformen. Komponenten in 5C, die denen von 5A gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Die leitende Layoutstruktur 514 und die leitende Struktur 518 werden durch eine leitende Layoutstruktur 582 in dem Teil 500A' ersetzt, die gegenüber dem Teil 500A von 5A modifiziert ist. Bei einigen Ausführungsformen wird die modifizierte leitende Layoutstruktur 582 dadurch erzeugt, dass die leitenden Layoutstrukturen 514 und 518 so umgeformt oder verschoben werden, dass der Rand jeder der leitenden Layoutstrukturen 514 und 518 zu der Zellengrenze 502 verschoben wird, sodass die leitenden Layoutstrukturen 514 und 518 zu einer Layoutstruktur 582 vereinigt werden. Außerdem werden die Durchkontaktierungs-Layoutstrukturen 512 und 516 durch eine vergrößerte Durchkontaktierungs-Layoutstruktur 584 ersetzt. Die vergrößerte Durchkontaktierungs-Layoutstruktur 584 überlappt die modifizierte leitende Layoutstruktur 582, die Speisespannungsleitungs-Layoutstruktur 134 und die Zellengrenze 502. Bei einigen Ausführungsformen wird die Durchkontaktierungs-Layoutstruktur 584 mit anderen Durchkontaktierungs-Layoutstrukturen zur Herstellung von Durchkontaktierungssteckern in der dritten Durchkontaktierungsstecker-Schicht zu einer Gruppe zusammengefasst.
  • 5D ist eine Schnittansicht eines Teils 500B' des integrierten Schaltkreises, der auf Grund des modifizierten Layoutentwurfs hergestellt wird. Der Teil 500B' wird entlang einer Bezugslinie erstellt, die der Bezugslinie 520' von 5C entspricht. In 5D geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 5C an. Einige Einzelheiten des Teils 500B' des integrierten Schaltkreises sind weggelassen. Komponenten in 5D, die denen von 5B gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Im Gegensatz zu dem Teil 500B von 5B hat der Teil 500B' eine MD1-Struktur 586 anstelle der MD1-Strukturen 554 und 556 und einen Durchkontaktierungsstecker 588 anstelle der Durchkontaktierungsstecker 574 und 576. Die MD1-Struktur 586 wird auf Grund der leitenden Layoutstruktur 582 von 5A hergestellt und wird so angeordnet, dass sie in Kontakt mit den Source-Kontaktstellen 542 und 544 ist. Der Durchkontaktierungsstecker 588 wird auf Grund der Durchkontaktierungs-Layoutstruktur 584 von 5C hergestellt und verbindet die MD1-Struktur 586 mit der leitenden Struktur 566, die als Teil einer Speisespannungsleitung verwendet werden kann. Die leitende Struktur 566 hat keinen Kontakt mit dem Durchkontaktierungsstecker 572. Außerdem nimmt der Durchkontaktierungsstecker 588 eine größere Fläche als der Durchkontaktierungsstecker 574 oder der Durchkontaktierungsstecker 576 oder als eine Kombination aus den Durchkontaktierungssteckern 574 und 576 ein. Dadurch hat der Durchkontaktierungsstecker 588 einen geringeren elektrischen Widerstand entlang der vertikalen Richtung Z als der Durchkontaktierungsstecker 574 oder der Durchkontaktierungsstecker 576.
  • 6A ist eine vergrößerte Anordnungszeichnung, die einen Teil 600A des Original-Layoutentwurfs zeigt, der dem Bereich 145 von 1 entspricht und um 90 Grad im Uhrzeigersinn gedreht ist, gemäß einigen Ausführungsformen. Die Komponenten in 6A, die gleich oder ähnlich denen von 1 sind, sind mit den gleichen Bezugssymbolen bezeichnet und werden daher nicht näher beschrieben.
  • Der Teil 600A zeigt Teile der Zellen-Layouts 112, 114, 126 und 127, eine Zellengrenze 602, die die Zellen-Layouts 112 und 126 und die Zellen-Layouts 114 und 127 teilt, und eine Zellengrenze 604, die die Zellen-Layouts 112 und 114 und die Zellen-Layouts 126 und 127 teilt. Die Speisespannungsleitungs-Layoutstruktur 134 verläuft entlang der Zellengrenze 602 und hat verschiedene Teile innerhalb der Zellen-Layouts 112, 114, 126 und 127 (d. h. sie haben die Zellen-Layouts 112 und 126 und die Zellen-Layouts 114 und 127 gemeinsam).
  • Das Zellen-Layout 127 umfasst leitende Layoutstrukturen 606 und 608, eine Durchkontaktierungs-Layoutstruktur 616 und eine leitende Layoutstruktur 618. Das Zellen-Layout 114 umfasst eine leitende Layoutstruktur 609, eine Durchkontaktierungs-Layoutstruktur 612 und eine leitende Layoutstruktur 614. Die Durchkontaktierungs-Layoutstruktur 616, die leitende Layoutstruktur 618 und die Speisespannungsleitungs-Layoutstruktur 134 überlappen einander. Die Durchkontaktierungs-Layoutstruktur 612, die leitende Layoutstruktur 614 und die Speisespannungsleitungs-Layoutstruktur 134 überlappen einander. Die leitenden Layoutstrukturen 614 und 618 sind an der Zellengrenze 602 miteinander in Kontakt. Die leitenden Layoutstrukturen 614 und 618 entsprechen der Herstellung einer MD2-Struktur des integrierten Schaltkreises. Die Durchkontaktierungs-Layoutstrukturen 612 und 616 entsprechen der Herstellung von zwei Durchkontaktierungssteckern in der vierten Durchkontaktierungsstecker-Schicht. Die Durchkontaktierungs-Layoutstrukturen 612 und 616 sind entlang einer Bezugslinie 620 senkrecht zu der Zellengrenze 602 ausgerichtet. Die leitenden Layoutstrukturen 614 und 618 sind ebenfalls entlang der Bezugslinie 620 ausgerichtet.
  • Weitere Einzelheiten zu den Zellen-Layouts 112, 114, 126 und 127 sind weggelassen. Bei einigen Ausführungsformen haben die Durchkontaktierungs-Layoutstrukturen 612 und 616 die gleichen Abmessungen.
  • 6B ist eine Schnittansicht eines Teils 600B des integrierten Schaltkreises, der auf Grund des Original-Layoutentwurfs hergestellt wird, gemäß einigen Ausführungsformen. Der Teil 600B wird entlang einer Bezugslinie erstellt, die der Bezugslinie 620 von 6A entspricht. In 6B geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 6A an. Einige Einzelheiten des Teils 600B des integrierten Schaltkreises sind weggelassen.
  • Der Teil 600B weist einen Bereich 632, der dem Zellen-Layout 127 von 6A entspricht, und einen Bereich 634 auf, der dem Zellen-Layout 114 entspricht. Eine Bezugslinie 636 gibt die Position an, die der Zellengrenze 602 entspricht. Der Teil 600B hat eine erste Source-Kontaktstelle 642, die der Source-Kontaktstelle 242 von 2B entspricht, eine zweite Source-Kontaktstelle 644, die der Source-Kontaktstelle 244 entspricht, eine Kanalstruktur 646 in dem Bereich 632 und eine Kanalstruktur 647 in dem Bereich 634, die der Kanalstruktur 246 entsprechen, eine Gate-Struktur 648 in dem Bereich 632 und eine Gate-Struktur 649 in dem Bereich 634, die der Gate-Struktur 248 entsprechen, und eine Drain-Kontaktstelle 652 in dem Bereich 632 und eine Drain-Kontaktstelle 653 in dem Bereich 634, die der Drain-Kontaktstelle 252 entsprechen. Daher werden sie hier nicht näher beschrieben.
  • Der Teil 600B umfasst weiterhin eine MD2-Struktur 654, die durch die Bereiche 632 und 634 verläuft, leitende Strukturen 662, 664, 666 und 668 und Durchkontaktierungsstecker 672 und 676. Die MD2-Struktur 654 wird auf Grund der leitenden Layoutstrukturen 614 und 618 von 6A hergestellt. Die leitende Struktur 662 wird auf Grund der leitenden Layoutstruktur 606 hergestellt, die leitende Struktur 664 wird auf Grund der leitenden Layoutstruktur 608 hergestellt, und die leitende Struktur 666 wird auf Grund der leitenden Layoutstruktur 609 hergestellt. Die leitende Struktur 668 ist Teil der Speisespannungsleitung, die auf Grund der Speisespannungsleitungs-Layoutstruktur 134 hergestellt wird. Der Durchkontaktierungsstecker 672 wird auf Grund der Durchkontaktierungs-Layoutstruktur 612 hergestellt, und der Durchkontaktierungsstecker 676 wird auf Grund der Durchkontaktierungs-Layoutstruktur 616 hergestellt.
  • Um vielen verschiedenen möglichen Platzierungs- oder Angrenzungs-Anordnungen Rechnung zu tragen, werden die Layoutstrukturen 612 und 616 so weit weg von der Zellengrenze 602 platziert, dass die Einhaltung der Mindestabstands-Layout-Regel unabhängig von dem möglichen Zellen-Layout auf jeder Seite der Zellengrenze 602 gewährleistet ist. Wie in 6A und 6B gezeigt ist, sind die Zellen-Layouts 114 und 127 so angeordnet, dass eine Durchkontaktierungs-Layoutstruktur 612 und eine leitende Layoutstruktur 614 des Zellen-Layouts 114 auf der einen Seite der Zellengrenze 602 vorhanden sind und eine Durchkontaktierungs-Layoutstruktur 616 und eine leitende Layoutstruktur 618 des Zellen-Layouts 127 auf der anderen Seite der Zellengrenze 602 vorhanden sind. Die leitenden Strukturen 668, 672, 654 und 676, die auf Grund der Layoutstrukturen 134, 612, 614, 616 und 618 hergestellt werden, sind alle elektrisch miteinander verbunden. Nachdem die Platzierung der Zellen-Layouts 114 und 127 festgelegt worden ist, werden die Layoutstrukturen 612 oder 616 so modifiziert, dass sie aneinander grenzen, und dabei wird der Schaltbild-Entwurf nicht geändert. Daher werden bei einigen Ausführungsformen eine oder mehrere der Layoutstrukturen 612 und 616 miteinander kombiniert oder ihre Größe wird geändert, um die Durchkontaktierungs-Layoutstrukturen 612 und 616 durch eine vergrößerte Durchkontaktierungs-Layoutstruktur (wie etwa eine Durchkontaktierungs-Layoutstruktur 684 in 6C) zu ersetzen. Die vergrößerte Layoutstruktur 684 entspricht einem vergrößerten Durchkontaktierungsstecker (wie etwa einem Durchkontaktierungsstecker 688 in 6D), der eine größere Fläche einnimmt als der Durchkontaktierungsstecker 672 oder der Durchkontaktierungsstecker 676.
  • 6C ist eine Anordnungszeichnung eines Teils 600A' des modifizierten Layoutentwurfs, der auf dem in 6A dargestellten Szenario basiert, gemäß einigen Ausführungsformen. Komponenten in 6C, die denen von 6A gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Die Durchkontaktierungs-Layoutstrukturen 612 und 616 werden durch eine Durchkontaktierungs-Layoutstruktur 684 ersetzt, die gegenüber dem Teil 600A von 6A vergrößert ist. Die vergrößerte Durchkontaktierungs-Layoutstruktur 684 überlappt die leitenden Layoutstrukturen 614 und 618, die Speisespannungsleitungs-Layoutstruktur 134 und die Zellengrenze 602. Bei einigen Ausführungsformen wird die Durchkontaktierungs-Layoutstruktur 684 mit anderen Durchkontaktierungs-Layoutstrukturen zur Herstellung von Durchkontaktierungssteckern in der dritten Durchkontaktierungsstecker-Schicht zu einer Gruppe zusammengefasst. Bei einigen Ausführungsformen wird die Durchkontaktierungs-Layoutstruktur 684 mit anderen Durchkontaktierungs-Layoutstrukturen zur Herstellung von Durchkontaktierungssteckern in der vierten Durchkontaktierungsstecker-Schicht zu einer Gruppe zusammengefasst.
  • 6D ist eine Schnittansicht eines Teils 600B' des integrierten Schaltkreises, der auf Grund des modifizierten Layoutentwurfs hergestellt wird. Der Teil 600B' wird entlang einer Bezugslinie erstellt, die der Bezugslinie 620' von 6C entspricht. In 6D geben die Bezugssymbole in den Klammern die entsprechenden Gegenstücke in 6C an. Einige Einzelheiten des Teils 600B' des integrierten Schaltkreises sind weggelassen. Komponenten in 6D, die denen von 6B gleichen oder ähnlich sind, sind mit den gleichen Bezugssymbolen bezeichnet.
  • Im Gegensatz zu dem Teil 600B von 6B hat der Teil 600B' einen Durchkontaktierungsstecker 688 anstelle der Durchkontaktierungsstecker 672 und 676. Der Durchkontaktierungsstecker 688 wird auf Grund der Durchkontaktierungs-Layoutstruktur 684 von 6C hergestellt und verbindet die MD2-Struktur 654 mit der leitenden Struktur 668. Außerdem nimmt der Durchkontaktierungsstecker 688 eine größere Fläche als der Durchkontaktierungsstecker 672 oder der Durchkontaktierungsstecker 676 oder als eine Kombination aus den Durchkontaktierungssteckern 672 und 676 ein. Dadurch hat der Durchkontaktierungsstecker 688 einen geringeren elektrischen Widerstand entlang der vertikalen Richtung Z als der Durchkontaktierungsstecker 672 oder der Durchkontaktierungsstecker 676.
  • 7A ist eine Schnittansicht eines beispielhaften Durchkontaktierungssteckers 700A gemäß einigen Ausführungsformen, der dem Durchkontaktierungsstecker 276, 376, 472, 476, 574, 576, 672 oder 676 entspricht. Der Durchkontaktierungsstecker 700A hat eine Oberseite 702 und eine Unterseite 704 und ist von einer Zwischenschichtstruktur 706 aus dielektrischem Material umgeben. Die Oberseite 702 ist so konfiguriert, dass sie in Kontakt mit einer entsprechenden leitenden Struktur darauf ist, wie etwa der leitenden Struktur 266, 366, 466, 566 oder 668. Die Unterseite 704 ist so konfiguriert, dass sie in Kontakt mit einer entsprechenden leitenden Struktur darunter ist, wie etwa der leitenden Struktur 256, 354, 454, 456, 554, 556 oder 654.
  • Der Durchkontaktierungsstecker 700A hat weiterhin einen Kernteil 712 und eine Sperrschicht 714. Bei einigen Ausführungsformen enthält der Kernteil 712 ein Material, das Aluminium, Kupfer, Wolfram, Metalllegierungen oder andere geeignete Materialien umfasst. Bei einigen Ausführungsformen enthält die Sperrschicht 714 ein Material, das Tantalnitrid, Wolframnitrid, Titannidrid oder andere geeignete Materialien umfasst. Bei einigen Ausführungsformen hat die Sperrschicht 714 einen Widerstand, der größer als der des Kernteils 712 ist.
  • 7B ist eine Draufsicht des beispielhaften Durchkontaktierungssteckers 700A von 7A gemäß einigen Ausführungsformen. Der Durchkontaktierungsstecker 700A hat eine rechteckige oder quadratische Form, die eine Breite W1 und eine Länge L1 hat. Bei einigen Ausführungsformen werden die Breite W1 und die Länge L1 auf Grund eines festgelegten Technologieknotens gewählt, der zum Implementieren des entsprechenden Layoutentwurfs verwendet wird. Bei einigen Ausführungsformen liegt die Breite W1 oder die Länge L1 in dem Bereich von 1 nm bis 30 nm für Fertigungsprozesse mit einem Technologieknoten von 7 nm bis 20 nm. Die Sperrschicht 714 hat eine Dicke T1, die so groß ist, dass die Materialien in dem Kernteil 712 nicht in die Dielektrisches-Material-Zwischenschichtstruktur 706 (7A) oder 726 (7C) einwandern können. Bei einigen Ausführungsformen beträgt die Dicke T1 weniger als 15 nm. Bei einigen Ausführungsformen liegt die Dicke T1 in dem Bereich von 5 nm bis 10 nm. Andere Zahlen für die Breite W1, die Länge L1 und die Dicke T1 liegen innerhalb des Schutzumfangs der Erfindung. Im Vergleich zu dem Kernteil 712 ist die Sperrschicht 714 ein Bereich mit einem hohen spezifischen Widerstand. Bei einigen Ausführungsformen führt eine geringere Dicke T1 zu einem geringeren Widerstand des Durchkontaktierungssteckers 700A. Bei einigen Ausführungsformen wird die kleinstmögliche Dicke der Sperrschicht 714 auf Grund von Prozessbeschränkungen festgelegt. Bei einigen Ausführungsformen hat der Durchkontaktierungsstecker 700A eine Form, die von der rechteckigen oder quadratischen Form verschieden ist.
  • 7C ist eine Schnittansicht eines beispielhaften Durchkontaktierungssteckers 700B gemäß einigen Ausführungsformen, der dem Durchkontaktierungsstecker 286, 386, 488 oder 688 entspricht. Der Durchkontaktierungsstecker 700B hat eine Oberseite 722 und eine Unterseite 724 und ist von einer Dielektrisches-Material-Zwischenschichtstruktur 726 umgeben. Die Oberseite 722 ist so konfiguriert, dass sie in Kontakt mit einer entsprechenden leitenden Struktur darauf ist, wie etwa der leitenden Struktur 266, 366, 466, 566 oder 668. Die Unterseite 724 ist so konfiguriert, dass sie in Kontakt mit einer entsprechenden leitenden Struktur darunter ist, wie etwa der leitenden Struktur 256, 354, 454, 486, 586 oder 654.
  • Der Durchkontaktierungsstecker 700B hat weiterhin einen Kernteil 732 und eine Sperrschicht 734. Der Kernteil 732 und die Sperrschicht 734 entsprechen dem Kernteil 702 und der Sperrschicht 704 von 7A, und daher werden sie nicht näher beschrieben.
  • 7D ist eine Draufsicht des beispielhaften Durchkontaktierungssteckers 700B von 7C gemäß einigen Ausführungsformen. Der Durchkontaktierungsstecker 700B hat eine rechteckige oder quadratische Form, die eine Breite W2 und eine Länge L2 hat. Bei einigen Ausführungsformen werden die Breite W2 und die Länge L2 auf Grund eines festgelegten Technologieknotens gewählt, der zum Implementieren des entsprechenden Layoutentwurfs verwendet wird. Bei einigen Ausführungsformen liegt die Breite W2 oder die Länge L2 in dem Bereich von 15 nm bis 50 nm. Bei einigen Ausführungsformen hat die Sperrschicht 734 ein Material, das dem der Sperrschicht 714 ähnlich ist, und eine Dicke T2 mit einem Bereich, der den der Dicke T1 überlappt. Andere Zahlen für die Breite W2, die Länge L2 und die Dicke T2 liegen innerhalb des Schutzumfangs der Erfindung.
  • Bei einigen Ausführungsformen liegt ein Verhältnis der Breite W2 zu der Breite W1 oder ein Verhältnis der Länge L2 zu der Länge L1 in dem Bereich von 1,5 bis 1,7. Bei einigen Ausführungsformen ist bei einem Verhältnis von größer als 1,7 nicht ausreichend Platz, um den vergrößerten Durchkontaktierungsstecker 700B unterzubringen, ohne die Mindestabstands-Layout-Regel zu verletzen. Bei einigen Ausführungsformen wird bei einem Verhältnis von kleiner als 1,5 die Leistung nicht ausreichend verbessert, um die zusätzliche Zeit oder die zusätzlichen Rechen-Ressourcen zu rechtfertigen, die für die Änderung der Größe des Durchkontaktierungssteckers 700A verwendet werden. Bei einigen Ausführungsformen hat eine Durchkontaktierungs-Layoutstruktur, die dem Durchkontaktierungsstecker 700A entspricht, eine Größe von 12 nm × 12 nm, und eine Durchkontaktierungs-Layoutstruktur, die dem vergrößerten Durchkontaktierungsstecker 700B entspricht, hat eine Größe von 18 nm × 18 nm, 18 nm × 20 nm oder 20 nm × 20 nm. Andere Zahlen für die Verhältnisse und die Abmessungen der Breite und Länge eines vergrößerten Durchkontaktierungssteckers liegen innerhalb des Schutzumfangs der Erfindung. Bei einigen Ausführungsformen liegt das Verhältnis der Fläche, die von dem Durchkontaktierungsstecker 700B oder einer entsprechenden vergrößerten Durchkontaktierungs-Layoutstruktur eingenommen wird, zu der Fläche, die von dem Durchkontaktierungsstecker 700A oder einer entsprechenden Durchkontaktierungs-Layoutstruktur eingenommen wird, in dem Bereich von 2,25 (1,5 × 1,5) bis 2,89 (1,7 × 1,7).
  • 8 ist ein Ablaufdiagramm für ein Verfahren 800 zum Herstellen einer Gruppe von Masken zur Herstellung eines integrierten Schaltkreises, gemäß einigen Ausführungsformen. Es ist klar, dass weitere Operationen vor, während und/oder nach dem Verfahren 800, das in 8 gezeigt ist, ausgeführt werden können und dass einige andere Prozesse hier gegebenenfalls nur kurz beschrieben werden.
  • Der Prozess beginnt mit der Operation 810, in der ein Original-Layoutentwurf 812 erhalten wird. Bei einigen Ausführungsformen wird der Original-Layoutentwurf 812 in einem maschinenlesbaren nichtflüchtigen Speicher gespeichert. Bei einigen Ausführungsformen wird der Original-Layoutentwurf 812 in einem Format gespeichert, das mit einem GDS-Format (GDS: Graphic Database System) oder einem GDSII-Format kompatibel ist.
  • Der Prozess geht zu der Operation 820 weiter, in der das Vorhandensein eines oder mehrerer festgelegter Layoutszenarios in dem Original-Layoutentwurf 812 ermittelt wird. Das eine oder die mehreren festgelegten Layoutszenarios umfassen ein oder mehrere der Szenarios, die in Verbindung mit 2A, 3A, 4A, 5A und/oder 6A erläutert werden.
  • Der Prozess geht zu der Operation 830 weiter, in der der Original-Layoutentwurf 812 auf Grund einer oder mehrerer Gruppen von festgelegten Regeln modifiziert wird, die den verschiedenen Layoutszenarios entsprechen. Die eine oder die mehreren Gruppen von festgelegten Regeln umfassen eine oder mehrere der Layoutstruktur-Modifikationen, die in Verbindung mit 2C, 3C, 4C, 5C und/oder 6C erläutert werden.
  • Der Prozess geht zu der Operation 840 weiter, in der der Original-Layoutentwurf 812 auf Grund einer oder mehrerer LOP-Regeln (LOP: logische Operation) und/oder OPC-Regeln (OPC: optical proximity correction) weiter modifiziert wird. Der modifizierte Original-Layoutentwurf wird als ein modifizierter Layoutentwurf 842 in einem maschinenlesbaren nichtflüchtigen Speicher gespeichert. Bei einigen Ausführungsformen wird der modifizierte Original-Layoutentwurf 842 in einem Format gespeichert, das mit einem GDS-Format oder einem GDSII-Format kompatibel ist.
  • Bei einigen Ausführungsformen werden die Operationen 810, 820, 830 und 840 mit einem LOP-Tool ausgeführt, und die Operationen 820 und 830 werden somit in Verbindung mit der Ausführung einer LOP an dem Original-Layoutentwurf ausgeführt. Bei einigen Ausführungsformen werden die Operationen 810, 820, 830 und 840 mit einem OPC-Tool ausgeführt, und die Operationen 820 und 830 werden somit in Verbindung mit der Ausführung einer OPC an dem Original-Layoutentwurf ausgeführt. Bei einigen Ausführungsformen werden die Operationen 820 und 830 durch Abarbeiten eines Software-Tools ausgeführt, das von dem LOP-Tool oder dem OPC-Tool verschieden ist.
  • Der Prozess geht zu der Operation 850 weiter, in der eine Gruppe von Masken auf Grund des modifizierten Layoutentwurfs 842 hergestellt wird. Bei einigen Ausführungsformen umfasst die Operation 850 das Durchführen eines Kolorierungsprozesses an dem modifizierten Layoutentwurf 842, um eine Gruppe von Masken, die zum Herstellen verschiedener Strukturen geeignet sind, die durch entsprechende Layoutstrukturen in dem modifizierten Layoutentwurf 842 dargestellt sind, mit einem Mehrfachstrukturierungsprozess zu erstellen.
  • 9 ist ein Blockschaltbild eines Systems 900 zum Modifizieren eines Layoutentwurfs, der zum Herstellen einer Gruppe von Masken verwendet werden kann, gemäß einigen Ausführungsformen. Das System 900 kann zum Implementieren einer oder mehrerer Operationen des Verfahrens 800 verwendet werden, das in 8 gezeigt ist und in Verbindung mit den 16B weiter erläutert wird.
  • Das System 900 umfasst ein erstes Computersystem 910, ein zweites Computersystem 920, einen vernetzten Speicher 930 und ein Netzwerk 940, das das erste Computersystem 910, das zweite Computersystem 920 und den vernetzten Speicher 930 verbindet. Bei einigen Ausführungsformen sind das zweite Computersystem 920, der Speicher 930 und/oder das Netzwerk 940 weggelassen.
  • Das erste Computersystem 910 umfasst einen Hardware-Prozessor 912, der kommunikativ mit einem nichtflüchtigen maschinenlesbaren Speichermedium 914 verbunden ist, das mit dem Folgenden codiert ist, d. h. das Folgende speichert: einen Befehlssatz 914a, einen Original-Layoutentwurf 914b (wie etwa den Original-Layoutentwurf 812), Zwischendaten 914c zum Ausführen des Befehlssatzes 914a, oder einen modifizierten Layoutentwurf 914d (wie etwa den modifizierten Layoutentwurf 842). Der Prozessor 912 ist elektrisch und kommunikativ mit dem maschinenlesbaren Speichermedium 914 verbunden. Der Prozessor 912 ist so konfiguriert, dass er den Befehlssatz 914a, der in dem maschinenlesbaren Speichermedium 914 codiert ist, ausführt, damit der Computer 910 als ein Layoutprüfungs-Tool zum Durchführen des Verfahrens 800 verwendet werden kann, das in Verbindung mit 8 und den 16B beschrieben wird.
  • Bei einigen Ausführungsformen werden der Befehlssatz 914a, der Layoutentwurf 914b, die Zwischendaten 914c oder der modifizierte Layoutentwurf 914d in einem anderen nichtflüchtigen Speichermedium als dem Speichermedium 914 gespeichert. Bei einigen Ausführungsformen werden der Befehlssatz 914a, der Layoutentwurf 914b, die Zwischendaten 914c und/oder der modifizierte Layoutentwurf 914d in einem nichtflüchtigen Speichermedium in dem vernetzten Speicher 930 oder dem zweiten Computersystem 920 gespeichert. In diesem Fall kann der Prozessor 912 über das Netzwerk 940 auf den Befehlssatz 914a, den Layoutentwurf 914b, die Zwischendaten 914c und/oder den modifizierten Layoutentwurf 914d zugreifen, die außerhalb des Computers 910 gespeichert sind.
  • Bei einigen Ausführungsformen ist der Prozessor 912 eine zentrale Verarbeitungseinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine andere geeignete Verarbeitungseinheit.
  • Bei einigen Ausführungsformen ist das maschinenlesbare Speichermedium 914 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder ein Halbleitersystem (oder -Vorrichtung oder -Baustein). Das maschinenlesbare Speichermedium 914 umfasst zum Beispiel einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine entnehmbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Festspeicher (ROM), eine starre Magnetplatte und/oder eine optische Platte. Bei einigen Ausführungsformen, bei denen optische Platten verwendet werden, umfasst das maschinenlesbare Speichermedium 914 einen Kompakt-Disk-Festspeicher (CD-ROM), eine CD-R/W und/oder eine Digital Video Disc (DVD).
  • Bei mindestens einigen Ausführungsformen umfasst das Computersystem 910 eine Eingabe-/Ausgabe-Schnittstelle 916 und eine Anzeige-Einheit 917. Die Eingabe-/Ausgabe-Schnittstelle 916 ist mit dem Prozessor 912 verbunden und gestattet es einem Schaltkreis-Entwickler, das erste Computersystem 910 zu bedienen. Bei mindestens einigen Ausführungsformen zeigt die Anzeige-Einheit 917 den Status der Ausführung des Befehlssatzes 914a an und stellt bei mindestens einigen Ausführungsformen eine grafische Benutzeroberfläche (GUI) bereit. Bei mindestens einigen Ausführungsformen zeigt die Anzeige-Einheit 917 den Status der Ausführung des Befehlssatzes 914a in Echtzeit an. Bei mindestens einigen Ausführungsformen gestatten es die Eingabe-/Ausgabe-Schnittstelle 916 und die Anzeige-Einheit 917 einem Bediener, das Computersystem 910 interaktiv zu bedienen.
  • Bei mindestens einigen Ausführungsformen umfasst das Computersystem 900 auch eine Netzwerk-Schnittstelle 918, die mit dem Prozessor 912 verbunden ist. Die Netzwerk-Schnittstelle 918 gestattet es dem Computersystem 910, mit dem Netzwerk 940 zu kommunizieren, mit dem ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerk-Schnittstelle umfasst drahtlose Netzwerk-Schnittstellen, wie etwa BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA, oder drahtgebundene Netzwerk-Schnittstellen, wie etwa ETHERNET, USB oder IEEE-1394.
  • Gemäß einer Ausführungsform umfasst ein Verfahren zum Herstellen einer Gruppe von Masken zur Herstellung eines integrierten Schaltkreises das Ermitteln des Vorhandenseins einer ersten Durchkontaktierungs-Layoutstruktur und einer Speisespannungsleitungs-Layoutstruktur in einem Original-Layoutentwurf. Die erste Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur überlappen einander. Die erste Durchkontaktierungs-Layoutstruktur ist Teil eines ersten Zellen-Layouts des Original-Layoutentwurfs. Das erste Zellen-Layout und ein zweites Zellen-Layout des Original-Layoutentwurfs haben die Speisespannungsleitungs-Layoutstruktur gemeinsam, und die Speisespannungsleitungs-Layoutstruktur verläuft entlang einer Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout. Die erste Durchkontaktierungs-Layoutstruktur entspricht einer ersten Durchkontaktierungsstecker-Schicht des integrierten Schaltkreises, und die gemeinsame Speisespannungsleitungs-Layoutstruktur entspricht einer ersten leitenden Schicht des integrierten Schaltkreises über der ersten Durchkontaktierungsstecker-Schicht. Das Verfahren umfasst weiterhin das Modifizieren des Original-Layoutentwurfs zu einem modifizierten Layoutentwurf und das Herstellen der Gruppe von Masken auf Grund des modifizierten Layoutentwurfs. In dem Fall, dass die erste Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur in dem Original-Layoutentwurf vorhanden sind, umfasst das Modifizieren des Original-Layoutentwurfs das Ersetzen der ersten Durchkontaktierungs-Layoutstruktur durch eine vergrößerte Durchkontaktierungs-Layoutstruktur. Die vergrößerte Durchkontaktierungs-Layoutstruktur nimmt eine Fläche ein, die größer als die Fläche ist, die von der ersten Durchkontaktierungs-Layoutstruktur eingenommen wird.
  • Bei einer anderen Ausführungsform umfasst das Verfahren zum Herstellen einer Gruppe von Masken zur Herstellung eines integrierten Schaltkreises das Ermitteln des Vorhandenseins einer ersten leitenden Layoutstruktur, einer ersten Durchkontaktierungs-Layoutstruktur, die die erste leitende Layoutstruktur überlappt, einer zweiten leitenden Layoutstruktur, einer zweiten Durchkontaktierungs-Layoutstruktur, die die zweite leitende Layoutstruktur überlappt, und einer Speisespannungsleitungs-Layoutstruktur in einem Original-Layoutentwurf. Die Speisespannungsleitungs-Layoutstruktur überlappt die erste leitende Layoutstruktur, die erste Durchkontaktierungs-Layoutstruktur, die zweite leitende Layoutstruktur und die zweite Durchkontaktierungs-Layoutstruktur. Die erste Durchkontaktierungs-Layoutstruktur und die erste leitende Layoutstruktur sind Teil eines ersten Zellen-Layouts des Original-Layoutentwurfs. Die zweite Durchkontaktierungs-Layoutstruktur und die zweite leitende Layoutstruktur sind Teil eines zweiten Zellen-Layouts des Original-Layoutentwurfs. Das erste Zellen-Layout und ein zweites Zellen-Layout haben die Speisespannungsleitungs-Layoutstruktur gemeinsam, und die Speisespannungsleitungs-Layoutstruktur verläuft entlang einer Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout. Die erste leitende Layoutstruktur und die zweite leitende Layoutstruktur sind entlang einer Richtung ausgerichtet, die senkrecht zu der Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout ist. Das Verfahren umfasst weiterhin das Modifizieren des Original-Layoutentwurfs zu einem modifizierten Layoutentwurf und das Herstellen der Gruppe von Masken auf Grund des modifizierten Layoutentwurfs. In dem Fall, dass die erste leitende Layoutstruktur, die erste Durchkontaktierungs-Layoutstruktur, die zweite leitende Layoutstruktur, die zweite Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur in dem Original-Layoutentwurf vorhanden sind, umfasst das Modifizieren des Original-Layoutentwurfs das Ausführen mindestens eines der folgenden Schritte: Ersetzen der ersten leitenden Layoutstruktur durch eine modifizierte erste leitende Layoutstruktur, wobei die modifizierte erste leitende Layoutstruktur an die zweite leitende Layoutstruktur angrenzt; Ersetzen der zweiten leitenden Layoutstruktur durch eine modifizierte zweite leitende Layoutstruktur, wobei die modifizierte zweite leitende Layoutstruktur an die erste leitende Layoutstruktur angrenzt; oder Ersetzen der ersten leitenden Layoutstruktur und der zweiten leitenden Layoutstruktur durch eine vereinigte leitende Layoutstruktur, die Bereiche überlappt, die von der ersten leitenden Layoutstruktur und der zweiten leitenden Layoutstruktur eingenommen werden.
  • Bei einer anderen Ausführungsform weist ein integrierter Schaltkreis eine erste Durchkontaktierungsstecker-Schicht über einem ersten Zellenbereich und einem zweiten Zellenbereich und eine erste leitende Schicht über der ersten Durchkontaktierungsstecker-Schicht auf. Die erste Durchkontaktierungsstecker-Schicht weist Folgendes auf: einen ersten Durchkontaktierungsstecker, der eine Bezugsgrenze überlappt, wobei der erste Zellenbereich und der zweite Zellenbereich aneinander grenzen; und einen zweiten Durchkontaktierungsstecker in dem ersten Zellenbereich. Der erste Durchkontaktierungsstecker nimmt eine Fläche ein, die größer als die Fläche ist, die von dem zweiten Durchkontaktierungsstecker eingenommen wird. Die erste leitende Schicht weist eine Speisespannungsleitung auf, die entlang der Bezugsgrenze verläuft und diese überlappt, wobei die Speisespannungsleitung in Kontakt mit dem ersten Durchkontaktierungsstecker ist und nicht in Kontakt mit dem zweiten Durchkontaktierungsstecker ist.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Nicht-Patentliteratur
    • IEEE-1394 [0103]

Claims (20)

  1. Verfahren zum Herstellen einer Gruppe von Masken zur Herstellung eines integrierten Schaltkreises, das die folgenden Schritte aufweist: Ermitteln des Vorhandenseins einer ersten Durchkontaktierungs-Layoutstruktur und einer Speisespannungsleitungs-Layoutstruktur in einem Original-Layoutentwurf, wobei die erste Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur einander überlappen, die erste Durchkontaktierungs-Layoutstruktur Teil eines ersten Zellen-Layouts des Original-Layoutentwurfs ist, das erste Zellen-Layout und ein zweites Zellen-Layout des Original-Layoutentwurfs die Speisespannungsleitungs-Layoutstruktur gemeinsam haben und die Speisespannungsleitungs-Layoutstruktur entlang einer Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout verläuft, die erste Durchkontaktierungs-Layoutstruktur einer ersten Durchkontaktierungsstecker-Schicht des integrierten Schaltkreises entspricht und die gemeinsame Speisespannungsleitungs-Layoutstruktur einer ersten leitenden Schicht des integrierten Schaltkreises über der ersten Durchkontaktierungsstecker-Schicht entspricht; Modifizieren des Original-Layoutentwurfs zu einem modifizierten Layoutentwurf mit dem folgenden Schritt: in dem Fall, dass die erste Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitung in dem Original-Layoutentwurf vorhanden sind, Ersetzen der ersten Durchkontaktierungs-Layoutstruktur durch eine vergrößerte Durchkontaktierungs-Layoutstruktur, wobei die vergrößerte Durchkontaktierungs-Layoutstruktur eine Fläche einnimmt, die größer als die Fläche ist, die von der ersten Durchkontaktierungs-Layoutstruktur eingenommen wird; und Herstellen der Gruppe von Masken auf Grund des modifizierten Layoutentwurfs.
  2. Verfahren nach Anspruch 1, das weiterhin den folgenden Schritt aufweist: Ermitteln des Vorhandenseins einer zweiten Durchkontaktierungs-Layoutstruktur in dem Original-Layoutentwurf, wobei die zweite Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur einander überlappen und die zweite Durchkontaktierungs-Layoutstruktur Teil des zweiten Zellen-Layouts ist, wobei das Modifizieren des Original-Layoutentwurfs weiterhin den folgenden Schritt aufweist: in dem Fall, dass die zweite Durchkontaktierungs-Layoutstruktur in dem Original-Layoutentwurf vorhanden ist, Ersetzen der zweiten Durchkontaktierungs-Layoutstruktur durch die vergrößerte Durchkontaktierungs-Layoutstruktur, wobei die vergrößerte Durchkontaktierungs-Layoutstruktur die Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout überlappt.
  3. Verfahren nach Anspruch 2, wobei das erste Zellen-Layout des Original-Layoutentwurfs weiterhin eine erste leitende Layoutstruktur aufweist, die einer zweiten leitenden Schicht des integrierten Schaltkreises entspricht, wobei sich die zweite leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht befindet, das zweite Zellen-Layout des Original-Layoutentwurfs weiterhin eine zweite leitende Layoutstruktur aufweist, die der zweiten leitenden Schicht des integrierten Schaltkreises entspricht, und das Modifizieren des Original-Layoutentwurfs weiterhin die folgenden Schritte aufweist: Erzeugen einer vereinigten leitenden Layoutstruktur auf Grund der ersten leitenden Layoutstruktur und der zweiten leitenden Layoutstruktur, wobei die vereinigte leitende Layoutstruktur der zweiten leitenden Schicht entspricht; und Ersetzen der ersten leitenden Layoutstruktur und der zweiten leitenden Layoutstruktur durch die vereinigte leitende Layoutstruktur, wobei die vergrößerte Durchkontaktierungs-Layoutstruktur die vereinigte leitende Layoutstruktur überlappt.
  4. Verfahren nach Anspruch 2, wobei das erste Zellen-Layout des Original-Layoutentwurfs weiterhin eine erste leitende Layoutstruktur aufweist, die einer zweiten leitenden Schicht des integrierten Schaltkreises entspricht, wobei sich die zweite leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht befindet, das zweite Zellen-Layout des Original-Layoutentwurfs weiterhin eine zweite leitende Layoutstruktur aufweist, die einer dritten leitenden Schicht des integrierten Schaltkreises entspricht, wobei sich die dritte leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht befindet und die zweite leitende Schicht und die dritte leitende Schicht unterschiedliche Dicken haben, und das Modifizieren des Original-Layoutentwurfs weiterhin die folgenden Schritte aufweist: Erzeugen einer modifizierten ersten leitenden Layoutstruktur auf Grund der ersten leitenden Layoutstruktur, wobei die modifizierte erste leitende Layoutstruktur der zweiten leitenden Schicht entspricht und die vergrößerte Durchkontaktierungs-Layoutstruktur die modifizierte erste leitende Layoutstruktur und die zweite leitende Layoutstruktur überlappt; und Ersetzen der ersten leitenden Layoutstruktur durch die modifizierte erste leitende Layoutstruktur.
  5. Verfahren nach Anspruch 4, wobei das Erzeugen der modifizierten ersten leitenden Layoutstruktur den folgenden Schritt umfasst: Umformen oder Verschieben der ersten leitenden Layoutstruktur so, dass ein Rand der modifizierten ersten leitenden Layoutstruktur in Bezug auf einen entsprechenden Rand der ersten leitenden Layoutstruktur zu der Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout verschoben wird.
  6. Verfahren nach Anspruch 2, wobei das erste Zellen-Layout des Original-Layoutentwurfs weiterhin eine erste leitende Layoutstruktur aufweist, die einer zweiten leitenden Schicht des integrierten Schaltkreises entspricht, wobei sich die zweite leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht befindet, das zweite Zellen-Layout des Original-Layoutentwurfs weiterhin eine zweite leitende Layoutstruktur aufweist, die einer dritten leitenden Schicht des integrierten Schaltkreises entspricht, wobei sich die dritte leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht befindet und die zweite leitende Schicht und die dritte leitende Schicht unterschiedliche Dicken haben, und das Modifizieren des Original-Layoutentwurfs weiterhin die folgenden Schritte aufweist: Erzeugen einer modifizierten ersten leitenden Layoutstruktur auf Grund der ersten leitenden Layoutstruktur, wobei die modifizierte erste leitende Layoutstruktur der zweiten leitenden Schicht entspricht und die vergrößerte Durchkontaktierungs-Layoutstruktur die modifizierte erste leitende Layoutstruktur überlappt; Erzeugen einer modifizierten zweiten leitenden Layoutstruktur auf Grund der zweiten leitenden Layoutstruktur, wobei die modifizierte zweite leitende Layoutstruktur der dritten leitenden Schicht entspricht und die vergrößerte Durchkontaktierungs-Layoutstruktur die modifizierte zweite leitende Layoutstruktur überlappt; Ersetzen der ersten leitenden Layoutstruktur durch die modifizierte erste leitende Layoutstruktur und Ersetzen der zweiten leitenden Layoutstruktur durch die modifizierte zweite leitende Layoutstruktur.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ersetzen der ersten Durchkontaktierungs-Layoutstruktur durch eine vergrößerte Durchkontaktierungs-Layoutstruktur Folgendes umfasst: Verwenden eines Verhältnisses der Fläche, die von der vergrößerten Durchkontaktierungs-Layoutstruktur eingenommen wird, zu der Fläche, die von der ersten Durchkontaktierungs-Layoutstruktur eingenommen wird, auf Grund einer Mindestleistungsverbesserung und/oder einer Mindestabstands-Layout-Regel.
  8. Verfahren nach Anspruch 7, wobei das Verhältnis in dem Bereich von 2,25 bis 2,89 liegt.
  9. Verfahren zum Herstellen einer Gruppe von Masken zur Herstellung eines integrierten Schaltkreises, das die folgenden Schritte aufweist: Ermitteln des Vorhandenseins einer ersten leitenden Layoutstruktur, einer ersten Durchkontaktierungs-Layoutstruktur, die die erste leitende Layoutstruktur überlappt, einer zweiten leitenden Layoutstruktur, einer zweiten Durchkontaktierungs-Layoutstruktur, die die zweite leitende Layoutstruktur überlappt, und einer Speisespannungsleitungs-Layoutstruktur in einem Original-Layoutentwurf, wobei die Speisespannungsleitungs-Layoutstruktur die erste leitende Layoutstruktur, die erste Durchkontaktierungs-Layoutstruktur, die zweite leitende Layoutstruktur und die zweite Durchkontaktierungs-Layoutstruktur überlappt, die erste Durchkontaktierungs-Layoutstruktur und die erste leitende Layoutstruktur Teil eines ersten Zellen-Layouts des Original-Layoutentwurfs sind, die zweite Durchkontaktierungs-Layoutstruktur und die zweite leitende Layoutstruktur Teil eines zweiten Zellen-Layouts des Original-Layoutentwurfs sind, das erste Zellen-Layout und das zweite Zellen-Layout die Speisespannungsleitungs-Layoutstruktur gemeinsam haben, die Speisespannungsleitungs-Layoutstruktur entlang einer Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout verläuft und die erste leitende Layoutstruktur und die zweite leitende Layoutstruktur entlang einer Richtung ausgerichtet sind, die senkrecht zu der Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout ist; Modifizieren des Original-Layoutentwurfs zu einem modifizierten Layoutentwurf mit den folgenden Schritten: in dem Fall, dass die erste leitende Layoutstruktur, die erste Durchkontaktierungs-Layoutstruktur, die zweite leitende Layoutstruktur, die zweite Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur in dem Original-Layoutentwurf vorhanden sind, Ausführen mindestens eines der folgenden Schritte: Ersetzen der ersten leitenden Layoutstruktur durch eine modifizierte erste leitende Layoutstruktur, wobei die modifizierte erste leitende Layoutstruktur an die zweite leitende Layoutstruktur angrenzt, Ersetzen der zweiten leitenden Layoutstruktur durch eine modifizierte zweite leitende Layoutstruktur, wobei die modifizierte zweite leitende Layoutstruktur an die erste leitende Layoutstruktur angrenzt, oder Ersetzen der ersten leitenden Layoutstruktur und der zweiten leitenden Layoutstruktur durch eine vereinigte leitende Layoutstruktur, die Bereiche überlappt, die von der ersten leitenden Layoutstruktur und der zweiten leitenden Layoutstruktur eingenommen werden; und Herstellen der Gruppe von Masken auf Grund des modifizierten Layoutentwurfs.
  10. Verfahren nach Anspruch 9, wobei das Modifizieren des Original-Layoutentwurfs weiterhin den folgenden Schritt aufweist: Ersetzen der ersten Durchkontaktierungs-Layoutstruktur und der zweiten Durchkontaktierungs-Layoutstruktur durch eine vergrößerte Durchkontaktierungs-Layoutstruktur, wobei die vergrößerte Durchkontaktierungs-Layoutstruktur die Zellengrenze zwischen dem ersten Zellen-Layout und dem zweiten Zellen-Layout überlappt und mindestens eine der folgenden Layoutstrukturen überlappt: die modifizierte erste leitende Layoutstruktur und die zweite leitende Layoutstruktur; die modifizierte zweite leitende Layoutstruktur und die erste leitende Layoutstruktur oder die vereinigte leitende Layoutstruktur.
  11. Verfahren nach Anspruch 9 oder 10, wobei die erste leitende Layoutstruktur einer zweiten leitenden Schicht des integrierten Schaltkreises entspricht, wobei sich die zweite leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht befindet, und die zweite leitende Layoutstruktur der zweiten leitenden Schicht des integrierten Schaltkreises entspricht.
  12. Verfahren nach Anspruch 9 oder 10, wobei die erste leitende Layoutstruktur einer zweiten leitenden Schicht des integrierten Schaltkreises entspricht, wobei sich die zweite leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht befindet, und die zweite leitende Layoutstruktur einer dritten leitenden Schicht des integrierten Schaltkreises entspricht, wobei sich die dritte leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht befindet und die zweite leitende Schicht und die dritte leitende Schicht unterschiedliche Dicken haben.
  13. Verfahren nach einem der Ansprüche 9 bis 12, das weiterhin den folgenden Schritt aufweist: Ermitteln des Vorhandenseins einer dritten Durchkontaktierungs-Layoutstruktur in dem Original-Layoutentwurf, wobei die dritte Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur einander überlappen, die dritte Durchkontaktierungs-Layoutstruktur Teil des ersten Zellen-Layouts des Original-Layoutentwurfs ist und das zweite Zellen-Layout des Original-Layoutentwurfs keine Durchkontaktierungs-Layoutstruktur hat, die die Speisespannungsleitungs-Layoutstruktur überlappt und der dritten Durchkontaktierungs-Layoutstruktur entspricht, wobei das Modifizieren des Original-Layoutentwurfs zu einem modifizierten Layoutentwurf weiterhin den folgenden Schritt aufweist: in dem Fall, dass die dritte Durchkontaktierungs-Layoutstruktur und die Speisespannungsleitungs-Layoutstruktur in dem Original-Layoutentwurf vorhanden sind, Ersetzen der dritten Durchkontaktierungs-Layoutstruktur durch eine vergrößerte Durchkontaktierungs-Layoutstruktur, wobei die vergrößerte Durchkontaktierungs-Layoutstruktur eine Fläche einnimmt, die größer als die Fläche ist, die von der dritten Durchkontaktierungs-Layoutstruktur eingenommen wird.
  14. Verfahren nach Anspruch 10, wobei das Ersetzen der ersten Durchkontaktierungs-Layoutstruktur und der zweiten Durchkontaktierungs-Layoutstruktur durch eine vergrößerte Durchkontaktierungs-Layoutstruktur Folgendes umfasst: Verwenden eines Verhältnisses der Fläche, die von der vergrößerten Durchkontaktierungs-Layoutstruktur eingenommen wird, zu der Fläche, die von der ersten Durchkontaktierungs-Layoutstruktur und der zweiten Durchkontaktierungs-Layoutstruktur eingenommen wird, auf Grund einer Mindestleistungsverbesserung und/oder einer Mindestabstands-Layout-Regel.
  15. Verfahren nach Anspruch 14, wobei das Verhältnis in dem Bereich von 2,25 bis 2,89 liegt.
  16. Integrierter Schaltkreis mit: einer ersten Durchkontaktierungsstecker-Schicht über einem ersten Zellenbereich und einem zweiten Zellenbereich, wobei die erste Durchkontaktierungsstecker-Schicht Folgendes aufweist: einen ersten Durchkontaktierungsstecker, der eine Bezugsgrenze überlappt, wobei der erste Zellenbereich und der zweite Zellenbereich aneinander grenzen; einen zweiten Durchkontaktierungsstecker in dem ersten Zellenbereich, wobei der erste Durchkontaktierungsstecker eine Fläche einnimmt, die größer als die Fläche ist, die von dem zweiten Durchkontaktierungsstecker eingenommen wird; und eine erste leitende Schicht über der ersten Durchkontaktierungsstecker-Schicht, wobei die erste leitende Schicht eine Speisespannungsleitung aufweist, die entlang der Bezugsgrenze verläuft und diese überlappt, wobei die Speisespannungsleitung in Kontakt mit dem ersten Durchkontaktierungsstecker ist und nicht in Kontakt mit dem zweiten Durchkontaktierungsstecker ist.
  17. Integrierter Schaltkreis nach Anspruch 16, wobei ein Verhältnis der Fläche, die von dem ersten Durchkontaktierungsstecker eingenommen wird, zu der Fläche, die von dem zweiten Durchkontaktierungsstecker eingenommen wird, in dem Bereich von 2,25 bis 2,89 liegt.
  18. Integrierter Schaltkreis nach Anspruch 16 oder 17, der weiterhin eine zweite leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht aufweist, wobei die zweite leitende Schicht eine leitende Struktur aufweist, die die Bezugsgrenze überlappt und in Kontakt mit dem ersten Durchkontaktierungsstecker ist.
  19. Integrierter Schaltkreis nach Anspruch 16 oder 17, der weiterhin Folgendes aufweist: eine zweite leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht, wobei die zweite leitende Schicht eine erste leitende Struktur in dem ersten Zellenbereich aufweist, die in Kontakt mit dem ersten Durchkontaktierungsstecker ist; und eine dritte leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht, wobei die dritte leitende Schicht eine zweite leitende Struktur in dem zweiten Zellenbereich aufweist, die in Kontakt mit dem ersten Durchkontaktierungsstecker ist, und die erste leitende Struktur und die zweite leitende Struktur unterschiedliche Dicken haben.
  20. Integrierter Schaltkreis nach Anspruch 16 oder 17, der weiterhin Folgendes aufweist: eine zweite leitende Schicht unter der ersten Durchkontaktierungsstecker-Schicht, wobei die zweite leitende Schicht eine erste leitende Struktur in dem ersten Zellenbereich aufweist, wobei die erste Durchkontaktierungsstecker-Schicht weiterhin einen dritten Durchkontaktierungsstecker, der in Kontakt mit der leitenden Struktur ist, in dem ersten Zellenbereich aufweist, wobei der dritte Durchkontaktierungsstecker eine Fläche einnimmt, die größer als die Fläche ist, die von dem zweiten Durchkontaktierungsstecker eingenommen wird.
DE102016101128.2A 2015-02-12 2016-01-22 Verfahren zum Herstellen von Masken Ceased DE102016101128A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562115454P 2015-02-12 2015-02-12
US62/115,454 2015-02-12
US14/995,413 US9892224B2 (en) 2015-02-12 2016-01-14 Method of forming masks
US14/995,413 2016-01-14

Publications (1)

Publication Number Publication Date
DE102016101128A1 true DE102016101128A1 (de) 2016-08-18

Family

ID=56551798

Family Applications (3)

Application Number Title Priority Date Filing Date
DE202016008735.6U Active DE202016008735U1 (de) 2015-02-12 2016-01-22 Integrierter Schaltkreis und Masken zur Herstellung eines integrierten Schaltkreises
DE102016101128.2A Ceased DE102016101128A1 (de) 2015-02-12 2016-01-22 Verfahren zum Herstellen von Masken
DE202016008706.2U Expired - Lifetime DE202016008706U1 (de) 2015-02-12 2016-01-22 Masken zur Herstellung eines integrierten Schaltkreises und integrierter Schaltkreis

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE202016008735.6U Active DE202016008735U1 (de) 2015-02-12 2016-01-22 Integrierter Schaltkreis und Masken zur Herstellung eines integrierten Schaltkreises

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE202016008706.2U Expired - Lifetime DE202016008706U1 (de) 2015-02-12 2016-01-22 Masken zur Herstellung eines integrierten Schaltkreises und integrierter Schaltkreis

Country Status (5)

Country Link
US (1) US9892224B2 (de)
KR (1) KR101816849B1 (de)
CN (1) CN105895578B (de)
DE (3) DE202016008735U1 (de)
TW (1) TWI645508B (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170133750A (ko) * 2016-05-26 2017-12-06 삼성전자주식회사 집적 회로의 설계를 위한 컴퓨터 구현 방법
US9985014B2 (en) * 2016-09-15 2018-05-29 Qualcomm Incorporated Minimum track standard cell circuits for reduced area
US11334703B2 (en) * 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
US10515850B2 (en) 2017-08-25 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and IC design with non-linear power rails
US10262950B1 (en) 2017-10-17 2019-04-16 Qualcomm Incorporated Visible alignment markers/landmarks for CAD-to-silicon backside image alignment
US10607982B2 (en) 2018-07-10 2020-03-31 Samsung Electronics Co., Ltd. Layout connection isolation technique for improving immunity to jitter and voltage drop in a standard cell
US10978384B2 (en) * 2018-08-31 2021-04-13 Samsung Electronics Co., Ltd. Integrated circuits including multi-layer conducting lines
WO2020060837A1 (en) 2018-09-20 2020-03-26 Applied Materials, Inc. Systems and methods for improving within die co-planarity uniformity
US11133412B2 (en) 2018-11-05 2021-09-28 Samsung Electronics Co., Ltd. Integrated circuit devices including vertical field-effect transistors (VFETs)
US11868697B2 (en) * 2021-08-27 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd Base layout cell
US20230065397A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing thereof

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3139896B2 (ja) 1993-11-05 2001-03-05 株式会社東芝 半導体レイアウト方法
JP5028714B2 (ja) * 2001-03-30 2012-09-19 富士通セミコンダクター株式会社 半導体集積回路装置、および配線方法
JP2006253409A (ja) 2005-03-10 2006-09-21 Matsushita Electric Ind Co Ltd 歩留り解析方法、半導体集積回路装置の設計方法および半導体集積回路装置
US8435802B2 (en) 2006-05-22 2013-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Conductor layout technique to reduce stress-induced void formations
JP2010021187A (ja) * 2008-07-08 2010-01-28 Nec Electronics Corp 半導体集積回路の設計方法、設計プログラム、及び半導体集積回路の製造方法
US8631379B2 (en) 2010-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decomposing integrated circuit layout
US8421205B2 (en) 2010-05-06 2013-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Power layout for integrated circuits
US9768119B2 (en) 2010-07-28 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for mitigating dynamic IR voltage drop and electromigration affects
US8990751B2 (en) * 2010-10-28 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Computer system and method of preparing a layout
US8661389B2 (en) 2011-04-12 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of designing integrated circuits
US8726220B2 (en) 2011-04-29 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8694945B2 (en) * 2011-12-20 2014-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic place and route method for electromigration tolerant power distribution
US8601416B2 (en) 2012-03-15 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of circuit design yield analysis
US8713491B2 (en) 2012-03-29 2014-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-colored methodology of multiple patterning
US9361423B2 (en) 2012-04-13 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. RC corner solutions for double patterning technology
US8698205B2 (en) 2012-05-25 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout having mixed track standard cell
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8745556B2 (en) 2012-06-28 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout method and system for multi-patterning integrated circuits
US8769451B2 (en) 2012-07-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design method, system and computer program product
US8775993B2 (en) 2012-08-31 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with layout-dependent effects
US8826212B2 (en) 2012-12-06 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layout including cells having different threshold voltages, a system of implementing and a layout formed
US8782575B1 (en) 2013-01-23 2014-07-15 Taiwan Semiconductor Manufacturing Company Limited Conflict detection for self-aligned multiple patterning compliance
JP5820412B2 (ja) * 2013-03-08 2015-11-24 株式会社東芝 半導体集積回路
US9147029B2 (en) 2013-03-11 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
US8793640B1 (en) 2013-03-12 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for RC extraction
US8887116B2 (en) 2013-03-14 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Flexible pattern-oriented 3D profile for advanced process nodes
US9563731B2 (en) 2013-03-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundaries for self aligned multiple patterning abutments

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
IEEE-1394

Also Published As

Publication number Publication date
TWI645508B (zh) 2018-12-21
US9892224B2 (en) 2018-02-13
DE202016008706U1 (de) 2019-02-14
TW201707140A (zh) 2017-02-16
KR20160099488A (ko) 2016-08-22
DE202016008735U1 (de) 2019-04-05
KR101816849B1 (ko) 2018-01-11
CN105895578A (zh) 2016-08-24
US20160283631A1 (en) 2016-09-29
CN105895578B (zh) 2019-07-19

Similar Documents

Publication Publication Date Title
DE102016101128A1 (de) Verfahren zum Herstellen von Masken
DE102014112789B4 (de) Zellen-Layout und Struktur
DE102017117813B4 (de) System zum entwerfen einerintegrierten schaltung und verfahren zum ausbilden eineslayoutentwurfs einer integrierten schaltung und computerlesbaresmedium
DE102017110226A1 (de) Füller für integrierte Schaltungen und Verfahren davon
DE102019113506B4 (de) Integrierte Schaltung umfassend eine Zelle mit mehrfacher Höhe
DE102015200694A1 (de) Verfahren, computersystem und computerlesbares speichermedium zum erzeugen eines layouts eines integrierten schaltkreises
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102016118811B4 (de) Integrierte Schaltungen mit versetzten leitenden Merkmalen und Verfahren zur Konfiguration eines Layouts einer integrierten Schaltung
DE112014003741T5 (de) Detektieren und Anzeigen einer Behebungsführung für Multi-Strukturierung
DE102012200822A1 (de) Verfahren zum Analysieren von Zellen einer Zellenbibliothek
DE102019123621B4 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102013106541A1 (de) System zum Entwerfen einer Halbleitervorrichtung, die hergestelle Vorrichtung und Verfahren zur Verwendung des Systems
DE102019116744A1 (de) Verfahren zum erzeugen eines layoutdiagramms, das hervorstehendestiftzellengebiete aufweist, und eine darauf basierendehalbleitervorrichtung
DE102018108579A1 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102019128571A1 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102020115154A1 (de) Multiplexer
DE102023100725A1 (de) Ic-logikbauteil, layout, system und verfahren
DE102009039909A1 (de) Verfahren zum Erzeugen eines Layoutmusters einer Halbleitervorrichtung und Gerät zum Erzeugen eines Layoutmusters
DE102020110780B4 (de) Halbleiterbauelement mit vertiefter interconnect-struktur
DE102021100627A1 (de) Integrierte schaltungsvorrichtung und verfahren
DE102018124711B4 (de) Layout-Verfahren für Standardzellenstrukturen
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R138 Derivation of utility model

Ref document number: 202016008706

Country of ref document: DE

R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final