KR101816849B1 - 마스크 형성 방법 - Google Patents

마스크 형성 방법 Download PDF

Info

Publication number
KR101816849B1
KR101816849B1 KR1020160013562A KR20160013562A KR101816849B1 KR 101816849 B1 KR101816849 B1 KR 101816849B1 KR 1020160013562 A KR1020160013562 A KR 1020160013562A KR 20160013562 A KR20160013562 A KR 20160013562A KR 101816849 B1 KR101816849 B1 KR 101816849B1
Authority
KR
South Korea
Prior art keywords
layout
layout pattern
conductive
cell
pattern
Prior art date
Application number
KR1020160013562A
Other languages
English (en)
Other versions
KR20160099488A (ko
Inventor
이쉬엉 린
타펜 구오
이쑨 찌우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160099488A publication Critical patent/KR20160099488A/ko
Application granted granted Critical
Publication of KR101816849B1 publication Critical patent/KR101816849B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Software Systems (AREA)

Abstract

집적 회로를 제조하기 위한 마스크 세트를 형성하는 방법은, 원래의 레이아웃 설계에서 제1 비아 레이아웃 패턴과 파워 레일 레이아웃 패턴의 존재를 판별하는 판별 단계를 포함한다. 제1 비아 레이아웃 패턴과 파워 레일 레이아웃 패턴은 서로 오버랩한다. 제1 비아 레이아웃 패턴은 원래의 레이아웃 설계의 제1 셀 레이아웃의 일부이다. 파워 레일 레이아웃 패턴은 원래의 레이아웃 설계의 제1 셀 레이아웃과 제2 셀 레이아웃에 의해 공유된다. 방법은 원래의 레이아웃 설계를 수정된 레이아웃 설계가 되도록 수정하는 수정 단계, 및 수정된 레이아웃 설계를 기초로 하여 마스크 세트를 형성하는 단계를 포함한다. 원래의 레이아웃 설계를 수정하는 단계는, 제1 비아 레이아웃 패턴과 파워 레일 레이아웃 패턴이 원래의 레이아웃 설계에 존재하면, 제1 비아 레이아웃 패턴을 확대된 비아 레이아웃 패턴으로 대체하는 대체 단계를 포함한다.

Description

마스크 형성 방법{METHOD OF FORMING MASKS}
집적 회로(IC; integrated circuit)는 능동 영역, 게이트 전극, 다양한 격리 구조의 층들, 및/또는 다양한 전도성 구조의 층들과 같이 다양한 피쳐의 층들을 선택적으로 형성 또는 제거하기 위해 복수 개의 마스크를 형성하는 데에 사용할 수 있는 레이아웃 설계에 따라 제조된다. 많은 제조 프로세스가 다양한 피쳐의 층들의 공간 분해능을 증가시키도록 이용될 수 있고, 이에 따라 레이아웃 패턴이 대응하는 레이아웃에서 더 미세한 공간 분해능을 갖게 한다. 그러나, 피쳐들의 공간 분해능을 증가시키는 많은 제조 프로세스는 추가 마스크, 추가 노출 프로세스, 및/또는 추가 에칭 프로세스 등의 증가된 복잡도 및 자원의 희생으로 수행된다.
본 개시의 양태는 첨부 도면과 함께 읽을 때에 이하의 상세한 설명으로부터 가장 잘 이해된다. 산업에 있어서의 표준적 실시에 따라, 다양한 피쳐들은 실척으로 도시되지 않는 점이 유념된다. 사실상, 다양한 피쳐들의 치수는 설명의 명확도를 위해 임의로 증가 또는 감소될 수 있다.
도 1은 몇몇 실시예에 따른 집적 회로의 레이아웃 다이어그램의 일부분이다.
도 2a, 3a, 4a, 5a 및 6a는 몇몇 실시예에 따라, 원래의 레이아웃 설계에서 다양한 예시적인 시나리오의 레이아웃 다이어그램이다.
도 2b, 3b, 4b, 5b 및 6b는 원래의 레이아웃 설계를 기초로 하여 제조되는 집적 회로의 부분들의 단면도이고, 각각의 단면도는 몇몇 실시예에 따라 도 2a, 3a, 4a, 5a 및 6a에서의 다양한 시나리오에 대응한다.
도 2c, 3c, 4c, 5c 및 6c는 몇몇 실시예에 따라, 도 2a, 3a, 4a, 5a 및 6a에서의 다양한 시나리오를 기초로 하여 수정된 레이아웃 설계의 레이아웃 다이어그램이다.
도 2d, 3d, 4d, 5d 및 6d는 수정된 레이아웃 설계를 기초로 하여 제조되는 집적 회로의 부분들의 단면도이고, 각각의 단면도는 몇몇 실시예에 따라 도 2c, 3c, 4c, 5c 및 6c에서의 다양한 시나리오에 대응한다.
도 7a 및 7c는 몇몇 실시예에 따라 상이한 크기들을 갖는 비아 플러그의 2개의 예의 단면도이다.
도 7b 및 7d는 몇몇 실시예에 따른, 도 7a 및 7c에서의 예시적인 비아 플러그의 평면도이다.
도 8은 몇몇 실시예에 따른, 집적 회로를 제조하는 마스크 세트를 형성하는 방법의 흐름도이다.
도 9는 몇몇 실시예에 따른, 마스크 세트를 형성하는 데에 이용될 수 있는 레이아웃 설계를 수정하는 시스템의 기능 블록도이다.
이하의 개시는 본 발명의 상이한 피쳐들을 실시하기 위한 많은 상이한 실시예, 즉 예를 제공한다. 구성요소 및 구성의 특정한 예는 본 개시를 간소화하도록 아래에서 설명된다. 물론, 이들은 단지 예일 뿐이고 한정하도록 의도되지 않는다. 예컨대, 아래의 설명에서 제2 피쳐 위에 또는 제2 피쳐 상에 제1 피쳐의 형성은 제1 및 제2 피쳐가 직접적인 접촉 상태로 형성되는 실시예를 포함할 수 있고, 또한 제1 및 제2 피쳐가 직접적으로 접촉하지 않을 수 있도록 제1 및 제2 피쳐 사이에 추가의 피쳐가 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 간소화 및 명확도를 위한 것이고 설명되는 다양한 실시예들 및/또는 구성들 간의 관계를 자체가 결정하지 않는다.
또한, "밑에", "아래에", "하부", "위에", "상부" 등과 같이 공간적으로 상대적인 용어는 본 명세서에서 도면에 예시된 바와 같이 다른 요소(들) 또는 피쳐(들)에 대한 하나의 요소 또는 피쳐의 관계를 설명하도록 설명의 용이함을 위해 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 배향 외에 사용 또는 작동 시에 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 달리 배향(90도 또는 다른 배향으로 회전)될 수 있고 본 명세서에 사용되는 공간적으로 상대적인 기술어는 마찬가지로 이에 따라 해석될 수 있다.
본 개시의 몇몇 실시예에 따르면, 원래의 레이아웃 설계가 검토되고 원래의 레이아웃 설계의 레이아웃 패턴들 중 일부의 공간 분해능을 감소시키도록 수정된다. 몇몇 실시예에서, 원래의 레이아웃 설계는 하나 이상의 예정된 레이아웃 시나리오의 존재를 결정하도록 검토된다. 예정된 레이아웃 시나리오들 중 하나 이상의 존재에 응답하여, 하나 이상의 대응하는 비아 레이아웃 패턴이 확대된 비아 레이아웃 패턴에 의해 대체된다. 그 결과, 확대된 비아 레이아웃 패턴을 기초로 하여 초래된 비아 플러그의 전기 저항이 감소된다. 몇몇 실시예에서, 대응하는 비아 플러그층을 형성하는 데에 사용되는 마스크의 개수가 감소한다. 몇몇 실시예에서, 원래의 레이아웃 설계를 기초로 하여 초래된 집적 회로와 비교하면, 수정된 레이아웃 설계를 기초로 하여 초래된 집적 회로의 동작 주파수가 약 4~5% 개선되고, 비아 플러그층을 형성하는 제조 프로세스가 7-패터닝-7-에칭(7P7E)의 수행으로부터 5P5E로 간소해진다.
도 1은 몇몇 실시예에 따라 집적 회로를 제조하는 데에 이용 가능한 레이아웃 설계의 레이아웃 다이어그램의 일부분(100)이다. 집적 회로를 설계할 때에, 몇몇 실시예에서, 예정된 기능을 갖는 표준 셀이 사용된다. 레이아웃 설계의 부분(100)은 여러 굵은 선(110)으로서 도시된 대응하는 셀 경계부에 의해 둘러싸이는 영역을 차지하는 다양한 표준 셀에 대응하는 레이아웃 패턴을 포함한다. 다양한 표준 셀에 대응하는 레이아웃 패턴은 본 개시에서 표준 셀 레이아웃(112, 114, 121, 122, 123, 124, 125, 126 및 127)으로서 지칭된다. 표준 셀 레이아웃(112, 114, 121, 122, 123, 124, 125, 126 및 127) 각각은 트랜지스터 및 대응하는 트랜지스터 위에 상호 연결 구조를 형성하는 것에 대응하는 복수 개의 레이아웃 패턴을 포함한다. 몇몇 실시예에서, 상호 연결 구조는 다양한 비아 플러그와 전도성 라인을 포함한다. 더욱이, 레이아웃 설계의 부분(100)은 표준 셀 레이아웃(112, 114, 121, 122, 123, 124, 125, 126 또는 127)에 인접한 다른 표준 셀들(번호를 붙이지 않음)에 대응하는 레이아웃 패턴을 포함한다. 도 1에서, 표준 셀 레이아웃 및 다양한 전도성 라인에 대응하는 몇몇 레이아웃 패턴의 몇몇 상세가 생략된다.
도 1에 도시된 레이아웃 설계를 기초로 하여 제조되는 집적 회로는, 기판의 상부면에 수직인 방향을 따라 연장되는 채널 구조와 다양한 전도성 라인을 갖고 대응하는 게이트 구조를 둘러싸는 트랜지스터를 포함한다. 이 타입의 트랜지스터는 때때로 수직 산화물 확산(OD; oxide diffusion) 트랜지스터로서 공지되어 있다. 수직 OD 트랜지스터는 본 개시에서 예로서 사용된다. 본 개시에서 설명되는 다양한 레이아웃 시나리오 및 대응하는 비아 레이아웃 패턴 수정 계획은 또한 예컨대 평면형 OD 트랜지스터를 비롯한 다른 타입의 트랜지스터 또는 다양한 타입의 Fin-FET 디바이스를 제조하기 위한 레이아웃 설계에 적용될 수 있다.
몇몇 실시예에서, 표준 셀들 중 하나 이상은 로직 게이트 셀이다. 몇몇 실시예에서, 로직 게이트 셀은 AND, OR, NAND, NOR, XOR, INV, AND-OR-인버트(AOI; AND-OR-Invert), OR-AND-인버트(OAI; OR-AND-Invert), MUX, 플립-플롭(Flip-flop), BUFF, 래치(Latch), 딜레이, 클록 셀, 또는 다른 타입의 로직 게이트 셀을 포함한다.
레이아웃 설계의 부분(100)은, 몇몇 실시예에서, 셀 경계부(번호를 붙이지 않음)를 따라 연장되고 셀 경계부를 공유하는 대응하는 셀 레이아웃 내에 그 다양한 부분을 갖는 파워 레일 레이아웃 패턴(132, 134, 136)을 더 포함한다. 이 개시에서, 파워 레일 레이아웃 패턴(132, 134, 136)은 대응하는 인접한 셀 레이아웃에 의해 공유되는 것으로 설명된다. 각 셀 레이아웃(112, 114, 121, 122, 123, 124, 125, 126, 127)은 대응하는 파워 레일 레이아웃 패턴(132, 134, 136)과 오버랩하는 복수 개의 비아 레이아웃 패턴(VD)을 포함한다. 결과적인 집적 회로에서, 비아 레이아웃 패턴(VD)은 집적 회로의 하나 이상의 상이한 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 또한, 파워 레일 레이아웃 패턴(132, 134, 136)은 하나 이상의 상이한 비아 플러그층 위에서 집적 회로의 전도성 층의 전도성 라인에 대응한다. 몇몇 실시예에서, 전도성 층은 하나 이상의 상이한 비아 플러그층의 바로 위에 있다. 결과적인 집적 회로에서, 파워 레일 레이아웃 패턴(132, 134, 136)에 대응하는 전도성 라인은 하나 이상의 작동 전압 및/또는 기준 접지 전압을 전달하도록 구성된다. 따라서, 셀 레이아웃(112, 114, 121, 122, 123, 124, 125, 126 및 127)에 대응하는 표준 셀은 파워 레일 레이아웃 패턴(132, 134 또는 136)에 대응하는 전도성 라인으로부터 그리고 비아 레이아웃 패턴(VD)에 대응하는 다양한 비아 플러그를 통해 하나 이상의 작동 전압 또는 기준 접지 전압을 수신한다.
몇몇 실시예에서, 셀 레이아웃(112, 114, 121, 122, 123, 124, 125, 126 및 127)은 설계되어 그 셀 레이아웃이 레이아웃 설계를 형성하도록 로딩되고 배치되기 전에 셀 라이브러리에 저장된다. 따라서, 셀 레이아웃(112, 114, 121, 122, 123, 124, 125, 126 및 127)의 레이아웃 패턴은 일반적으로, 각종의 가능한 배치 또는 인접 배열을 수용하도록 배치된다. 그럼에도 불구하고, 일단 셀 레이아웃(112, 114, 121, 122, 123, 124, 125, 126, 127)이 레이아웃 설계에 배치되면, 셀 경계부에 인접한 몇몇의 레이아웃 패턴은 다양한 레이아웃 패턴의 공간 분해능을 낮춤으로써 후속하는 제조 프로세스를 간소화하도록 또한 수정된다. 추가 레이아웃 패턴 수정이 정당한 5개의 예시적인 레이아웃 시나리오가 영역(141, 142, 143, 144 및 145)으로서 도 1에서 확인되고 도 2a 내지 도 6d와 함께 또한 설명된다. 다른 수정도 본 개시의 고려되는 범위 내에 있다.
도 8은 몇몇 실시예에 따른 집적 회로를 제조하기 위한 마스크 세트를 형성하는 방법(800)의 흐름도이다. 몇몇 실시예에서, 방법(800)에서 설명되는 마스크 세트는 도 2a 내지 도 6d와 함께 설명되는 수정된 레이아웃 설계를 기초로 하여 제조된다. 도 2a 내지 도 6d와 함께 설명되는 수정된 레이아웃 설계를 기초로 하여 마스크 세트를 형성하는 다른 방법 및/또는 다른 수정된 레이아웃 설계도 본 개시의 예상되는 범위 내에 있다.
도 2a는 몇몇 실시예에 따른, 90도 만큼 시계방향으로 회전된, 도 1의 영역(141)에 대응하는 원래의 레이아웃 설계의 부분(200A)을 도시하는 확대된 레이아웃 다이어그램이다. 도 1의 구성요소와 동일하거나 유사한 도 2a의 구성요소는 동일한 참조 번호가 주어지고, 그 상세한 설명은 이에 따라 생략된다.
부분(200A)은 셀 레이아웃(112, 121 및 122), 셀 레이아웃(112, 121)과 셀 레이아웃(112, 122)을 분할하는 셀 경계부, 및 셀 레이아웃(121, 122)을 분할하는 셀 경계부(204)의 부분을 도시한다. 파워 레일 레이아웃 패턴(134)은 셀 경계부(202)를 따라 연장되고 셀 레이아웃(112, 121 및 122) 내에 그 다양한 부분을 갖는다[즉, 셀 레이아웃(112, 121)에 의해 그리고 셀 레이아웃(112, 122)에 의해 공유됨].
셀 레이아웃(121)은 전도성 레이아웃 패턴(206, 208)과 비아 레이아웃 패턴(212, 214)을 포함한다. 전도성 레이아웃 패턴(206)은 비아 레이아웃 패턴(212)과 오버랩하고 전도성 레이아웃 패턴(208)은 비아 레이아웃 패턴(214)과 오버랩한다. 전도성 레이아웃 패턴(206, 208)과 파워 레일 레이아웃 패턴(134)은 집적 회로의 제1 전도성 층에 전도성 피쳐를 형성하는 것에 대응한다. 비아 레이아웃 패턴(212)은 제1 비아 플러그 층에 비아 플러그를 형성하는 것에 대응한다. 몇몇 실시예에서, 제1 비아 플러그 층은 집적 회로의 제1 전도성 층과 드레인 패드층을 연결하도록 이용 가능한 비아 플러그를 포함한다. 집적 회로의 다른 층들을 연결하는 다른 비아 플러그층도 본 개시의 예상되는 범위 내에 있다. 비아 레이아웃 패턴(214)은 제2 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 몇몇 실시예에서, 제2 비아 플러그층은 집적 회로의 제1 전도성 층과 폴리실리콘 위에 금속-제로 층("M0PO" 또는 "MP")을 연결하는 데에 이용 가능한 비아 플러그를 포함한다. 집적 회로의 다른 층들을 연결하는 다른 비아 플러그층도 본 개시의 예상되는 범위 내에 있다.
셀 레이아웃(112)은 비아 레이아웃 패턴(216)과 전도성 레이아웃(218)을 포함한다. 비아 레이아웃 패턴(216), 전도성 레이아웃(218), 및 파워 레일 레이아웃 패턴(134)은 서로 오버랩한다. 전도성 레이아웃(218)은 집적 회로의 산화물-한정 영역 위에 제1 타입 금속-제로 층("M0OD-1" 또는 "MD1") 구조를 형성하는 것에 대응한다. 비아 레이아웃 패턴(216)은 제3 비아 플러그층에 비아 플러그를 형성하는 것에 대응하고, 제3 비아 플러그층은 집적 회로의 제1 전도성 층과 MD1 구조를 연결하는 데에 이용 가능한 비아 플러그를 포함한다. 셀 레이아웃(112, 121, 122)의 다른 상세는 생략된다. 몇몇 실시예에서, 비아 레이아웃 패턴(212, 214, 216)은 동일한 치수를 갖는다.
도 2b는 몇몇 실시예에 따라 원래의 레이아웃 설계를 기초로 하여 제조된 집적 회로의 부분(200B)의 단면도이다. 부분(200B)은 도 2a에서 기준선(220)에 대응하는 기준선을 따라 취한 것이다. 도 2b에서, 괄호 안의 참조 번호는 도 2a의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(200B)의 몇몇 상세는 생략된다.
부분(200B)은 도 2a에서 셀 레이아웃(121)에 대응하는 영역(232)과 셀 레이아웃(112)에 대응하는 영역(234)을 포함한다. 기준선(236)은 셀 경계부(202)에 대응하는 위치를 가리킨다. 부분(200B)은 영역(232)의 제1 소스 패드(242), 영역(234)의 제2 소스 패드(244), 제1 소스 패드(242) 위의 채널 구조(246), 제1 소스 패드(242) 위의 게이트 구조(248) 및 주위의 채널 구조(246), 채널 구조(246) 위의 드레인 패드(252), 게이트 구조(248) 위의 MP 구조(254), 및 제2 소스 패드(244) 위의 MD1 구조(256)를 포함한다.
더욱이, 부분(200B)은 전도성 구조(262, 264, 266)와 비아 플러그(272, 274, 276)를 포함한다. 전도성 구조(262)는 도 2a의 전도성 레이아웃 패턴(206)을 기초로 하여 제조되고, 전도성 구조(264)는 전도성 레이아웃 패턴(208)을 기초로 하여 제조되며, 전도성 구조(266)는 파워 레일 레이아웃 패턴(134)을 기초로 하여 제조된 파워 레일의 부분이다. 비아 플러그(272)는 비아 레이아웃 패턴(121)을 기초로 하여 제조되고, 비아 플러그(274)는 비아 레이아웃 패턴(214)을 기초로 하여 제조되며, 비아 플러그(276)는 비아 레이아웃 패턴(216)을 기초로 하여 제조된다. 전도성 구조(266)는 비아 플러그(276)와 접촉하고 비아 플러그(272, 274)와는 접촉하지 않는다.
몇몇 실시예에서, 비아 레이아웃 패턴(216)의 크기는, 그러한 레이아웃 층의 최소 간격 레이아웃 규칙이 위반되지 않는 정도까지 동일한 레이아웃 층의 가장 가까운 비아 레이아웃 패턴의 위치 및 크기에 의해 제한된다. 각종의 가능한 배치 또는 인접 배열을 수용하기 위해, 비아 레이아웃 패턴(216)은 셀 경계부(202)의 양측부에서 가능한 셀 레이아웃과 관계없이 최소 간격 레이아웃 규칙의 준수를 보장하기에 충분할 정도로 셀 경계부(202)로부터 멀리 배치된다. 도 2a 및 도 2b에 도시된 바와 같이, 셀 레이아웃(112, 121)은 셀 레이아웃(112) 내에 셀 경계부(202)의 일측부 상에 비아 레이아웃 패턴(216)의 존재를 갖도록 배치되고, 또한 셀 레이아웃(121) 내에 셀 경계부(202)의 다른 측부 상에 파워 레일 레이아웃 패턴(134)과 오버랩하는 상대 부분 비아 레이아웃 패턴이 없도록 배치된다. 셀 레이아웃(112, 121)의 배치가 결정된 후에 비아 레이아웃 패턴(216)의 경우, 셀 경계부(202)의 다른 측부 상에 상대 부분 비아 레이아웃 패턴의 부재는 비아 레이아웃 패턴(216)과 동일한 레이아웃 층의 임의의 다른 레이아웃 패턴 사이에 최소 공간 레이아웃 규칙을 위반하지 않으면서 비아 레이아웃 패턴(216)을 확장하기 위해 레이아웃 설계에 추가의 충분한 공간을 허용한다. [도 2c의 비아 레이아웃 패턴(282) 등의] 확대된 비아 레이아웃 패턴은 수직 방향(Z)을 따라 더 큰 영역을 차지하고 낮은 전기 저항을 갖는 확대된 비아 플러그[도 2d의 비아 플러그(286) 등]에 대응한다.
도 2c는 몇몇 실시예에 따른, 도 2a에 도시된 시나리오를 기초로 하여 수정된 레이아웃 설계의 부분(200A')의 레이아웃 다이어그램이다. 도 2a의 구성요소와 동일하거나 유사한 도 2c의 구성요소는 동일한 참조 번호가 주어진다.
도 2a의 부분(200A)과 비교하면, 비아 레이아웃 패턴(216)이 부분(200A')의 확대된 비아 레이아웃 패턴(282)으로 대체된다. 비아 레이아웃 패턴(282)은 제3 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다.
도 2d는 수정된 레이아웃 설계를 기초로 하여 제조된 집적 회로의 부분(200B')의 단면도이다. 부분(200B')은 도 2c의 기준선(220')에 대응하는 기준선을 따라 취한 것이다. 도 2d에서, 괄호 안의 참조 번호는 도 2c의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(200B')의 몇몇 상세는 생략된다. 도 2b의 구성요소와 동일하거나 유사한 도 2d의 구성요소는 동일한 참조 번호가 주어진다.
도 2b의 부분(200B)과 비교하면, 부분(200B')은 비아 플러그(276) 대신에 비아 플러그(286)를 포함한다. 비아 플러그(286)는 도 2c의 확대된 비아 레이아웃 패턴(282)을 기초로 하여 제조되고 파워 레일의 일부분으로서 사용 가능한 MD1 구조(256)와 전도성 구조(266)를 연결한다. 전도성 구조(266)는 비아 플러그(272, 274)와 접촉하지 않는다. 또한, 비아 플러그(286)는 비아 플러그(276)보다 큰 영역을 차지한다. 그 결과, 비아 플러그(286)는 수직 방향(Z)을 따라 비아 플러그(276)보다 더 적은 전기 저항을 갖는다.
도 3a는 몇몇 실시예에 따라, 90도 만큼 시계 방향으로 회전된, 도 1의 영역(142)에 대응하는 원래의 레이아웃 설계의 부분(300A)을 도시하는 확대된 레이아웃 다이어그램이다. 도 1의 구성요소와 동일하거나 유사한 도 3a의 구성요소는 동일한 참조 번호가 주어지고, 이에 따라 그 상세한 설명은 생략된다.
부분(300A)은 셀 레이아웃(112, 122, 123), 셀 레이아웃(112, 122)과 셀 레이아웃(112, 123)을 분할하는 셀 경계부(302), 및 셀 레이아웃(122, 123)을 분할하는 셀 경계부(304)의 부분을 도시한다. 파워 레일 레이아웃 패턴(134)은 셀 경계부(302)를 따라 연장되고 셀 레이아웃(112, 122, 123) 내에 그 다양한 부분을 갖는다[즉, 셀 레이아웃(112, 122)에 의해 그리고 셀 레이아웃(112, 123)에 의해 공유됨].
셀 레이아웃(122)은 전도성 레이아웃 패턴(306, 308), 비아 레이아웃 패턴(316), 및 전도성 레이아웃 패턴(318)을 포함한다. 비아 레이아웃 패턴(316)은 파워 레일 레이아웃 패턴(134)과 오버랩한다. 전도성 레이아웃 패턴(318)은 적어도 비아 레이아웃 패턴(316) 및 파워 레일 레이아웃 패턴(134)과 오버랩한다. 전도성 레이아웃 패턴(306) 및 파워 레일 레이아웃 패턴(134)은 집적 회로의 제1 전도성 층에 전도성 피쳐를 형성하는 것에 대응한다.
전도성 레이아웃(318)은 집적 회로의 산화물-한정 영역 위에 제2 타입 금속-제로 층("M0OD-2" 또는 "MD2") 구조를 형성하는 것에 대응한다. 몇몇 실시예에서, MD2 구조[도 3b의 MD2 구조(354) 등]는 드레인 패드[도 3b의 드레인 패드(352) 등]의 상부면과 같은 높이의 하부면 및 MD1 구조의 상부면과 같은 높이의 상부면을 갖는다. 비아 레이아웃 패턴(316)은 제4 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 몇몇 실시예에서, 제4 비아 플러그층은 집적 회로의 제1 전도성 층과 MD2 구조를 연결하는 데에 이용 가능한 비아 플러그를 포함한다. 셀 레이아웃(112, 122, 123)의 다른 상세는 생략된다. 집적 회로의 다른 구조들을 연결하는 다른 층도 본 개시의 범위 내에 있다.
도 3b는 몇몇 실시예에 따라 원래의 레이아웃 설계를 기초로 하여 제조된 집적 회로의 부분(300B)의 단면도이다. 부분(300B)은 도 3a에서 기준선(320)에 대응하는 기준선을 따라 취한 것이다. 도 3b에서, 괄호 안의 참조 번호는 도 3a의 대응하는 상대 부분을 가리킨다. 부분(300B)의 몇몇 상세는 생략된다.
부분(300B)은 도 3a에서 셀 레이아웃(122)에 대응하는 영역(332)과 셀 레이아웃(112)에 대응하는 영역(334)을 포함한다. 기준선(336)은 셀 경계부(302)에 대응하는 위치를 가리킨다. 부분(300B)은 도 2b의 소스 패드(242)에 대응하는 제1 소스 패드(342), 소스 패드(244)에 대응하는 제2 소스 패드(344), 채널 구조(246)에 대응하는 채널 구조(346), 게이트 구조(248)에 대응하는 게이트 구조(348), 및 드레인 패드(252)에 대응하는 드레인 패드(352)를 포함한다. 이에 따라, 그 상세한 설명은 생략된다.
부분(300B)은 MD2 구조(354), 전도성 구조(362, 364, 366), 및 비아 플러그(376)를 더 포함한다. MD2 구조(354)는 도 3a의 전도성 레이아웃 패턴(318)을 기초로 하여 제조된다. 전도성 구조(362)는 전도성 레이아웃 패턴(306)을 기초로 하여 제조되고, 전도성 구조(364)는 전도성 레이아웃 패턴(308)을 기초로 하여 제조되며, 전도성 구조(366)는 파워 레일 레이아웃 패턴(134)을 기초로 하여 제조된 파워 레일의 부분이다. MD2 구조(354)는 드레인 패드(352)를 전도성 구조(366) 바로 아래의 위치에 전기적으로 연결하도록 구성된다. 비아 플러그(376)는 비아 레이아웃 패턴(316)을 기초로 하여 제조된다. 비아 플러그(376)는 MD2 구조(354)를 전도성 구조(366)와 연결한다.
몇몇 실시예에서, 비아 레이아웃 패턴(316)의 크기는, 그러한 레이아웃 층의 최소 간격 레이아웃 규칙이 위반되지 않는 정도까지 동일한 레이아웃 층의 가장 가까운 비아 레이아웃 패턴의 위치 및 크기에 의해 제한된다. 각종의 가능한 배치 또는 인접 배열을 수용하기 위해, 비아 레이아웃 패턴(316)은 셀 경계부(302)의 양측부에서 가능한 셀 레이아웃과 관계없이 최소 간격 레이아웃 규칙의 준수를 보장하기에 충분할 정도로 셀 경계부(302)로부터 멀리 배치된다. 도 3a 및 도 3b에 도시된 바와 같이, 셀 레이아웃(112, 122)은 셀 레이아웃(122) 내에서 셀 경계부(302)의 일측부 상에 비아 레이아웃 패턴(316)의 존재를 갖도록 배치되고, 또한 셀 레이아웃(112) 내에서 셀 경계부(302)의 다른 측부 상에 파워 레일 레이아웃 패턴(134)과 오버랩하는 상대 부분 비아 레이아웃 패턴이 없도록 배치된다. 셀 레이아웃(112, 121)의 배치가 결정된 후에 비아 레이아웃 패턴(316)의 경우, 셀 경계부(302)의 다른 측부 상에 상대 부분 비아 레이아웃 패턴의 부재는 비아 레이아웃 패턴(316)과 동일한 레이아웃 층의 임의의 다른 레이아웃 패턴 사이에 최소 공간 레이아웃 규칙을 위반하지 않으면서 비아 레이아웃 패턴(316)을 확대하기 위해 레이아웃 설계에 추가의 충분한 공간을 허용한다. [도 3c에 비아 레이아웃 패턴(382) 등의] 확대된 비아 레이아웃 패턴은 수직 방향(Z)을 따라 더 큰 영역을 차지하고 낮은 전기 저항을 갖는 확대된 비아 플러그[도 3d의 비아 플러그(386) 등]에 대응한다.
도 3c는 몇몇 실시예에 따른, 도 3a에 도시된 시나리오를 기초로 하여 수정된 레이아웃 설계의 부분(300A')의 레이아웃 다이어그램이다. 도 3a의 구성요소와 동일하거나 유사한 도 3c의 구성요소는 동일한 참조 번호가 주어진다.
도 3a의 부분(300A)과 비교하면, 비아 레이아웃 패턴(316)이 부분(300A')의 확대된 비아 레이아웃 패턴(382)으로 대체된다. 몇몇 실시예에서, 비아 레이아웃 패턴(382)은 제4 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 몇몇 실시예에서, 비아 레이아웃 패턴(382)은 제3 비아 플러그층에 비아 플러그를 형성하기 위해 다른 비아 레이아웃 패턴과 그룹을 이룬다.
도 3d는 수정된 레이아웃 설계를 기초로 하여 제조된 집적 회로의 부분(300B')의 단면도이다. 부분(300B')은 도 3c의 기준선(320')에 대응하는 기준선을 따라 취한 것이다. 도 3d에서, 괄호 안의 참조 번호는 도 3c의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(300B')의 몇몇 상세는 생략된다. 도 3b의 구성요소와 동일하거나 유사한 도 3d의 구성요소는 동일한 참조 번호가 주어진다.
도 3b의 부분(300B)과 비교하면, 부분(300B')은 비아 플러그(376) 대신에 비아 플러그(386)를 포함한다. 비아 플러그(386)는 도 3c의 비아 레이아웃 패턴(382)을 기초로 하여 제조되고 MD2 구조(354)와 전도성 구조(366)를 연결한다. 또한, 비아 플러그(386)는 비아 플러그(376)보다 큰 영역을 차지한다. 그 결과, 비아 플러그(386)는 수직 방향(Z)을 따라 비아 플러그(376)보다 더 적은 전기 저항을 갖는다.
도 4a는 몇몇 실시예에 따라, 90도 만큼 시계 방향으로 회전된, 도 1의 영역(143)에 대응하는 원래의 레이아웃 설계의 부분(400A)을 도시하는 확대된 레이아웃 다이어그램이다. 도 1의 구성요소와 동일하거나 유사한 도 4a의 구성요소는 동일한 참조 번호가 주어지고, 이에 따라 그 상세한 설명은 생략된다.
부분(400A)은 셀 레이아웃(112, 123, 124), 셀 레이아웃(112, 123)과 셀 레이아웃(112, 124)을 분할하는 셀 경계부(402), 및 셀 레이아웃(123, 124)을 분할하는 셀 경계부(304)의 부분을 도시한다. 파워 레일 레이아웃 패턴(134)은 셀 경계부(402)를 따라 연장되고 셀 레이아웃(112, 123, 124) 내에 그 다양한 부분을 갖는다[즉, 셀 레이아웃(112, 123)에 의해 그리고 셀 레이아웃(112, 124)에 의해 공유됨].
셀 레이아웃(112)은 비아 레이아웃 패턴(412) 및 전도성 레이아웃 패턴(414)을 포함한다. 비아 레이아웃 패턴(412), 전도성 레이아웃 패턴(414), 및 파워 레일 레이아웃 패턴(134)는 서로 오버랩한다. 전도성 레이아웃 패턴(414)은 집적 회로의 MD1 구조를 형성하는 것에 대응한다. 비아 레이아웃 패턴(412)은 제3 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 셀 레이아웃(123)은 전도성 레이아웃 패턴(406, 408), 비아 레이아웃 패턴(416), 및 전도성 레이아웃 패턴(418)을 포함한다. 비아 레이아웃 패턴(416)은 파워 레일 레이아웃 패턴(134)와 오버랩한다. 비아 레이아웃 패턴(416)은 제4 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 전도성 레이아웃 패턴(418)은 적어도 비아 레이아웃 패턴(416) 및 파워 레일 레이아웃 패턴(134)과 오버랩한다. 전도성 레이아웃 패턴(406, 408) 및 파워 레일 레이아웃 패턴(134)은 집적 회로의 제1 전도성 층에 전도성 피쳐를 형성하는 것에 대응한다. 비아 레이아웃 패턴(412, 416)은 셀 경계부(402)에 수직인 기준선(420)을 따라 정렬된다. 전도성 레이아웃 패턴(414, 418)은 또한 기준선(420)을 따라 정렬된다.
셀 레이아웃(112, 123, 124)의 다른 상세는 생략된다. 몇몇 실시예에서, 비아 레이아웃 패턴(412, 416)은 동일한 치수를 갖는다.
도 4b는 몇몇 실시예에 따라 원래의 레이아웃 설계를 기초로 하여 제조된 집적 회로의 부분(400B)의 단면도이다. 부분(400B)은 도 4a에서 기준선(420)에 대응하는 기준선을 따라 취한 것이다. 도 4b에서, 괄호 안의 참조 번호는 도 4a의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(400B)의 몇몇 상세는 생략된다.
부분(400B)은 도 4a에서 셀 레이아웃(123)에 대응하는 영역(432)과 셀 레이아웃(112)에 대응하는 영역(434)을 포함한다. 기준선(436)은 셀 경계부(402)에 대응하는 위치를 가리킨다. 부분(400B)은 도 2b의 소스 패드(242)에 대응하는 제1 소스 패드(442), 소스 패드(244)에 대응하는 제2 소스 패드(444), 채널 구조(246)에 대응하는 채널 구조(446), 게이트 구조(248)에 대응하는 게이트 구조(448), 및 드레인 패드(252)에 대응하는 드레인 패드(452)를 포함한다. 이에 따라, 그 상세한 설명은 생략된다.
부분(400B)은 영역(432)의 MD2 구조(454), 영역(434)의 MD1 구조(456), 전도성 구조(462, 464, 466), 및 비아 플러그(476)를 더 포함한다. MD2 구조(454)는 도 4a의 전도성 레이아웃 패턴(418)을 기초로 하여 제조되고, MD1 구조(456)는 전도성 레이아웃 패턴(414)을 기초로 하여 제조된다. 전도성 구조(462)는 전도성 레이아웃 패턴(406)을 기초로 하여 제조되고, 전도성 구조(464)는 전도성 레이아웃 패턴(408)을 기초로 하여 제조되며, 전도성 구조(466)는 파워 레일 레이아웃 패턴(134)을 기초로 하여 제조된 파워 레일의 부분이다. MD1 구조(456)와 비아 플러그(472)는 도 2b의 MD1 구조(256) 및 비아 플러그(276)에 대응하고, 이에 따라 상세한 설명은 생략된다. MD2 구조(454) 및 비아 플러그(476)는 도 3b의 MD2 구조(354) 및 비아 플러그(376)에 대응하고, 이에 따라 상세한 설명은 생략된다.
각종의 가능한 배치 또는 인접 배열을 수용하기 위해, 레이아웃 패턴(412, 414, 416, 418)은 셀 경계부(402)의 양측부에서 가능한 셀 레이아웃과 관계없이 최소 간격 레이아웃 규칙의 준수를 보장하기에 충분할 정도로 셀 경계부(402)로부터 멀리 배치된다. 도 4a 및 도 4b에 도시된 바와 같이, 셀 레이아웃(112, 123)은 셀 경계부(402)의 일측부 상에 셀 레이아웃(112)의 비아 레이아웃 패턴(412) 및 전도성 레이아웃(414)의 존재를 갖도록 그리고 셀 경계부(402)의 다른 측부 상에 셀 레이아웃(123)의 비아 레이아웃 패턴(416)과 전도성 레이아웃 패턴(418)을 갖도록 배치된다. 레이아웃 패턴(134, 412, 414, 416, 418)을 기초로 제조되는 전도성 구조(466, 472, 456, 476, 454)는 모두 서로 전기적으로 연결된다. 셀 레이아웃(112, 123)의 배치가 결정된 후에, 레이아웃 패턴(412 또는 416)을 서로 인접하도록 수정하는 것 또는 레이아웃 패턴(414 또는 418)을 서로 인접하도록 수정하는 것은 회로 계통 설계를 변경시키지 않는다. 따라서, 몇몇 실시예에서, 레이아웃 패턴(412, 414, 416, 418) 중 하나 이상은 비아 레이아웃 패턴(412, 416)을 확대된 비아 레이아웃 패턴[도 4c의 비아 레이아웃 패턴(484) 등]으로 대체하기 위해 병합되거나 크기 조절된다. 확대된 비아 레이아웃 패턴(484)은 비아 플러그(472) 또는 비아 플러그(476)보다 더 큰 영역을 차지하는 확대된 비아 플러그[도 4d의 비아 플러그(488) 등]에 대응한다.
도 4c는 몇몇 실시예에 따른, 도 4a에 도시된 시나리오를 기초로 하여 수정된 레이아웃 설계의 부분(400A')의 레이아웃 다이어그램이다. 도 4a의 구성요소와 동일하거나 유사한 도 4c의 구성요소는 동일한 참조 번호가 주어진다.
도 4a의 부분(400A)과 비교하면, 전도성 레이아웃 패턴(414)이 부분(400A')의 수정된 전도성 레이아웃 패턴(482)으로 대체된다. 몇몇 실시예에서, 수정된 전도성 레이아웃 패턴(482)은 수정된 전도성 레이아웃 패턴(482)의 에지가 셀 경계부(402)를 향해 이동하거나 전도성 레이아웃 패턴(418)과 접촉하도록 도 4a의 전도성 레이아웃 패턴(414)을 재성형하거나 이동시킴으로써 생성된다. 전도성 레이아웃 패턴(482, 418)은 또한 셀 경계부(402)에 수직인 기준선(420')을 따라 정렬된다.
또한, 도 4a의 비아 레이아웃 패턴(412, 416)은 확대된 비아 레이아웃 패턴(484)으로 대체된다. 확대된 비아 레이아웃 패턴(484)은 수정된 전도성 레이아웃 패턴(482), 전도성 레이아웃 패턴(418), 파워 레일 레이아웃 패턴(134), 및 셀 경계부(402)와 오버랩한다. 몇몇 실시예에서, 비아 레이아웃 패턴(484)은 제3 비아 플러그층에 비아 플러그를 형성하기 위해 다른 비아 레이아웃 패턴과 그룹을 이룬다.
도 4d는 수정된 레이아웃 설계를 기초로 하여 제조되는 집적 회로의 부분(400B')의 단면도이다. 부분(400B')은 도 4c의 기준선(420')에 대응하는 기준선을 따라 취한 것이다. 도 4d에서, 괄호 안의 참조 번호는 도 4c의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(400B')의 몇몇 상세는 생략된다. 도 4b의 구성요소와 동일하거나 유사한 도 4d의 구성요소는 동일한 참조 번호가 주어진다.
도 4b의 부분(400B)과 비교하면, 부분(400B')은 MD1 구조(456) 대신에 MD1 구조(486)를 그리고 비아 플러그(472, 476) 대신에 비아 플러그(488)를 포함한다. MD1 구조(486)는 도 4a의 전도성 레이아웃 패턴(482)을 기초로 하여 제조되고 MD2 구조(454)와 접촉하도록 배치된다. 비아 플러그(488)는 도 4c의 비아 레이아웃 패턴(484)를 기초로 하여 제조되고 MD1 구조(486)와 MD2 구조(454)를 전도성 구조(466)와 연결시킨다. 또한, 비아 플러그(488)는 비아 플러그(472) 또는 비아 플러그(476)보다, 또는 비아 플러그(472, 476)의 조합보다 큰 영역을 차지한다. 그 결과, 비아 플러그(488)는 수직 방향(Z)을 따라 비아 플러그(472) 또는 비아 플러그(476)보다 더 적은 전기 저항을 갖는다.
도 5a는 몇몇 실시예에 따라, 90도 만큼 시계 방향으로 회전된, 도 1의 영역(144)에 대응하는 원래의 레이아웃 설계의 부분(500A)을 도시하는 확대된 레이아웃 다이어그램이다. 도 1의 구성요소와 동일하거나 유사한 도 5a의 구성요소는 동일한 참조 번호가 주어지고, 이에 따라 그 상세한 설명은 생략된다.
부분(500A)은 셀 레이아웃(112, 125, 126), 셀 레이아웃(112, 125)과 셀 레이아웃(112, 126)을 분할하는 셀 경계부(502), 및 셀 레이아웃(125, 126)을 분할하는 셀 경계부(504)의 부분을 도시한다. 파워 레일 레이아웃 패턴(134)은 셀 경계부(502)를 따라 연장되고 셀 레이아웃(112, 125, 126) 내에 그 다양한 부분을 갖는다[즉, 셀 레이아웃(112, 125)에 의해 그리고 셀 레이아웃(112, 126)에 의해 공유됨].
셀 레이아웃(112)은 비아 레이아웃 패턴(512) 및 전도성 레이아웃 패턴(514)을 포함한다. 비아 레이아웃 패턴(512), 전도성 레이아웃 패턴(514), 및 파워 레일 레이아웃 패턴(134)는 서로 오버랩한다. 전도성 레이아웃 패턴(514)은 집적 회로의 MD1 구조를 형성하는 것에 대응한다. 비아 레이아웃 패턴(512)은 제3 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 셀 레이아웃(125)은 전도성 레이아웃 패턴(506), 전도성 레이아웃 패턴(514)과 오버랩하는 비아 레이아웃 패턴(508), 비아 레이아웃 패턴(516), 및 전도성 레이아웃 패턴(518)을 포함한다. 비아 레이아웃 패턴(516)과 전도성 레이아웃 패턴(518)은 파워 레일 레이아웃 패턴(134)과 오버랩한다. 전도성 레이아웃 패턴(506)과 파워 레일 레이아웃 패턴(134)은 집적 회로의 제1 전도성 층에 전도성 피쳐를 형성하는 것에 대응한다. 비아 레이아웃 패턴(508)은 제2 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 비아 레이아웃 패턴(516)은 제3 비아 플러그층에 비아 플러그를 형성하는 것에 대응한다. 비아 레이아웃 패턴(512, 516)은 셀 경계부(502)에 수직인 기준선(520)을 따라 정렬된다. 전도성 레이아웃 패턴(514, 518)은 또한 기준선(520)을 따라 정렬된다.
셀 레이아웃(112, 125, 126)의 다른 상세는 생략된다. 몇몇 실시예에서, 비아 레이아웃 패턴(508, 512, 516)은 동일한 치수를 갖는다.
도 5b는 몇몇 실시예에 따라 원래의 레이아웃 설계를 기초로 하여 제조된 집적 회로의 부분(500B)의 단면도이다. 부분(500B)은 도 5a에서 기준선(520)에 대응하는 기준선을 따라 취한 것이다. 도 5b에서, 괄호 안의 참조 번호는 도 5a의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(500B)의 몇몇 상세는 생략된다.
부분(500B)은 도 5a에서 셀 레이아웃(125)에 대응하는 영역(532)과 셀 레이아웃(112)에 대응하는 영역(534)을 포함한다. 기준선(536)은 셀 경계부(502)에 대응하는 위치를 가리킨다. 부분(500B)은 도 2b의 소스 패드(242)에 대응하는 제1 소스 패드(542), 소스 패드(244)에 대응하는 제2 소스 패드(544), 채널 구조(246)에 대응하는 채널 구조(546), 게이트 구조(248)에 대응하는 게이트 구조(548), 및 드레인 패드(252)에 대응하는 드레인 패드(552)를 포함한다. 이에 따라, 그 상세한 설명은 생략된다.
부분(500B)은 영역(532)의 MD1 구조(554), 영역(534)의 MD1 구조(556), 및 비아 플러그(572, 574, 576)를 더 포함한다. MD1 구조(554)는 도 5a의 전도성 레이아웃 패턴(518)을 기초로 하여 제조되고, MD1 구조(556)는 전도성 레이아웃 패턴(514)을 기초로 하여 제조된다. 전도성 구조(562)는 전도성 레이아웃 패턴(506)을 기초로 하여 제조되고, 전도성 구조(566)는 파워 레일 레이아웃 패턴(134)을 기초로 하여 제조된 파워 레일의 부분이다. 비아 플러그(572)는 비아 레이아웃 패턴(508)을 기초로 하여 제조되고, 비아 플러그(574)는 비아 레이아웃 패턴(516)을 기초로 하여 제조되며, 비아 플러그(576)는 비아 레이아웃 패턴(512)을 기초로 하여 제조된다. 전도성 구조(566)는 비아 플러그(574, 576)와 접촉하고 비아 플러그(572)와는 접촉하지 않는다.
각종의 가능한 배치 또는 인접 배열을 수용하기 위해, 레이아웃 패턴(512, 514, 516, 518)은 셀 경계부(502)의 양측부에서 가능한 셀 레이아웃과 관계없이 최소 간격 레이아웃 규칙의 준수를 보장하기에 충분할 정도로 셀 경계부(502)로부터 멀리 배치된다. 도 5a 및 도 5b에 도시된 바와 같이, 셀 레이아웃(112, 125)은 셀 경계부(502)의 일측부 상에 셀 레이아웃(112)의 비아 레이아웃 패턴(512) 및 전도성 레이아웃 패턴(514)의 존재를 갖도록 그리고 셀 경계부(502)의 다른 측부 상에 셀 레이아웃(125)의 비아 레이아웃 패턴(516)과 전도성 레이아웃 패턴(518)을 갖도록 배치된다. 레이아웃 패턴(134, 512, 514, 516, 518)을 기초로 하여 제조되는 전도성 구조(566, 576, 556, 574, 및 554)는 모두 서로 전기적으로 연결된다. 셀 레이아웃(112, 125)의 배치가 결정된 후에, 레이아웃 패턴(512 또는 516)을 서로 인접하도록 수정하는 것 또는 레이아웃 패턴(514 또는 518)을 서로 인접하도록 수정하는 것은 회로 계통 설계를 변경시키지 않는다. 따라서, 몇몇 실시예에서, 레이아웃 패턴(512, 514, 516, 518) 중 하나 이상은 비아 레이아웃 패턴(512, 516)을 확대된 비아 레이아웃 패턴[도 5c의 비아 레이아웃 패턴(584) 등]으로 대체하기 위해 병합되거나 크기 조절된다. 확대된 비아 레이아웃 패턴(584)은 비아 플러그(574) 또는 비아 플러그(576)보다 더 큰 영역을 차지하는 확대된 비아 플러그[도 5d의 비아 플러그(588) 등]에 대응한다.
도 5c는 몇몇 실시예에 따른, 도 5a에 도시된 시나리오를 기초로 하여 수정된 레이아웃 설계의 부분(500A')의 레이아웃 다이어그램이다. 도 5a의 구성요소와 동일하거나 유사한 도 5c의 구성요소는 동일한 참조 번호가 주어진다.
도 5a의 부분(500A)과 비교하면, 전도성 레이아웃 패턴(514)과 레이아웃 패턴(518)은 부분(500A')의 수정된 전도성 레이아웃 패턴(582)으로 대체된다. 몇몇 실시예에서, 수정된 전도성 레이아웃 패턴(582)은, 전도성 레이아웃 패턴(514, 518) 각각이 셀 경계부(502)를 향해 이동한 에지를 가짐으로써 전도성 레이아웃 패턴(514, 518)이 레이아웃 패턴(582)으로서 병합되도록 전도성 레이아웃 패턴(514, 518)를 재성형하거나 이동시킴으로써 생성된다. 또한, 비아 레이아웃 패턴(512, 516)은 확대된 비아 레이아웃 패턴(584)으로 대체된다. 확대된 비아 레이아웃 패턴(584)은 수정된 전도성 레이아웃 패턴(582), 파워 레일 레이아웃 패턴(134), 및 셀 경계부(502)와 오버랩한다. 몇몇 실시예에서, 비아 레이아웃 패턴(584)은 제3 비아 플러그층에 비아 플러그를 형성하기 위해 다른 비아 레이아웃 패턴과 그룹을 이룬다.
도 5d는 수정된 레이아웃 설계를 기초로 하여 제조되는 집적 회로의 부분(500B')의 단면도이다. 부분(500B')은 도 5c의 기준선(520')에 대응하는 기준선을 따라 취한 것이다. 도 5d에서, 괄호 안의 참조 번호는 도 5c의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(500B')의 몇몇 상세는 생략된다. 도 5b의 구성요소와 동일하거나 유사한 도 5d의 구성요소는 동일한 참조 번호가 주어진다.
도 5b의 부분(500B)과 비교하면, 부분(500B')은 MD1 구조(554, 556) 대신에 MD1 구조(586)를 그리고 비아 플러그(574, 576) 대신에 비아 플러그(588)를 포함한다. MD1 구조(586)는 도 5a의 전도성 레이아웃 패턴(582)을 기초로 하여 제조되고 소스 패드(542, 544)와 접촉하도록 배치된다. 비아 플러그(588)는 도 5c의 비아 레이아웃 패턴(584)를 기초로 하여 제조되고 MD1 구조(586)를 파워 레일의 일부분으로서 이용 가능한 전도성 구조(566)와 연결시킨다. 전도성 구조(566)는 비아 플러그(572)와 접촉하지 않는다. 또한, 비아 플러그(588)는 비아 플러그(574) 또는 비아 플러그(576)보다, 또는 비아 플러그(574, 576)의 조합보다 큰 영역을 차지한다. 그 결과, 비아 플러그(588)는 수직 방향(Z)을 따라 비아 플러그(574) 또는 비아 플러그(576)보다 더 적은 전기 저항을 갖는다.
도 6a는 몇몇 실시예에 따라, 90도 만큼 시계 방향으로 회전된, 도 1의 영역(145)에 대응하는 원래의 레이아웃 설계의 부분(600A)을 도시하는 확대된 레이아웃 다이어그램이다. 도 1의 구성요소와 동일하거나 유사한 도 6a의 구성요소는 동일한 참조 번호가 주어지고, 이에 따라 그 상세한 설명은 생략된다.
부분(600A)은 셀 레이아웃(112, 114, 126, 127), 셀 레이아웃(112, 126)과 셀 레이아웃(114, 127)을 분할하는 셀 경계부(602), 및 셀 레이아웃(112, 124)과 셀 레이아웃(126, 127)을 분할하는 셀 경계부(604)의 부분을 도시한다. 파워 레일 레이아웃 패턴(134)은 셀 경계부(602)를 따라 연장되고 셀 레이아웃(112, 114, 126, 127) 내에 그 다양한 부분을 갖는다[즉, 셀 레이아웃(112, 126)에 의해 그리고 셀 레이아웃(114, 127)에 의해 공유됨].
셀 레이아웃(127)은 전도성 레이아웃 패턴(606, 608), 비아 레이아웃 패턴(616) 및 전도성 레이아웃 패턴(618)을 포함한다. 셀 레이아웃(114)은 전도성 레이아웃 패턴(609), 비아 레이아웃 패턴(612), 및 전돗헝 레이아웃 패턴(614)을 포함한다. 비아 레이아웃 패턴(616), 전도성 레이아웃 패턴(618), 및 파워 레일 레이아웃 패턴(134)는 서로 오버랩한다. 비아 레이아웃 패턴(612), 전도성 레이아웃 패턴(614), 및 파워 레일 레이아웃 패턴(134)은 서로 오버랩한다. 전도성 레이아웃 패턴(614, 618)은 셀 경계부(602)에서 서로 접촉한다. 전도성 레이아웃 패턴(614, 618)은 집적 회로의 MD2 구조를 형성하는 것에 대응한다. 비아 레이아웃 패턴(612, 616)은 제4 비아 플러그층에 2개의 대응하는 비아 플러그들을 형성하는 것에 대응한다. 비아 레이아웃 패턴(612, 616)은 셀 경계부(602)에 수직인 기준선(620)을 따라 정렬된다. 전도성 레이아웃 패턴(614, 618)은 또한 기준선(620)을 따라 정렬된다.
셀 레이아웃(112, 114, 126, 127)의 다른 상세는 생략된다. 몇몇 실시예에서, 비아 레이아웃 패턴(612, 616)은 동일한 치수를 갖는다.
도 6b는 몇몇 실시예에 따라 원래의 레이아웃 설계를 기초로 하여 제조된 집적 회로의 부분(600B)의 단면도이다. 부분(600B)은 도 6a에서 기준선(620)에 대응하는 기준선을 따라 취한 것이다. 도 6b에서, 괄호 안의 참조 번호는 도 6a의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(600B)의 몇몇 상세는 생략된다.
부분(600B)은 도 6a에서 셀 레이아웃(127)에 대응하는 영역(632)과 셀 레이아웃(114)에 대응하는 영역(634)을 포함한다. 기준선(636)은 셀 경계부(602)에 대응하는 위치를 가리킨다. 부분(600B)은 도 2b의 소스 패드(242)에 대응하는 제1 소스 패드(642), 소스 패드(244)에 대응하는 제2 소스 패드(644), 채널 구조(246)에 대응하는 영역(632)의 채널 구조(646)와 영역(634)의 채널 구조(647), 게이트 구조(248)에 대응하는 영역(632)의 게이트 구조(648)와 영역(634)의 게이트 구조(649), 및 드레인 패드(252)에 대응하는 영역(632)의 드레인 패드(652)와 영역(634)의 드레인 패드(653)를 포함한다. 이에 따라, 그 상세한 설명은 생략된다.
부분(600B)은 영역(632, 634)을 통해 연장되는 MD2 구조(654), 전도성 구조(662, 664, 666, 668), 및 비아 플러그(672, 676)를 더 포함한다. MD2 구조(654)는 도 6a의 전도성 레이아웃 패턴(614, 618)을 기초로 하여 제조된다. 전도성 구조(662)는 전도성 레이아웃 패턴(606)을 기초로 하여 제조되고, 전도성 구조(664)는 전도성 레이아웃 패턴(608)을 기초로 하여 제조되며, 전도성 구조(666)는 전도성 레이아웃 패턴(609)을 기초로 하여 제조된다. 전도성 구조(668)는 파워 레일 레이아웃 패턴(134)을 기초로 하여 제조된 파워 레일의 부분이다. 비아 플러그(672)는 비아 레이아웃 패턴(612)을 기초로 하여 제조되고, 비아 플러그(676)는 비아 레이아웃 패턴(616)을 기초로 하여 제조된다.
각종의 가능한 배치 또는 인접 배열을 수용하기 위해, 레이아웃 패턴(612, 616)은 셀 경계부(602)의 양측부에서 가능한 셀 레이아웃과 관계없이 최소 간격 레이아웃 규칙의 준수를 보장하기에 충분할 정도로 셀 경계부(602)로부터 멀리 배치된다. 도 6a 및 도 6b에 도시된 바와 같이, 셀 레이아웃(114, 127)은 셀 경계부(602)의 일 측부 상에 셀 레이아웃(114)의 비아 레이아웃 패턴(612) 및 전도성 레이아웃 패턴(614)의 존재를 갖도록 그리고 셀 경계부(602)의 다른 측부 상에 셀 레이아웃(127)의 비아 레이아웃 패턴(616)과 전도성 레이아웃 패턴(618)을 갖도록 배치된다. 레이아웃 패턴(134, 612, 614, 616, 618)을 기초로 하여 제조되는 전도성 구조(668, 672, 654, 676)는 모두 서로 전기적으로 연결된다. 셀 레이아웃(114, 127)의 배치가 결정된 후에, 레이아웃 패턴(612 또는 616)을 서로 인접하도록 수정하는 것은 회로 계통 설계를 변경시키지 않는다. 따라서, 몇몇 실시예에서, 레이아웃 패턴(612, 616) 중 하나 이상은 비아 레이아웃 패턴(612, 616)을 확대된 비아 레이아웃 패턴[도 6c의 비아 레이아웃 패턴(684) 등]으로 대체하기 위해 병합되거나 크기 조절된다. 확대된 비아 레이아웃 패턴(684)은 비아 플러그(672) 또는 비아 플러그(676)보다 더 큰 영역을 차지하는 확대된 비아 플러그[도 6d의 비아 플러그(688) 등]에 대응한다.
도 6c는 몇몇 실시예에 따른, 도 6a에 도시된 시나리오를 기초로 하여 수정된 레이아웃 설계의 부분(600A')의 레이아웃 다이어그램이다. 도 6a의 구성요소와 동일하거나 유사한 도 6c의 구성요소는 동일한 참조 번호가 주어진다.
도 6a의 부분(600A)과 비교하면, 비아 레이아웃 패턴(612, 616)은 확대된 비아 레이아웃 패턴(684)으로 대체된다. 확대된 레이아웃 패턴(684)은 전도성 레이아웃 패턴(614, 618), 파워 레일 레이아웃 패턴(134), 및 셀 경계부(602)와 오버랩한다. 몇몇 실시예에서, 비아 레이아웃 패턴(684)은 제3 비아 플러그층에 비아 플러그를 형성하기 위해 다른 비아 레이아웃 패턴과 그룹을 이룬다. 몇몇 실시예에서, 비아 레이아웃 패턴(684)은 제4 비아 플러그층에 비아 플러그를 형성하기 위해 다른 비아 레이아웃 패턴과 그룹을 이룬다.
도 6d는 수정된 레이아웃 설계를 기초로 하여 제조되는 집적 회로의 부분(600B')의 단면도이다. 부분(600B')은 도 6c의 기준선(620')에 대응하는 기준선을 따라 취한 것이다. 도 6d에서, 괄호 안의 참조 번호는 도 6c의 대응하는 상대 부분을 가리킨다. 집적 회로의 부분(600B')의 몇몇 상세는 생략된다. 도 6b의 구성요소와 동일하거나 유사한 도 6d의 구성요소는 동일한 참조 번호가 주어진다.
도 6b의 부분(600B)과 비교하면, 부분(600B')은 비아 플러그(672, 676)를 대신하여 비아 플러그(688)를 포함한다. 비아 플러그(688)는 도 6c의 비아 레이아웃 패턴(684)를 기초로 하여 제조되고 MD2 구조(654)를 전도성 구조(668)와 연결시킨다. 또한, 비아 플러그(688)는 비아 플러그(672) 또는 비아 플러그(676)보다, 또는 비아 플러그(672, 676)의 조합보다 큰 영역을 차지한다. 그 결과, 비아 플러그(688)는 수직 방향(Z)을 따라 비아 플러그(672) 또는 비아 플러그(676)보다 더 적은 전기 저항을 갖는다.
도 7a는 몇몇 실시예에 따른, 비아 플러그(276, 376, 472, 476, 574, 576, 672 또는 676)에 대응하는 예시적인 비아 플러그(700A)의 단면도이다. 비아 플러그(700A)는 상부면(702)과 하부면(704)을 포함하고 층간 유전체 재료 구조(706)에 의해 둘러싸인다. 상부면(702)은 전도성 피쳐(266, 366, 466, 566 또는 668) 등의 상부에 있는 대응하는 전도성 피쳐와 접촉하도록 구성된다. 하부면(704)은 전도성 피쳐(256, 354, 454, 456, 554, 556, 또는 654) 등의 하부에 있는 대응하는 전도성 피쳐와 접촉하도록 구성된다.
비아 플러그(700A)는 코어 부분(712)과 배리어층(714)을 더 포함한다. 몇몇 실시예에서, 코어 부분(712)은 알루미늄, 구리, 텅스텐, 금속 합금, 또는 다른 적절한 재료를 포함하는 재료를 포함한다. 몇몇 실시예에서, 배리어층(714)은 탄탈 질화물, 텅스텐 질화물, 티타늄 질화물, 또는 다른 적절한 재료를 포함하는 재료를 포함한다. 몇몇 실시예에서, 배리어층(714)은 코어 부분(712)의 저항보다 큰 저항을 갖는다.
도 7b는 몇몇 실시예에 따른, 도 7a의 예시적인 비아 플러그(700A)의 평면도이다. 비아 플러그(700A)는 폭(W1)과 길이(L1)를 갖는 직사각형 또는 정사각형 형상을 갖는다. 몇몇 실시예에서, 폭(W1)과 길이(L1)는 대응하는 레이아웃 설계를 실행하는 데에 사용되는 예정된 기술 노드를 기초로 하여 선택된다. 몇몇 실시예에서, 폭(W1) 또는 길이(L1)의 범위는 7 nm 기술 노드 내지 20 nm 기술 노드의 제조 프로세스를 위한 1 nm 내지 30 nm이다. 배리어층(714)은 코어 부분(712) 내의 재료가 층간 유전체 재료 구조(706; 도 7a 또는 726; 도 7c)로 이동하는 것을 방지하기에 충분한 두께(T1)를 갖는다. 몇몇 실시예에서, 두께(T1)는 15 nm 미만이다. 몇몇 실시예에서, 두께(T1)는 5 nm 내지 10 nm의 범위이다. 다른 수치의 폭(W1), 길이(L1) 및 두께(T1)도 본 개시의 범위 내에 있다. 코어 부분(712)과 비교하면, 배리어층(714)은 높은 저항 영역이다. 몇몇 실시예에서, 더 작은 두께(T1)는 비아 플러그(700A)에서 더 작은 저항을 초래한다. 몇몇 실시예에서, 배리어층(714)의 가능한 가장 작은 두께는 프로세스 제약을 기초로 하여 결정될 수 있다. 몇몇 실시예에서, 비아 플러그(700A)는 직사각형 또는 정사각형 형상과 상이한 형상을 갖는다.
도 7c는 몇몇 실시예에 따른, 비아 플러그(286, 386, 488, 588 또는 688)에 대응하는 예시적인 비아 플러그(700B)의 단면도이다. 비아 플러그(700B)는 상부면(722)과 하부면(724)을 포함하고 층간 유전체 재료 구조(726)에 의해 둘러싸인다. 상부면(722)은 전도성 피쳐(266, 366, 466, 566 또는 668) 등의 상부에 있는 대응하는 전도성 피쳐와 접촉하도록 구성된다. 하부면(724)은 전도성 피쳐(256, 354, 454, 486, 586 또는 654) 등의 하부에 있는 대응하는 전도성 피쳐와 접촉하도록 구성된다.
비아 플러그(700B)는 코어 부분(732)과 배리어층(734)을 더 포함한다. 코어 부분(732)과 배리어층(734)은 도 7a의 코어 부분(702)과 배리어층(704)에 대응하고, 그 상세한 설명은 생략된다.
도 7d는 몇몇 실시예에 따른 도 7c의 예시적인 비아 플러그(700B)의 평면도이다. 비아 플러그(700B)는 폭(W2)과 길이(L2)를 갖는 직사각형 또는 정사각형 형상을 갖는다. 몇몇 실시예에서, 폭(W2)과 길이(L2)는 대응하는 레이아웃 설계를 실행하는 데에 사용되는 예정된 기술 노드를 기초로 하여 선택된다. 몇몇 실시예에서, 폭(W2) 또는 길이(L2)의 범위는 15 nm 내지 50 nm이다. 몇몇 실시예에서, 배리어층(734)은 배리어층(714)의 재료와 유사한 재료 및 두께(T1)와 오버랩하는 범위를 갖는 두께(T2)를 갖는다. 다른 수치의 폭(W2), 길이(L2) 및 두께(T2)도 본 개시의 범위 내에 있다.
몇몇 실시예에서, 폭(W2) 대 폭(W1)의 비율 또는 길이(L2) 대 길이(L1)의 비율은 1.5 내지 1.7의 범위이다. 몇몇 실시예에서, 비율이 1.7보다 큰 경우, 최소 간격 레이아웃 규칙을 위반하는 일 없이 확대된 비아 플러그(700B)를 수용하기에는 공간이 불충분하다. 몇몇 실시예에서, 비율이 1.5 미만인 경우, 비아 플러그(700A)를 크기 조절하는 데에 소비되는 추가 시간 또는 연산 리소스를 정당화시키기에 성능 개선이 불충분하다. 몇몇 실시예에서, 비아 플러그(700A)에 대응하는 비아 레이아웃 패턴은 12×12 nm의 치수를 갖고, 확대된 비아 플러그(700B)에 대응하는 비아 레이아웃 패턴은 18×18 nm, 18×20 nm, 또는 20×20 nm의 치수를 갖는다. 확대된 비아 플러그의 폭 및 길이의 다른 수치의 비율 및 치수도 본 개시의 범위 내에 있다. 몇몇 실시예에서, 비아 플러그(700B), 즉 대응하는 확대된 비아 레이아웃 패턴이 차지하는 영역 대 비아 플러그(700A), 즉 대응하는 비아 레이아웃 패턴이 차지하는 영역의 비율은 2.25(1.5×1.5) 내지 2.89(1.7×1.7)에 달한다.
도 8은 몇몇 실시예에 따른, 집적 회로를 제조하기 위한 마스크 세트를 형성하는 방법(800)의 흐름도이다. 추가의 공정(operation)이 도 8에 도시된 방법(800) 전에, 중에, 및/또는 후에 수행될 수 있고, 몇몇의 다른 프로세스가 오직 간략하게 본 명세서에 설명될 수 있다는 것이 이해된다.
프로세스는 원래의 레이아웃 설계(8112)가 얻어지는 공정(810)으로 시작한다. 몇몇 실시예에서, 원래의 레이아웃 설계(812)는 컴퓨터 판독 가능한 비일시적인 저장 디바이스에 저장된다. 몇몇 실시예에서, 원래의 레이아웃 설계(812)는 그래픽 데이터베이스 시스템(GDS; Graphic Database System) 포맷 또는 GDSII 포맷와 호환 가능한 포맷으로 저장된다.
프로세스는 원래의 레이아웃 설계(812)에서의 하나 이상의 예정된 레이아웃 시나리오의 존재가 결정되는 공정(820)으로 진행된다. 하나 이상의 예정된 레이아웃 시나리오는 도 2a, 도 3a, 도 4a, 도 5a, 및/또는 도 6a와 함께 설명된 시나리오들 중 하나 이상을 포함한다.
프로세스는 원래의 레이아웃 설계(812)가 다양한 레이아웃 시나리오에 대응하는 예정된 규칙의 하나 이상의 세트를 기초로 하여 수정되는 공정(830)으로 진행된다. 예정된 규칙의 하나 이상의 세트는 도 2c, 도 3c, 도 4c, 도 5c, 및/또는 도 6c와 함께 설명된 레이아웃 패턴 수정들 중 하나 이상을 포함한다.
프로세스는 원래의 레이아웃 설계(812)가 하나 이상의 로직 연산(LOP; logical operation) 규칙 및/또는 광 근접도 보정(optical proximity correction) 규칙을 기초로 하여 더 수정된다. 수정된 원래의 레이아웃 설계는 수정된 레이아웃 설계(842)로서 컴퓨터 판독 가능한 비일시적 저장 디바이스에 저장된다. 몇몇 실시예에서, 수정된 레이아웃 설계(842)는 그래픽 데이터베이스 시스템(GDS) 포맷 또는 GDSII 포맷와 호환 가능한 포맷으로 저장된다.
몇몇 실시예에서, 공정(810, 820, 830, 840)은 LOP 툴에 의해 수행되고, 이에 따라 공정(820, 830)은 원래의 레이아웃 설계에서 LOP의 수행과 함께 수행된다. 몇몇 실시예에서, 공정(810, 820, 830, 840)은 OPC 툴에 의해 수행되고, 이에 따라, 공정(820, 830)은 원래의 레이아웃 설계에서 OPC의 수행과 함께 수행된다. 몇몇 실시예에서, 공정(820, 830)은 LOP 툴 또는 OPC 툴과 상이한 소프트웨어 툴을 실행함으로써 수행된다.
프로세스는 마스크 세트가 수정된 레이아웃 설계(842)를 기초로 하여 형성되는 공정(850)으로 진행된다. 몇몇 실시예에서, 공정(850)은 다중 패터닝 프로세스에 의해 수정된 레이아웃 설계(842)에서의 대응하는 레이아웃 패턴에 의해 나타낸 바와 같이 다양한 피쳐를 형성하기에 적절한 마스크 세트를 준비하기 위해 수정된 레이아웃 설계(842)에서 착색 프로세스(coloring process)를 수행하는 것을 포함한다.
도 9는 몇몇 실시예에 따른, 마스크 세트를 형성하는 데에 이용 가능한 레이아웃 설계를 수정하는 시스템(900)의 기능 블록도이다. 시스템(900)은 도 8에 개시된 방법(800)의 하나 이상의 공정을 수행하는 데에 이용될 수 있고, 도 1 내지 도 6b와 함께 더 설명된다.
시스템(900)은 제1 컴퓨터 시스템(910), 제2 컴퓨터 시스템(920), 네트워크 저장 디바이스(930), 및 제1 컴퓨터 시스템(910), 제2 컴퓨터 시스템(920), 및 네트워크 저장 디바이스(930)를 연결하는 네트워크(940)를 포함한다. 몇몇 실시예에서, 제2 컴퓨터 시스템(920), 저장 디바이스(930), 및 네트워크(940) 중 하나 이상이 생략된다.
제1 컴퓨터 시스템(910)은 명령어 세트(914a), 원래의 레이아웃 설계(914b)[원래의 레이아웃 설계(812) 등], 명령어 세트(914a)를 실행하기 위한 임의의 중간 데이터(914c), 또는 수정된 레이아웃 설계(914d)[수정된 레이아웃 설계(842) 등]가 인코딩된(즉, 저장하는) 비일시적 컴퓨터 판독 가능한 저장 매체(914)와 통신 연결된 하드웨어 프로세서(912)를 포함한다. 프로세서(912)는 컴퓨터 판독 가능한 저장 매체(914)와 전기적으로 그리고 통신적으로 연결된다. 프로세서(912)는 컴퓨터(910)가 도 8 및 도 1 내지 도 6b와 함께 설명되는 방법(800)을 수행하기 위한 레이아웃 점검 툴로서 이용될 수 있게 하기 위해 컴퓨터 판독 가능한 저장 매체(914)에 인코딩되는 명령어 세트(914a)를 실행하도록 구성된다.
몇몇 실시예에서, 명령어 세트(914a), 레이아웃 설계(914b), 중간 데이터(914c), 또는 수정된 레이아웃 설계(914d)는 저장 매체(914) 외에 비일시적 저장 매체에 저장된다. 몇몇 실시예에서, 명령어 세트(914a), 레이아웃 설계(914b), 중간 데이터(914c), 또는 수정된 레이아웃 설계(914d)의 일부 또는 전부는 네트워크 저장 디바이스(930) 또는 제2 컴퓨터 시스템(920)의 비일시적 저장 매체에 저장된다. 그러한 경우에, 컴퓨터(910) 외측에 저장된 명령어 세트(914a), 레이아웃 설계(914b), 중간 데이터(914c), 또는 수정된 레이아웃 설계(914d)의 일부 또는 전부는 네트워크(940)를 통해 프로세서(912)에 의해 엑세스 가능하다.
몇몇 실시예에서, 프로세서(912)는 중앙 처리 유닛(CPU; central processing unit), 다중 프로세서, 분산형 처리 시스템, 주문형 반도체(ASIC; application specific integrated circuit), 및/또는 적절한 처리 유닛이다.
몇몇 실시예에서, 컴퓨터 판독 가능한 저장 매체(914)는 전자적, 자기적, 광학적, 광자기적, 적외선, 및/또는 반도체 시스템(또는 장치 또는 디바이스)이다. 예컨대, 컴퓨터 판독 가능한 저장 매체(914)는 반도체 또는 고체 상태 메모리, 자기 테이프, 착탈식 컴퓨터 디스켓, 랜덤 엑세스 메모리(RAM; random access memory), 리드 온리 메모리(ROM; read-only memory), 강성 자기 디스크, 및/또는 광 디스크를 포함한다. 광 디스크를 이용하는 몇몇 실시예에서, 컴퓨터 판독 가능한 저장 매체(914)는 콤팩트 디스크-리드 온리 메모리(CD-ROM), 콤팩트 디스크-읽기/쓰기(CD-R/W), 및/또는 디지털 비디오 디스크(DVD; digital video disc)를 포함한다.
컴퓨터 시스템(910)은, 적어도 몇몇 실시예에서, 입력/출력 인터페이스(916) 및 디스플레이 유닛(917)을 포함한다. 입력/출력 인터페이스(916)는 프로세서(912)에 연결되고 회로 설계자가 제1 컴퓨터 시스템(910)을 조작하게 한다. 적어도 몇몇 실시예에서, 디스플레이 유닛(917)은 명령어 세트(914a)를 실행하는 상태를 디스플레이하고, 적어도 몇몇 실시예에서, 그래픽 유저 인터페이스(GUI; Graphical User Interface)를 제공한다. 적어도 몇몇 실시예에서, 디스플레이 유닛(917)은 실시간 방식으로 명령어 세트(914a)를 실행하는 상태를 디스플레이한다. 적어도 몇몇 실시예에서, 입력/출력 인터페이스(916) 및 디스플레이(917)는 작업자가 컴퓨터 시스템(910)을 문답 방식(interactive manner)으로 작동하게 한다.
적어도 몇몇 실시예에서, 컴퓨터 시스템(900)은 또한 프로세서(912)에 연결되는 네트워크 인터페이스(918)를 포함한다. 네트워크 인터페이스(918)는 컴퓨터 시스템(910)이 하나 이상의 다른 컴퓨터 시스템이 접속된 네트워크(940)와 통신하게 한다. 네트워크 인터페이스는 BLUETOOTH, WIFI, WIMAX, GPRS, 또는 WCDMA 등의 무선 네트워크 인터페이스, 또는 ETHERNET, USB, 또는 IEEE-1394 등의 유선 네트워크 인터페이스를 포함한다.
일 실시예에 따르면, 집적 회로를 제조하기 위한 마스크 세트를 형성하는 방법은, 원래의 레이아웃 설계에서 제1 비아 레이아웃 패턴과 파워 레일 레이아웃 패턴의 존재를 판별하는 단계를 포함한다. 제1 비아 레이아웃 패턴과 파워 레일 레이아웃 패턴은 서로 오버랩한다. 제1 비아 레이아웃 패턴은 원래의 레이아웃 설계의 제1 셀 레이아웃의 일부이다. 파워 레일 레이아웃 패턴은 원래의 레이아웃 설계의 제1 셀 레이아웃과 제2 셀 레이아웃에 의해 공유되며 제1 셀 레이아웃과 상기 제2 셀 레이아웃 사이에서 셀 경계부를 따라 연장된다. 제1 비아 레이아웃 패턴은 집적 회로의 제1 비아 플러그층에 대응하며, 공유된 파워 레일 레이아웃 패턴은 제1 비아 플러그층 위의 집적 회로의 제1 전도성 층에 대응한다. 방법은 원래의 레이아웃 설계를 수정된 레이아웃 설계가 되도록 수정하는 단계, 및 수정된 레이아웃 설계를 기초로 하여 마스크 세트를 형성하는 단계를 포함한다. 원래의 레이아웃 설계를 수정하는 단계는, 제1 비아 레이아웃 패턴과 파워 레일 레이아웃 패턴이 원래의 레이아웃 설계에 존재하면, 제1 비아 레이아웃 패턴을 확대된 비아 레이아웃 패턴으로 대체하는 단계를 포함한다. 확대된 비아 레이아웃 패턴은 제1 비아 레이아웃 패턴이 차지하는 영역보다 큰 영역을 차지한다.
다른 실시예에 따르면, 집적 회로를 제조하기 위한 마스크 세트를 형성하는 방법은, 원래의 레이아웃 설계에서 제1 전도성 레이아웃 패턴, 제1 전도성 레이아웃 패턴과 오버랩하는 제1 비아 레이아웃 패턴, 제2 전도성 레이아웃 패턴, 제2 전도성 레이아웃 패턴과 오버랩하는 제2 비아 레이아웃 패턴, 및 파워 레일 레이아웃 패턴의 존재를 판별하는 단계를 포함한다. 파워 레일 레이아웃 패턴은, 제1 전도성 레이아웃 패턴, 제1 비아 레이아웃 패턴, 제2 전도성 레이아웃 패턴, 및 제2 비아 레이아웃 패턴과 오버랩한다. 제1 비아 레이아웃 패턴과 제1 전도성 레이아웃 패턴은 원래의 레이아웃 설계의 제1 셀 레이아웃의 일부이다. 제2 비아 레이아웃 패턴과 제2 전도성 레이아웃 패턴은 원래의 레이아웃 설계의 제2 셀 레이아웃의 일부이다. 파워 레일 레이아웃 패턴은 제1 셀 레이아웃과 제2 셀 레이아웃에 의해 공유되며 제1 셀 레이아웃과 제2 셀 레이아웃 사이의 셀 경계부를 따라 연장된다. 제1 전도성 레이아웃 패턴과 제2 전도성 레이아웃 패턴은 제1 셀 레이아웃과 제2 셀 레이아웃 사이의 셀 경계부에 수직인 방향을 따라 정렬된다. 방법은, 원래의 레이아웃 설계를 수정된 레이아웃 설계가 되도록 수정하는 단계; 및 수정된 레이아웃 설계를 기초로 하여 마스크 세트를 형성하는 단계를 포함한다. 원래의 레이아웃 설계를 수정하는 단계는, 제1 비아 레이아웃 패턴, 제1 비아 레이아웃 패턴, 제2 전도성 레이아웃 패턴, 제2 비아 레이아웃 패턴, 및 파워 레일 레이아웃 패턴이 원래의 레이아웃 설계에 존재하면, 제1 전도성 레이아웃 패턴을 제2 전도성 레이아웃 패턴에 인접하는 수정된 제1 전도성 레이아웃 패턴으로 대체하는 것; 제2 전도성 레이아웃 패턴을 제1 전도성 레이아웃 패턴에 인접하는 수정된 제2 전도성 레이아웃 패턴으로 대체하는 것; 또는 제1 전도성 레이아웃 패턴과 제2 전도성 레이아웃 패턴을, 제1 전도성 레이아웃 패턴과 제2 전도성 레이아웃 패턴이 차지하는 영역들과 오버랩하는 병합된 전도성 레이아웃 패턴으로 대체하는 것 중 적어도 하나를 수행하는 단계를 포함한다.
다른 실시예에 따르면, 집적 회로는, 제1 셀 영역과 제2 셀 영역 위의 제1 비아 플러그층; 및 제1 비아 플러그층 위의 제1 전도성 층을 포함한다. 제1 비아 플러그층은, 제1 셀 영역과 제2 셀 영역이 서로 인접하는 기준 경계부와 오버랩하는 제1 비아 플러그; 및 제1 셀 영역 내의 제2 비아 플러그를 포함한다. 제1 비아 플러그는 제2 비아 플러그가 차지하는 영역보다 큰 영역을 차지한다. 제1 전도성 층은, 기준 경계부와 오버랩하고 기준 경계부를 따라 연장되는 파워 레일을 포함하고, 파워 레일은 제1 비아 플러그와 접촉하고 제2 비아 플러그와는 접촉하지 않는다.
전술한 내용은 당업자가 본 개시의 양태를 더욱 잘 이해할 수 있도록 여러 개의 실시예들의 특징을 개설하고 있다. 당업자라면 본 명세서에서 소개된 실시예들의 동일한 목적을 수행하고 및/또는 동일한 이점을 달성하기 위해 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기초로서 본 개시를 쉽게 이용할 수 있다는 것을 알아야 한다. 당업자라면 또한 그러한 균등한 구성이 본 개시의 사상 및 범위로부터 벗어나지 않고, 본 개시의 사상 및 범위로부터 벗어남이 없이 본 명세서에 다양한 변화, 대체 및 변경이 이루어질 수 있다는 것을 알아야 한다.

Claims (10)

  1. 집적 회로를 제조하기 위한 마스크 세트를 형성하는 방법에 있어서,
    원래의 레이아웃 설계에서 제1 비아 레이아웃 패턴과 파워 레일 레이아웃 패턴의 존재를 판별하는 판별 단계로서, 상기 제1 비아 레이아웃 패턴과 상기 파워 레일 레이아웃 패턴은 서로 오버랩하고, 상기 제1 비아 레이아웃 패턴은 상기 원래의 레이아웃 설계의 제1 셀 레이아웃의 일부이고, 상기 파워 레일 레이아웃 패턴은 상기 원래의 레이아웃 설계의 제1 셀 레이아웃과 제2 셀 레이아웃에 의해 공유되며 상기 제1 셀 레이아웃과 상기 제2 셀 레이아웃 사이에서 셀 경계부를 따라 연장되고, 상기 제1 비아 레이아웃 패턴은 상기 집적 회로의 제1 비아 플러그층에 대응하며, 공유되는 파워 레일 레이아웃 패턴은 상기 제1 비아 플러그층 위의 집적 회로의 제1 전도성 층에 대응하는 것인, 판별 단계;
    상기 원래의 레이아웃 설계를 수정된 레이아웃 설계가 되도록 수정하는 수정 단계; 및
    상기 수정된 레이아웃 설계를 기초로 하여 마스크 세트를 형성하는 단계
    를 포함하고, 상기 수정 단계는,
    상기 제1 비아 레이아웃 패턴과 상기 파워 레일 레이아웃 패턴이 상기 원래의 레이아웃 설계에 존재하면, 상기 제1 비아 레이아웃 패턴을 확대된 비아 레이아웃 패턴으로 대체하는 대체 단계를 포함하고, 상기 확대된 비아 레이아웃 패턴은 상기 제1 비아 레이아웃 패턴이 차지하는 영역보다 큰 영역을 차지하는 것인 마스크 세트의 형성 방법.
  2. 제1항에 있어서,
    상기 원래의 레이아웃 설계에서 제2 비아 레이아웃 패턴의 존재를 판별하는 판별 단계를 더 포함하고,
    상기 제2 비아 레이아웃 패턴과 상기 파워 레일 레이아웃 패턴은 서로 오버랩하며, 상기 제2 비아 레이아웃 패턴은 제2 셀 레이아웃의 일부이고,
    상기 원래의 레이아웃 설계를 수정하는 수정 단계는,
    상기 제2 비아 레이아웃 패턴이 상기 원래의 레이아웃 설계에 존재하면, 상기 제2 비아 레이아웃 패턴을 확대된 비아 레이아웃 패턴으로 대체하는 대체 단계를 더 포함하고, 상기 확대된 비아 레이아웃 패턴은 상기 제1 셀 레이아웃과 상기 제2 셀 레이아웃 사이의 셀 경계부와 오버랩하는 것인 마스크 세트의 형성 방법.
  3. 제1항에 있어서, 상기 제1 비아 레이아웃 패턴을 확대된 비아 레이아웃 패턴으로 대체하는 대체 단계는, 최소 성능 개선 또는 최소 간격 레이아웃 규칙 중 적어도 하나를 기초로 하여, 상기 확대된 비아 레이아웃 패턴이 차지하는 영역 대 상기 제1 비아 레이아웃 패턴이 차지하는 영역의 비율을 적용하는 단계를 포함하는 것인 마스크 세트의 형성 방법.
  4. 집적 회로를 제조하기 위한 마스크 세트를 형성하는 방법에 있어서,
    원래의 레이아웃 설계에서 제1 전도성 레이아웃 패턴, 상기 제1 전도성 레이아웃 패턴과 오버랩하는 제1 비아 레이아웃 패턴, 제2 전도성 레이아웃 패턴, 상기 제2 전도성 레이아웃 패턴과 오버랩하는 제2 비아 레이아웃 패턴, 및 파워 레일 레이아웃 패턴의 존재를 판별하는 판별 단계로서, 상기 파워 레일 레이아웃 패턴은, 상기 제1 전도성 레이아웃 패턴, 상기 제1 비아 레이아웃 패턴, 상기 제2 전도성 레이아웃 패턴, 및 상기 제2 비아 레이아웃 패턴과 오버랩하고, 상기 제1 비아 레이아웃 패턴과 상기 제1 전도성 레이아웃 패턴은 상기 원래의 레이아웃 설계의 제1 셀 레이아웃의 일부이며, 상기 제2 비아 레이아웃 패턴과 상기 제2 전도성 레이아웃 패턴은 상기 원래의 레이아웃 설계의 제2 셀 레이아웃의 일부이고, 상기 파워 레일 레이아웃 패턴은 상기 제1 셀 레이아웃과 상기 제2 셀 레이아웃에 의해 공유되며 상기 제1 셀 레이아웃과 상기 제2 셀 레이아웃 사이의 셀 경계부를 따라 연장되며, 상기 제1 전도성 레이아웃 패턴과 상기 제2 전도성 레이아웃 패턴은 상기 제1 셀 레이아웃과 상기 제2 셀 레이아웃 사이의 상기 셀 경계부에 수직인 방향을 따라 정렬되는 것인, 판별 단계;
    상기 원래의 레이아웃 설계를 수정된 레이아웃 설계가 되도록 수정하는 수정 단계; 및
    상기 수정된 레이아웃 설계를 기초로 하여 마스크 세트를 형성하는 단계
    를 포함하고, 상기 수정 단계는,
    상기 제1 전도성 레이아웃 패턴, 상기 제1 비아 레이아웃 패턴, 상기 제2 전도성 레이아웃 패턴, 상기 제2 비아 레이아웃 패턴, 및 상기 파워 레일 레이아웃 패턴이 상기 원래의 레이아웃 설계에 존재하면,
    상기 제1 전도성 레이아웃 패턴을 상기 제2 전도성 레이아웃 패턴에 인접하는 수정된 제1 전도성 레이아웃 패턴으로 대체하는 것;
    상기 제2 전도성 레이아웃 패턴을 상기 제1 전도성 레이아웃 패턴에 인접하는 수정된 제2 전도성 레이아웃 패턴으로 대체하는 것; 또는
    상기 제1 전도성 레이아웃 패턴과 상기 제2 전도성 레이아웃 패턴을, 상기 제1 전도성 레이아웃 패턴과 상기 제2 전도성 레이아웃 패턴이 차지하는 영역들과 오버랩하는 병합된 전도성 레이아웃 패턴으로 대체하는 것 중 적어도 하나를 수행하는 단계를 포함하는 것인 마스크 세트의 형성 방법.
  5. 제4항에 있어서, 상기 원래의 레이아웃 설계를 수정하는 수정 단계는,
    상기 제1 비아 레이아웃 패턴과 상기 제2 비아 레이아웃 패턴을 확대된 비아 레이아웃 패턴으로 대체하는 단계를 더 포함하고, 상기 확대된 비아 레이아웃 패턴은 상기 제1 셀 레이아웃과 상기 제2 셀 레이아웃 사이의 셀 경계부와 오버랩하며, 그리고
    상기 수정된 제1 전도성 레이아웃 패턴과 상기 제2 전도성 레이아웃 패턴;
    상기 수정된 제2 전도성 레이아웃 패턴과 상기 제1 전도성 레이아웃 패턴; 또는
    상기 병합된 전도성 레이아웃 패턴 중 적어도 하나와 오버랩하는 것인 마스크 세트의 형성 방법.
  6. 집적 회로에 있어서,
    제1 셀 영역과 제2 셀 영역 위의 제1 비아 플러그층; 및
    상기 제1 비아 플러그층 위의 제1 전도성 층
    을 포함하고, 상기 제1 비아 플러그층은,
    상기 제1 셀 영역과 상기 제2 셀 영역이 서로 인접하는 기준 경계부와 오버랩하는 제1 비아 플러그; 및
    상기 제1 셀 영역 내의 제2 비아 플러그
    를 포함하며, 상기 제1 비아 플러그는 상기 제2 비아 플러그가 차지하는 영역보다 큰 영역을 차지하고, 상기 제1 전도성 층은,
    상기 기준 경계부와 오버랩하고 상기 기준 경계부를 따라 연장되는 파워 레일
    을 포함하며, 상기 파워 레일은 상기 제1 비아 플러그와 접촉하고 상기 제2 비아 플러그와는 접촉하지 않는 것인 집적 회로.
  7. 제6항에 있어서, 상기 제1 비아 플러그가 차지하는 영역 대 상기 제2 비아 플러그가 차지하는 영역의 비율은 2.25 내지 2.89의 범위인 것인 집적 회로.
  8. 제6항에 있어서,
    상기 제1 비아 플러그층 아래의 제2 전도성 층
    을 더 포함하고, 상기 제2 전도성 층은, 상기 기준 경계부와 오버랩하고 상기 제1 비아 플러그와 접촉하는 전도성 구조를 포함하는 것인 집적 회로.
  9. 제6항에 있어서,
    상기 제1 비아 플러그층 아래의 제2 전도성 층; 및
    상기 제1 비아 플러그층 아래의 제3 전도성 층
    을 더 포함하고, 상기 제2 전도성 층은, 상기 제1 셀 영역 내에 있고 상기 제1 비아 플러그와 접촉하는 제1 전도성 구조를 포함하며, 상기 제3 전도성 층은, 상기 제2 셀 영역 내에 있고 상기 제1 비아 플러그와 접촉하는 제2 전도성 구조를 포함하고, 상기 제1 전도성 구조와 상기 제2 전도성 구조는 상이한 두께를 갖는 것인 집적 회로.
  10. 제6항에 있어서,
    상기 제1 비아 플러그층 아래의 제2 전도성 층
    을 더 포함하고, 상기 제2 전도성 층은, 상기 제1 셀 영역 내의 전도성 구조를 포함하며, 상기 제1 비아 플러그층은, 상기 제1 셀 영역 내에 있고 상기 전도성 구조와 접촉하는 제3 비아 플러그를 더 포함하고, 상기 제3 비아 플러그는 상기 제2 비아 플러그가 차지하는 영역보다 큰 영역을 차지하는 것인 집적 회로.
KR1020160013562A 2015-02-12 2016-02-03 마스크 형성 방법 KR101816849B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562115454P 2015-02-12 2015-02-12
US62/115,454 2015-02-12
US14/995,413 2016-01-14
US14/995,413 US9892224B2 (en) 2015-02-12 2016-01-14 Method of forming masks

Publications (2)

Publication Number Publication Date
KR20160099488A KR20160099488A (ko) 2016-08-22
KR101816849B1 true KR101816849B1 (ko) 2018-01-11

Family

ID=56551798

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160013562A KR101816849B1 (ko) 2015-02-12 2016-02-03 마스크 형성 방법

Country Status (5)

Country Link
US (1) US9892224B2 (ko)
KR (1) KR101816849B1 (ko)
CN (1) CN105895578B (ko)
DE (3) DE202016008735U1 (ko)
TW (1) TWI645508B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170133750A (ko) * 2016-05-26 2017-12-06 삼성전자주식회사 집적 회로의 설계를 위한 컴퓨터 구현 방법
US9985014B2 (en) * 2016-09-15 2018-05-29 Qualcomm Incorporated Minimum track standard cell circuits for reduced area
US11334703B2 (en) * 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
US10515850B2 (en) 2017-08-25 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and IC design with non-linear power rails
US10262950B1 (en) 2017-10-17 2019-04-16 Qualcomm Incorporated Visible alignment markers/landmarks for CAD-to-silicon backside image alignment
US10607982B2 (en) 2018-07-10 2020-03-31 Samsung Electronics Co., Ltd. Layout connection isolation technique for improving immunity to jitter and voltage drop in a standard cell
US10978384B2 (en) * 2018-08-31 2021-04-13 Samsung Electronics Co., Ltd. Integrated circuits including multi-layer conducting lines
WO2020060837A1 (en) 2018-09-20 2020-03-26 Applied Materials, Inc. Systems and methods for improving within die co-planarity uniformity
US11133412B2 (en) 2018-11-05 2021-09-28 Samsung Electronics Co., Ltd. Integrated circuit devices including vertical field-effect transistors (VFETs)
US11868697B2 (en) * 2021-08-27 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd Base layout cell
US20230065397A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100190182B1 (ko) 1993-11-05 1999-06-01 니시무로 타이죠 반도체 레이아웃 방식
JP2006253409A (ja) 2005-03-10 2006-09-21 Matsushita Electric Ind Co Ltd 歩留り解析方法、半導体集積回路装置の設計方法および半導体集積回路装置
JP2010021187A (ja) 2008-07-08 2010-01-28 Nec Electronics Corp 半導体集積回路の設計方法、設計プログラム、及び半導体集積回路の製造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5028714B2 (ja) * 2001-03-30 2012-09-19 富士通セミコンダクター株式会社 半導体集積回路装置、および配線方法
US8435802B2 (en) 2006-05-22 2013-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Conductor layout technique to reduce stress-induced void formations
US8631379B2 (en) 2010-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decomposing integrated circuit layout
US8421205B2 (en) 2010-05-06 2013-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Power layout for integrated circuits
US9768119B2 (en) 2010-07-28 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for mitigating dynamic IR voltage drop and electromigration affects
US8990751B2 (en) * 2010-10-28 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Computer system and method of preparing a layout
US8661389B2 (en) 2011-04-12 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of designing integrated circuits
US8726220B2 (en) 2011-04-29 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8694945B2 (en) * 2011-12-20 2014-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic place and route method for electromigration tolerant power distribution
US8601416B2 (en) 2012-03-15 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of circuit design yield analysis
US8713491B2 (en) 2012-03-29 2014-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-colored methodology of multiple patterning
US9361423B2 (en) 2012-04-13 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. RC corner solutions for double patterning technology
US8698205B2 (en) 2012-05-25 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout having mixed track standard cell
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8745556B2 (en) 2012-06-28 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout method and system for multi-patterning integrated circuits
US8769451B2 (en) 2012-07-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design method, system and computer program product
US8775993B2 (en) 2012-08-31 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with layout-dependent effects
US8826212B2 (en) 2012-12-06 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layout including cells having different threshold voltages, a system of implementing and a layout formed
US8782575B1 (en) 2013-01-23 2014-07-15 Taiwan Semiconductor Manufacturing Company Limited Conflict detection for self-aligned multiple patterning compliance
JP5820412B2 (ja) * 2013-03-08 2015-11-24 株式会社東芝 半導体集積回路
US9147029B2 (en) 2013-03-11 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
US8793640B1 (en) 2013-03-12 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for RC extraction
US8887116B2 (en) 2013-03-14 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Flexible pattern-oriented 3D profile for advanced process nodes
US9563731B2 (en) 2013-03-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundaries for self aligned multiple patterning abutments

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100190182B1 (ko) 1993-11-05 1999-06-01 니시무로 타이죠 반도체 레이아웃 방식
JP2006253409A (ja) 2005-03-10 2006-09-21 Matsushita Electric Ind Co Ltd 歩留り解析方法、半導体集積回路装置の設計方法および半導体集積回路装置
JP2010021187A (ja) 2008-07-08 2010-01-28 Nec Electronics Corp 半導体集積回路の設計方法、設計プログラム、及び半導体集積回路の製造方法

Also Published As

Publication number Publication date
TW201707140A (zh) 2017-02-16
KR20160099488A (ko) 2016-08-22
CN105895578A (zh) 2016-08-24
CN105895578B (zh) 2019-07-19
DE102016101128A1 (de) 2016-08-18
DE202016008735U1 (de) 2019-04-05
DE202016008706U1 (de) 2019-02-14
US20160283631A1 (en) 2016-09-29
US9892224B2 (en) 2018-02-13
TWI645508B (zh) 2018-12-21

Similar Documents

Publication Publication Date Title
KR101816849B1 (ko) 마스크 형성 방법
KR101745798B1 (ko) 글로벌 연결부 라우팅 방법 및 이를 실시하기 위한 시스템
US10691859B2 (en) Integrated circuit and method of designing layout of integrated circuit
US11631661B2 (en) Integrated circuit having angled conductive feature
KR102368588B1 (ko) 필러 셀 영역을 갖는 반도체 디바이스, 레이아웃 다이어그램을 생성하는 방법 및 그 시스템
US10553574B2 (en) Standard cell for removing routing interference between adjacent pins and device including the same
CN107066681B (zh) 集成电路和制造集成电路的计算机实现方法
US10312192B2 (en) Integrated circuit having staggered conductive features
KR102320067B1 (ko) 집적 회로 구조물, 레이아웃 다이어그램 방법, 및 시스템
KR102366538B1 (ko) 레이아웃 다이어그램을 생성하는 라우팅 리소스 개선 방법 및 그 시스템
US20210294957A1 (en) Method for manufacturing a cell having pins and semiconductor device based on same
US20220199608A1 (en) Integrated circuit with backside power rail and backside interconnect
US9436792B2 (en) Method of designing layout of integrated circuit and method of manufacturing integrated circuit
US10424518B2 (en) Integrated circuit designing system and a method of manufacturing an integrated circuit
TWI770425B (zh) 製造半導體元件之方法及用於製造半導體元件之系統
KR20170094744A (ko) 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법
CN112117273A (zh) 集成电路器件及其形成方法
US20230259686A1 (en) Semiconductor device and method and system of arranging patterns of the same
US20170061063A1 (en) Integrated circuit with reduced routing congestion
US10872817B2 (en) Semiconductor device and method of manufacturing the same
KR20240050920A (ko) 표준 셀을 포함하는 집적 회로 및 이를 제조하는 방법
TW202244772A (zh) 阻抗降低之半導體裝置及其製造方法
Nowka Physical Design Challenges Beyond the 22nm Node

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant