DE102013103206A1 - Durchkontaktierungsstruktur sowie Verfahren - Google Patents

Durchkontaktierungsstruktur sowie Verfahren Download PDF

Info

Publication number
DE102013103206A1
DE102013103206A1 DE102013103206.0A DE102013103206A DE102013103206A1 DE 102013103206 A1 DE102013103206 A1 DE 102013103206A1 DE 102013103206 A DE102013103206 A DE 102013103206A DE 102013103206 A1 DE102013103206 A1 DE 102013103206A1
Authority
DE
Germany
Prior art keywords
layer
substrate
opening
conductive material
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102013103206.0A
Other languages
English (en)
Other versions
DE102013103206B4 (de
Inventor
Yung-Chi Lin
Lin-Chih Huang
Tsang-Jiuh Wu
Wen-Chih Chiou
Hsin-Yu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013103206A1 publication Critical patent/DE102013103206A1/de
Application granted granted Critical
Publication of DE102013103206B4 publication Critical patent/DE102013103206B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Abstract

Eine Vorrichtung weist eine Durchkontaktierung auf, die in einem Substrat ausgebildet ist. Die Durchkontaktierung ist zwischen einer ersten Seite und einer zweiten Seite des Substrates verbunden. Die Durchkontaktierung weist einen Bodenabschnitt auf, der angrenzend an die zweite Seite des Substrates angeordnet ist, wobei der Bodenabschnitt aus einem leitfähigen Material ausgebildet ist. Die Durchkontaktierung weist weiterhin Seitenwandabschnitte auf, die aus dem leitfähigen Material ausgebildet sind, sowie einen Mittelabschnitt, der zwischen den Seitenwandabschnitten ausgebildet ist, wobei der Mittelabschnitt aus einem dielektrischen Material ausgebildet ist.

Description

  • Hintergrund
  • Die Halbleiterindustrie hat aufgrund fortwährender Verbesserungen der Integrationsdichte einer Vielfalt elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren, usw.) ein rasches Wachstum erfahren. Größtenteils ist diese Verbesserung der Integrationsdichte in den wiederholten Verkleinerungen der minimalen Bauteilgröße begründet, welche es erlaubt, dass mehr Komponenten in einen gegebenen Bereich integriert werden. Da das Verfangen nach noch kleineren elektronischen Bauteilen kürzlich angestiegen ist, besteht gesteigerter Bedarf nach kleineren und ausgefalleneren Packungstechniken für Halbleiterchips.
  • Mit der Fortentwicklung der Halbleitertechnologen haben sich dreidimensionale integrierte Schaltkreise als eine effektive Alternative zu der weiteren Verringerung der physikalischen Abmessungen eines Halbleiterchips erwiesen. Bei einem dreidimensionalen integrierten Schaltkreis werden aktive Bereiche, wie Logik, Speicher, Prozessorschaltkreise und/oder dergleichen auf unterschiedlichen Wafern hergestellt, wobei jeder Wafer-Chip auf der Oberseite einer Verpackungskomponente unter der Verwendung von Hub- und Schwenkeinheiten aufgestapelt ist. Durch die Verwendung dreidimensionaler integrierter Schaltkreise kann eine wesentlich höhere Dichte erreicht werden. Daraus resultiert, dass dreidimensionale integrierte Schaltkreise kleinere Formfaktoren erreichen können, kosteneffizient sind sowie eine verbesserte Leistungsfähigkeit bei niedriger Leistungsaufnahme aufweisen.
  • Um elektrische Schaltkreise in dem gestapelten Halbleiter-Chip miteinander zu verbinden, werden Silizium-Durchkontaktierungen verwendet, um einen vertikalen Verbindungskanal durch den Körper des gestapelten Chips bereitzustellen. Silizium-Durchkontaktierungen können unter Verwendung geeigneter Techniken ausgebildet werden. Beispielsweise wird zur Ausbildung einer Silizium-Durchkontaktierung eine Öffnung auf einer aktiven Seite des Halbleitersubstrates ausgebildet, wobei sich die Öffnung noch tiefer als die aktiven Bereiche des Halbleitersubstrates in das Halbleitersubstrat hinein erstreckt. Diese Öffnungen können daraufhin mit einem leitfähigen Material wie Kupfer, Aluminium, Wolfram, Silber, Gold und/oder dergleichen aufgefüllt werden. Nachdem die Öffnungen aufgefüllt worden sind, kann die Rückseite des Halbleitersubstrates mit Hilfe eines Verdünnungsprozesses verdünnt werden, etwa mit Hilfe eines chemisch-mechanischen Polierprozesses oder mit Hilfe eines Ätzprozesses. Der Verdünnungsprozess wird auf die Rückseite des Substrates angewendet, bis das leitfähige Material der Silizium-Durchkontaktierung freigelegt ist.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung stellt eine Vorrichtung bereit, die aufweist:
    eine dielektrische Zwischenschicht, die auf einer ersten Seite eines Substrates ausgebildet ist;
    eine erste Metallisierungsschicht, die über der dielektrischen Zwischenschicht ausgebildet ist, wobei die erste Metallisierungsschicht eine Mehrzahl Metallleitungen aufweist, die in einem ersten dielektrischen Zwischenmetallmaterial ausgebildet sind; und
    eine Durchkontaktierung, die in dem Substrat ausgebildet ist, wobei die Durchkontaktierung aufweist:
    einen Bodenabschnitt, der aus einem leitfähigen Material ausgebildet ist, wobei der Bodenabschnitt angrenzend an eine zweite Seite des Substrates ausgebildet ist;
    Seitenwandabschnitte, die aus dem leitfähigen Material ausgebildet sind, wobei erste Anschlüsse der Seitenwandabschnitte mit dem Bodenabschnitt verbunden sind, und wobei zweite Anschlüsse der Seitenwandabschnitte mit den Metallleitungen der ersten Metallisierungsschicht verbunden sind; und
    einen Mittelabschnitt, der zwischen den Seitenwandabschnitten ausgebildet ist, wobei der Mittelabschnitt aus einem dielektrischen Material ausgebildet ist.
  • Bei einer Ausführungsform ist eine Bodenseite des Mittelabschnitts niedriger als eine Oberseite des Substrates angeordnet.
  • Bei einer Ausführungsform ist der Mittelabschnitt aus dem ersten dielektrischen Zwischenmetallmaterial ausgebildet.
  • Vorzugsweise ist das erste dielektrische Zwischenmetallmaterial ein lichtempfindliches Polymermaterial.
  • Bei einer Ausführungsform weist die Vorrichtung weiterhin auf:
    eine erste seitliche Verbindungsstruktur, die über der ersten Metallisierungsschicht ausgebildet ist; und
    eine zweite seitliche Verbindungsstruktur, die über der zweiten Seite des Substrates ausgebildet ist, wobei die zweite seitliche Verbindungsstruktur mit der Durchkontaktierung verbunden ist.
  • Bei einer anderen Ausführungsform ist das leitfähige Material Kupfer.
  • Die vorliegende Erfindung stellt ebenso ein Verfahren zur Verfügung, das aufweist:
    Ausbilden einer dielektrischen Zwischenschicht über einer ersten Seite eines Substrates;
    Ausbilden einer Öffnung in dem Substrat;
    Füllen der Öffnung mit einem leitfähigen Material, wobei ein oberer Abschnitt der Öffnung frei von dem leitfähigen Material ist; und
    Abscheiden eines dielektrischen Materials über dem Substrat, wobei das dielektrische Material in den oberen Abschnitt der Öffnung gefüllt wird.
  • Bei einer Ausführungsform weist das Verfahren weiterhin auf:
    Ausbilden einer Deckschicht auf Seitenwänden sowie einem Boden der Öffnung;
    Ausbilden einer Sperrschicht über der Deckschicht;
    Ausbilden einer Saatschicht über der Sperrschicht;
    Abscheiden einer Fotolackschicht über der Saatschicht;
    Strukturieren der Fotolackschicht; und
    Anwenden eines Plattierungsprozesses auf die Saatschicht.
  • Vorzugsweise weist das Verfahren weiterhin das Entfernen verbleibender Anteile der Fotolackschicht unter Verwendung eines Fotolackabziehprozesses auf.
  • Bei einer Ausführungsform weist das Verfahren weiterhin auf:
    Ausbilden einer ersten seitlichen Verbindungsstruktur über der ersten Seite des Substrates; und
    Anwenden eines Verdünnungsprozesses auf eine zweite Seite des Substrates, bis das leitfähige Material der Öffnung von der zweiten Seite des Substrates her freigelegt ist.
  • Bei einer Ausführungsform ist das leitfähige Material Kupfer.
  • Bei einer Ausführungsform ist das dielektrische Material ein lichtempfindliches Material.
  • Bei einer weiteren Ausführungsform ist der obere Abschnitt niedriger als eine Oberseite des Substrates angeordnet.
  • Die vorliegende Erfindung stellt ein weiteres Verfahren zur Verfügung, das aufweist:
    Ausbilden einer Öffnung in einem Substrat an einer ersten Seite des Substrates;
    Abscheiden einer Deckschicht auf Seitenwänden sowie einem Boden der Öffnung;
    Abscheiden einer Sperrschicht über der Deckschicht;
    Abscheiden einer Saatschicht über der Sperrschicht;
    Ausbilden einer Fotolackschicht über der Saatschicht;
    Strukturieren der Fotolackschicht, um:
    die Fotolackschicht in der Öffnung zu entfernen; und
    Anteile der Fotolackschicht auf dem Substrat zu entfernen, um Verbindungsgräben in der Fotolackschicht auszubilden;
    Füllen der Öffnung mit einem leitfähigen Material unter Verwendung eines elektrochemischen Plattierungsprozesses, wobei ein oberer Abschnitt der Öffnung frei von dem leitfähigen Material ist;
    Füllen der Verbindungsgräben mit ersten Metallleitungen des leitfähigen Materials unter Verwendung des elektrochemischen Plattierungsprozesses; und
    Abscheiden eines dielektrischen Materials über der Öffnung sowie den Verbindungsgräben, wobei der obere Abschnitt der Öffnung mit dem dielektrischen Material gefüllt wird.
  • Bei einer Ausführungsform weist das Verfahren weiterhin das Schleifen einer zweiten Seite des Substrates auf, bis das leitfähige Material der Öffnung freigelegt ist.
  • Vorzugsweise ist das leitfähige Material Kupfer.
  • Bei einer anderen Ausführungsform wird der elektrochemische Plattierungsprozess derart gesteuert, dass das leitfähige Material auf Seitenwänden sowie einem Bodenabschnitt der Öffnung abgeschieden wird, und wobei eine Oberseite des Bodenabschnitts niedriger als eine Oberseite des Substrates angeordnet ist.
  • Vorzugsweise weist das Verfahren weiterhin das Ausbilden eines zweiten Seitenkontaktes auf, wobei der zweite Seitenkontakt mit dem Bodenabschnitt der Öffnung verbunden ist.
  • Vorzugsweise weist das Verfahren weiterhin das Abscheiden einer zweiten seitlichen Passivierungsschicht auf, wobei der zweite Seitenkontakt in die zweite seitliche Passivierungsschicht eingebettet ist.
  • Kurze Beschreibung der Zeichnungen
  • Für ein umfassenderes Verständnis der vorliegenden Offenbarung sowie deren Vorteile wird nunmehr Bezug auf die nachstehende Beschreibung in Verbindung mit den begleitenden Figuren genommen, bei welchen:
  • 1 veranschaulicht eine Querschittsansicht eines Halbleiterbauteils gemäß einer Ausführungsform;
  • 2 veranschaulicht ein Halbleiterbauteil, nachdem eine Mehrzahl elektrischer Schaltkreise in dem Substrat ausgebildet worden sind, gemäß einer Ausführungsform;
  • 3 veranschaulicht eine Querschnittsansicht des in 2 gezeigten Halbleiterbauteils, nachdem eine Öffnung in dem Substrat ausgebildet worden ist, gemäß einer Ausführungsform;
  • 4 veranschaulicht eine Querschnittsansicht des in 3 gezeigten Halbleiterbauteils, nachdem eine Deckschicht auf den Seitenwänden sowie dem Boden der Öffnung ausgebildet worden ist, gemäß einer Ausführungsform;
  • 5 veranschaulicht eine Querschnittsansicht des in 4 gezeigten Halbleiterbauteils, nachdem eine Sperrschicht über der Deckschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 6 veranschaulicht eine Querschnittsansicht des in 5 gezeigten Halbleiterbauteils, nachdem eine Saatschicht über der Sperrschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 7 veranschaulicht eine Querschnittsansicht des in 6 gezeigten Halbleiterbauteils, nachdem eine dielektrische Schicht über der Saatschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 8 veranschaulicht eine Querschnittsansicht des in 7 gezeigten Halbleiterbauteils, nachdem ein Strukturierungsprozess auf die dielektrische Schicht angewendet worden ist, gemäß einer Ausführungsform;
  • 9 veranschaulicht eine Querschnittsansicht des in 8 gezeigten Halbleiterbauteils, nachdem ein leitfähiges Material in die Öffnung eingefüllt worden ist, gemäß einer Ausführungsform;
  • 10 veranschaulicht eine Querschnittsansicht des in 9 gezeigten Halbleiterbauteils, nachdem die verbleibende Fotolackschicht entfernt worden ist, gemäß einer Ausführungsform;
  • 11 veranschaulicht eine Querschnittsansicht des in 10 gezeigten Halbleiterbauteils, nachdem eine dielektrische Zwischenmetallschicht abgeschieden worden ist, gemäß einer Ausführungsform;
  • 12 veranschaulicht eine Querschnittsansicht des in 11 gezeigten Halbleiterbauteils, nachdem zwei zusätzliche Metallisierungsschichten über der ersten Metallisierungsschicht ausgebildet worden sind, gemäß einer Ausführungsform;
  • 13 veranschaulicht eine Querschnittsansicht des in 12 gezeigten Halbleiterbauteils, nachdem eine Passivierungsschicht auf der Oberseite der dielektrischen Zwischenmetallschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 14 veranschaulicht eine Querschnittsansicht des in 13 gezeigten Halbleiterbauteils, nachdem eine erste Polymerschicht auf der Oberseite der Passivierungsschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 15 veranschaulicht eine Querschnittsansicht des in 14 gezeigten Halbleiterbauteils, nachdem ein Strukturierungsprozess auf die Oberseite der ersten Polymerschicht angewendet worden ist, gemäß einer Ausführungsform;
  • 16 veranschaulicht eine Querschnittsansicht des in 15 gezeigten Halbleiterbauteils, nachdem eine Saatschicht auf der Oberseite der ersten Polymerschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 17 veranschaulicht eine Querschnittsansicht des in 5 gezeigten Halbleiterbauteils, nachdem eine Umverteilungsleitung auf der Oberseite der Saatschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 18 veranschaulicht eine Querschnittsansicht des in 17 gezeigten Halbleiterbauteils, nachdem eine zweite Polymerschicht über dem Halbleiterbauteil ausgebildet worden ist, gemäß einer Ausführungsform;
  • 19 veranschaulicht eine Querschnittsansicht des in 18 gezeigten Halbleiterbauteils, nachdem ein Strukturierungsprozess auf die Oberfläche der zweiten Polymerschicht angewendet worden ist, gemäß einer Ausführungsform;
  • 20 veranschaulicht eine Querschnittsansicht des in 19 gezeigten Halbleiterbauteils, nachdem eine UBM-Saatschicht auf der Oberseite der zweiten Polymerschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 21 veranschaulicht eine Querschnittsansicht des in 20 gezeigten Halbleiterbauteils, nachdem eine zweite leitfähige Schicht auf der Oberseite der UBM-Saatschicht ausgebildet worden ist, gemäß einer Ausführungsform;
  • 22 veranschaulicht eine Querschnittsansicht des in 21 gezeigten Halbleiterbauteils, nachdem ein Verbindungshügel auf der UBM-Struktur ausgebildet worden ist, gemäß einer Ausführungsform;
  • 23 ist eine Querschnittsansicht des in 22 gezeigten Halbleiterbauteils, nachdem ein Verdünnungsprozess auf die zweite Seite des Substrates angewendet worden ist, gemäß einer Ausführungsform; und
  • 24 veranschaulicht eine Querschnittsansicht des in 23 gezeigten Halbleiterbauteils, nachdem ein Rückseitenkontakt auf der zweiten Seite des Substrates ausgebildet worden ist, gemäß einer Ausführungsform.
  • Übereinstimmende Bezugszeichen und -symbole in den unterschiedlichen Figuren beziehen sich grundsätzlich auf entsprechende Teile, soweit nichts anderes angegeben ist. Die Figuren sind derart gezeichnet, dass sie deutlich die relevanten Aspekte der verschiedenen Ausführungsformen veranschaulichen, sie sind jedoch nicht notwendigerweise maßstabsgetreu gezeichnet.
  • Genaue Beschreibung der veranschaulichenden Ausführungsformen
  • Die Herstellung und die Verwendung der vorliegenden Ausführungsformen werden nachstehend im Detail diskutiert. Es sollte jedoch verstanden werden, dass die vorliegende Offenbarung eine Vielzahl anwendbarer erfindungsgemäßer Konzepte bereitstellt, welche auf einem breiten Gebiet spezifischer Zusammenhänge umgesetzt werden können. Die diskutierten, spezifischen Ausführungsformen sind lediglich veranschaulichend für spezifische Weisen, um von den Ausführungsformen der Offenbarung Nutzen zu machen, sie sollen jedoch nicht den Umfang der Offenbarung beschränken.
  • Die vorliegende Offenbarung wird mit Bezug auf Ausführungsformen in einem spezifischen Zusammenhang beschrieben, nämlich eine Durchkontaktierungsstruktur eines Halbleiterpaketes. Die Ausführungsformen der Offenbarung können jedoch ebenso auf eine Vielfalt von Paketen der Halbleiterindustrie angewendet werden. Im Folgenden werden verschiedene Ausführungsformen im Detail mit Bezug auf die begleitenden Figuren erklärt.
  • 1 veranschaulicht eine Querschnittsansicht eines Halbleiterbauteils gemäß einer Ausführungsform. Das Halbleiterbauteil 100 ist in einem Substrat 102 ausgebildet. Das Substrat 102 weist eine erste Seite 101 und eine zweite Seite 103 auf. Eine erste seitliche Verbindungsstruktur 110 ist über der ersten Seite 101 des Substrates 102 ausgebildet. Eine zweite seitliche Verbindungsstruktur 120 ist über der zweiten Seite 103 des Substrates 102 ausgebildet. Die Einzelheiten der ersten seitlichen Verbindungsstruktur 110 sowie der zweiten seitlichen Verbindungsstruktur 120 werden nachstehend mit Bezug auf die 224 im Detail erklärt.
  • Das Halbleiterbauteil 100 kann eine Vielzahl Durchkontaktierungen aufweisen. Der Einfachheit halber ist in 1 lediglich eine Durchkontaktierung 142 gezeigt. Die Durchkontaktierung 142 kann in drei Abschnitte unterteilt werden. Der Bodenabschnitt ist angrenzend an die zweite Seite 103 des Substrates 102 angeordnet. Die Seitenwandabschnitte sind entlang den Seitenwänden der Durchkontaktierungsöffnung ausgebildet. Genauer sind, wie in 1 gezeigt ist, die Seitenwandabschnitte der Durchkontaktierung 142 zwischen dem Bodenabschnitt und den Metallleitungen 184 bzw. 186 der ersten Metallisierungsschicht verbunden.
  • Wie in 1 gezeigt ist, können drei dünne Schichten 122, 124 und 126 zwischen dem Substrat 102 und den Seitenwandabschnitten der Durchkontaktierung 142 ausgebildet sein. Gemäß einer Ausführungsform sind die dünnen Schichten 122, 124 und 126 eine Deckschicht, eine Sperrschicht bzw. eine Saatschicht. Der genaue Herstellungsprozess dieser drei dünnen Schichten wird nachstehend mit Bezug auf die 46 beschrieben.
  • Die Durchkontaktierung 142 kann weiterhin einen Mittelabschnitt aufweisen, der zwischen zwei Seitenwandabschnitten ausgebildet ist. Der Mittelabschnitt kann ein dielektrisches Material aufweisen. Genauer kann das Material des Mittelabschnittes dasselbe Material wie jenes der ersten dielektrischen Zwischenmetallschicht 182 sein. Die genaue Ausbildung der Durchkontaktierung 142 wird nachstehend mit Bezug auf die 911 beschrieben.
  • Das Substrat 102 kann aus Silizium ausgebildet sein, obwohl es ebenso aus anderen Gruppe-III-, Gruppe-IV- und/oder Gruppe-V-Elementen wie Silizium, Germanium, Gallium, Arsen und Kombinationen dieser ausgebildet sein kann. Das Substrat 102 kann ebenso die Form eines Silizium-auf-Nichtleiter (SOI) aufweisen. Das SOI-Substrat kann eine Schicht eines Halbleitermaterials aufweisen (z. B. Silizium, Germanium und/oder dergleichen), das über einer Nichtleiterschicht (z. B. verborgenes Oxid oder dergleichen.) ausgebildet Ist, welches in einem Siliziumsubstrat ausgebildet ist. Darüber hinaus umfassen andere Substrate, die verwendet werden können, mehrschichtige Substrate, Gradientensubstrate, Substrate mit hybrider Orientierung und/oder dergleichen.
  • Das Substrat 102 kann weiterhin eine Vielfalt elektrischer Schaltkreise (nicht dargestellt) aufweisen. Die auf dem Substrat 102 ausgebildeten elektrischen Schaltkreise können jede Art von Schaltkreis sein, der für eine bestimmte Anwendung geeignet ist. Gemäß einer Ausführungsform kann der elektrische Schaltkreis verschiedene n-Typ-Metalloxidhalbleiter(NMOS)- und/oder p-Typ-Metalloxidhalbleiter(PMOS)-Bauteile wie Transistoren, Kondensatoren, Widerstände, Dioden, Fotodioden, Sicherungen und/oder dergleichen umfassen. Die elektrischen Schaltkreise können miteinander verbunden sein, um ein oder mehrere Funktionen auszuführen. Diese Funktionen können Speicherstrukturen, Verarbeitungsstrukturen, Sensoren, Verstärker, Leistungsverteiler, Eingabe-/Ausgabe-Schaltkreise und/oder dergleichen umfassen. Der Fachmann wird anerkennen, dass die obigen Beispiele lediglich zur Veranschaulichung angegeben und nicht dazu vorgesehen sind, die verschiedenen Ausführungsformen auf eine bestimmte Anwendung zu beschränken.
  • Eine dielektrische Zwischenschicht 115 ist auf der Oberseite des Substrates 102 ausgebildet. Die dielektrische Zwischenschicht 115 kann beispielsweise aus einem dielektrischen Material mit niedrigem k-Wert ausgebildet sein, etwa aus Siliziumoxid. Die dielektrische Zwischenschicht 115 kann mit Hilfe irgendeines geeigneten Verfahrens, das aus dem Stand der Technik bekannt ist, hergestellt werden, etwa mittels Spinning, chemischer Dampfabscheidung (CVD) und Plasma-verstärkter chemischer Dampfabscheidung (PECVD). Es sollte ebenso festgehalten werden, dass der Fachmann anerkennen wird, dass während in 1 eine einzelne dielektrische Zwischenschicht gezeigt ist, die dielektrische Zwischenschicht eine Vielzahl dielektrischer Schichten aufweisen kann.
  • Die 1 veranschaulicht weiterhin eine erste dielektrische Zwischenmetallschicht 182, die über der dielektrischen Zwischenschicht 115 ausgebildet ist. Wie in 1 gezeigt Ist, können zwei Metallleitungen 184 und 186 in der ersten dielektrischen Zwischenmetallschicht 182 ausgebildet sein. Die Durchkontaktierung 182 ist mit den Metallleitungen 184 und 186 verbunden. Insbesondere können die Metallabschnitte der Durchkontaktierung 142 sowie die Metallleitungen 184 und 186 während desselben Herstellungsschrittes ausgebildet werden. Die genaue Ausbildung der Metallleitungen sowie der Durchkontaktierung 142 wird nachstehend mit Bezug auf die 710 beschrieben.
  • Es sollte festgehalten werden, dass während in 1 Metallleitungen 184 und 186 veranschaulicht sind, die in der ersten dielektrischen Zwischenmetallschicht 182 ausgebildet sind, der Fachmann ohne weiteres anerkennen wird, dass noch mehr dielektrische Zwischenmetallschichten sowie zugeordnete Metallisierungsschichten dazu verwendet werden können, um die elektrischen Schaltkreise in dem Substrat 102 miteinander zu verbinden, um einen funktionalen Schaltkreis auszubilden und um eine externe elektrische Verbindung bereitzustellen. Ein Herstellungsprozess des Halbleiterbauteils 100, welches eine Mehrzahl dielektrischer Zwischenmetallschichten und zugehöriger Metallisierungsschichten aufweist, wird nachstehend mit Bezug auf die 2 bis 24 beschrieben.
  • Die 2 bis 24 veranschaulichen Zwischenschritte bei der Herstellung der Durchkontaktierung, die in 1 gemäß einer Ausführungsform gezeigt ist. Der nachstehend beschriebene Herstellungsprozess basiert auf einem Durchkontaktierungs-zuerst-Herstellungsprozess. Der Fachmann wird jedoch ohne weiteres erkennen, dass der nachstehend beschriebene Durchkontaktierungs-zuerst-Herstellungsprozess lediglich ein beispielhafter Prozess ist und nicht dazu vorgesehen ist, die verschiedenen Ausführungsformen zu beschränken. Andere Durchkontaktierungs-Herstellungsprozesse, etwa Durchkontaktierungs-mittel- und Durchkontaktierungs-zuletzt-Herstellungstechniken können alternativ verwendet werden. Zusammenfassend kann irgendein geeigneter Durchkontaktierung-Herstellungsprozess verwendet werden, und sämtliche derartiger Prozesse sind vollständig dazu vorgesehen, im Umfang der hier beschriebenen Ausführungsformen mit umfasst zu sein.
  • Die 2 veranschaulicht ein Halbleiterbauteil, nachdem eine Vielfalt elektrischer Schaltkreise in dem Substrat ausgebildet worden ist, gemäß einer Ausführungsform. Das Substrat 102 kann eine Vielfalt elektrischer Schaltkreise wie Metalloxidhalbleiter(MOS)-Transistoren (z. B. MOS-Transistor 200) und zugeordnete Kontaktstecker (z. B. Kontaktstecker 118) aufweisen. Der Einfachheit halber sind lediglich ein einziger MOS-Transistor sowie ein einziger Kontaktstecker dargestellt, um die erfindungsgemäßen Aspekte der verschiedenen Ausführungsformen zu veranschaulichen.
  • Der MOS-Transistor 200 ist in dem Substrat 102 ausgebildet. Der MOS-Transistor 200 umfasst zwei Drain-/Source-Bereiche 106. Wie in 2 gezeigt ist, werden die Drain-/Source-Bereiche 106 auf gegenüberliegenden Seiten eines Gate-Stapels ausgebildet. Der Gate-Stapel umfasst eine dielektrische Gate-Schicht 112, die über dem Substrat 102 ausgebildet ist, wobei eine Gate-Elektrode über der dielektrischen Gate-Schicht 112 und dem Gate-Abstandshalter 116 ausgebildet ist. Wie in 2 gezeigt ist, können zwei Isolationsbereiche 104 auf gegenüberliegenden Seiten des MOS-Transistors 200 ausgebildet sein.
  • Die Isolationsbereiche 104 können Shallow-Trench-Isolation(STI)-Bereiche sein und sie können unter Ausbildung eines Grabens durch Ätzen des Substrates 102 ausgebildet sein, sowie durch Füllen des Grabens mit einem aus dem Stand der Technik bekannten dielektrischen Material. Beispielsweise können die Isolationsbereiche 104 mit einem dielektrischen Material wie einem Oxidmaterial, einem Oxid mit hoch dichtem Plasma (HDP) und/oder dergleichen gefüllt sein. Ein Planarisierungsprozess, etwa ein CMP-Prozess, kann auf die Oberseite angewendet werden, so dass letztlich überschüssiges dielektrisches Material entfernt werden kann.
  • Das Gate-Dielektrikum 112 kann ein dielektrisches Material wie Siliziumoxid, Siliziumoxinitrid, Siliziumnitrid, ein Oxid, ein Stickstoff-enthaltendes Oxid, eine Kombination dieser und/oder dergleichen sein. Das Gate-Dielektrikum 112 kann eine relative Dielektrizitätskonstante aufweisen, die größer als ungefähr 4 ist. Andere Beispiele derartiger Materialien umfassen Aluminiumoxid, Lanthanoxid, Hafniumoxid, Zirkoniumoxid, Hafniumoxinitrid, Kombinationen dieser und dergleichen. Bei einer Ausführungsform, bei welcher das Gate-Dielektrikum 112 eine Oxidschicht aufweist, kann das Gate-Dielektrikum 112 mit Hilfe eines PECVD-Prozesses unter Verwendung von Tetraethoxysilan (TEOS) und Sauerstoff als ein Precursor ausgebildet sein. Gemäß einer Ausführungsform kann das Gate-Dielektrikum 112 eine Dicke aufweisen, die zwischen ungefähr 8 Å und ungefähr 200 Å liegt.
  • Die Gate-Elektrode 114 kann ein leitfähiges Material aufweisen, etwa ein Metall (z. B. Tantal, Titan, Molybdän, Wolfram, Platin, Aluminium, Hafnium, Ruthenium), ein Metallsilizid (z. B. Titansilizid, Kobaltsilizid, Nickelsilizid, Tantalsilizid), ein Metallnitrid (z. B. Titannitrid, Tantalnitrid), dotiertes polykristallines Silizium, andere leitfähige Materialien, Kombinationen dieser und/oder dergleichen. Bei einer Ausführungsform, bei welcher die Gate-Elektrode 114 Polysilizium ist, kann die Gate-Elektrode 114 durch Abscheiden dotierten oder undatierten Polysiliziums mit Hilfe von chemischer Dampfabscheidung bei Niederdruck (LPCVD) bei einer Dicke in einem Bereich von ungefähr 400 Å bis ungefähr 2400 Å ausgebildet sein.
  • Der Abstandshalter 116 kann durch deckendes Abscheiden eines oder mehrerer Abstandshalterschichten (nicht dargestellt) über der Gate-Elektrode 114 und dem Substrat 102 ausgebildet werden. Die Abstandshalterschichten 116 können geeignete dielektrische Materialien wie SiN, Oxinitrid, SiC, SiON, Oxid und/oder dergleichen aufweisen. Die Abstandshalterschichten 116 können durch gewöhnlicherweise verwendete Techniken wie CVD, PECVD, Sputter-Deposition und/oder dergleichen ausgebildet werden.
  • Die Drain-/Source-Bereiche 106 können in dem Substrat 102 auf gegenüberliegenden Seiten des Gate-Dielektrikums 112 ausgebildet werden. Bei einer Ausführungsform, bei welcher das Substrat 102 ein n-Typ-Substrat ist, können die Drain-/Source-Bereiche 106 durch Implantierung geeigneter p-Typ-Dotanden wie Bor, Gallium, Indium und/oder dergleichen ausgebildet werden. Alternativ können bei einer Ausführungsform, bei welcher das Substrat 102 ein p-Typ-Substrat ist, die Drain-/Source-Bereiche 106 durch Implantieren geeigneter n-Typ-Dotanden wie Phosphor, Arsen und/oder dergleichen ausgebildet werden.
  • Wie in 2 gezeigt ist, wird die dielektrische Zwischenschicht 115 über dem Substrat 102 ausgebildet. In der dielektrischen Zwischenschicht 115 kann ein Kontaktstecker 118 ausgebildet sein. Der Kontaktstecker 118 ist durch die dielektrische Zwischenschicht hindurch ausgebildet, um eine elektrische Kontaktierung zwischen dem MOS-Transistor 200 und der Verbindungsstruktur (nicht dargestellt, jedoch in 24 veranschaulicht), die über der dielektrischen Zwischenschicht 115 ausgebildet ist, bereitzustellen.
  • Der Kontaktstecker 118 kann unter Verwendung von Fotolithografie ausgebildet sein, um ein Fotolackmaterial auf der dielektrischen Zwischenschicht 115 abzuscheiden und zu strukturieren. Ein Anteil des Fotolacks wird gemäß der Anordnung und der Form des Kontaktsteckers 118 belichtet. Ein Ätzprozess, etwa ein anisotropischer Trockenätzprozess, kann dazu verwendet werden, um eine Öffnung in der dielektrischen Zwischenschicht 115 auszubilden.
  • Eine leitfähige Deckschicht kann vor dem Füllen des Kontaktsteckerloches abgeschieden werden. Die leitfähige Deckschicht ist vorzugsweise konform und sie kann eine einzige Schicht aus Ta, TaN, WN, WSi, TiN, Ru und Kombinationen dieser aufweisen. Die leitfähige Deckschicht kann typischerweise als eine Sperrschicht verwendet werden, um das leitfähige Material wie Kupfer davon abzuhalten, in das darunter liegende Substrat 102 zu diffundieren. Die leitfähige Deckschicht kann unter Verwendung eines geeigneten Abscheideprozesses wie CVD, PVD, atomarer Schichtabscheidung (ALD) und/oder dergleichen abgeschieden werden.
  • Ein leitfähiges Material wird dann in die Öffnung gefüllt. Das leitfähige Material kann unter Verwendung von CVD, PVD oder ALD abgeschieden werden. Das leitfähige Material wird über der leitfähigen Deckschicht abgeschieden, um die Kontaktsteckeröffnung zu füllen. Überschüssige Anteile des leitfähigen Materials werden von der Oberseite der dielektrischen Zwischenschicht 115 unter Verwendung eines Planarisierungsprozesses wie CMP entfernt. Das leitfähige Material kann Kupfer, Wolfram, Aluminium, Silber, Titan, Titannitrid, Tantal oder Kombinationen dieser und/oder dergleichen sein.
  • Die 3 veranschaulicht eine Querschnittsansicht des in 2 gezeigten Halbleiterbauteils, nachdem eine Öffnung in dem Substrat gemäß einer Ausführungsform ausgebildet worden ist. Eine Durchkontaktierungsöffnung 302 kann in die erste Seite 101 des Substrates 102 hinein ausgebildet sein. Die Durchkontaktierungsöffnung 302 kann durch Anwenden und Entwickeln einer geeigneten Fotolackschicht (nicht dargestellt) und durch Entfernen desjenigen Anteils des Substrates 102, der mit der benötigten Tiefe belichtet ist, ausgebildet werden. Die Durchkontaktierungsöffnung 302 kann derart ausgebildet werden, dass sie sich tiefer in das Substrat 102 als der MOS-Transistor 200 erstreckt, der innerhalb und/oder auf dem Substrat 102 ausgebildet ist.
  • Die 4 veranschaulicht eine Querschnittsansicht des in 3 gezeigten Halbleiterbauteils, nachdem eine Deckschicht auf den Seitenwänden und auf dem Boden der Öffnung gemäß einer Ausführungsform ausgebildet worden ist. Nachdem die Durchkontaktierungsöffnung 302 innerhalb des Substrates 102 ausgebildet worden ist, können die Seitenwände und der Boden der Durchkontaktierungsöffnung 302 mit einer Deckschicht 122 beschichtet werden.
  • Die Deckschicht 122 kann aus geeigneten dielektrischen Materialien wie TEOS, Siliziumnitrid, Oxid, Siliziumoxinitrid, dielektrischen Materialien mit niedrigem k-Wert, dielektrischen Materialien mit hohem k-Wert und/oder dergleichen ausgebildet sein.
  • Die Deckschicht 122 kann unter Verwendung geeigneter Herstellungsprozesse, wie mit Hilfe eines PECVD-Prozesses, ausgebildet werden, obwohl auch andere geeignete Prozesse wie PVD, ein thermischer Prozess und/oder dergleichen alternativ verwendet werden können. Darüber hinaus kann die Deckschicht 122 mit einer Dicke in einem Bereich zwischen ungefähr 0,1 μm und ungefähr 5 μm ausgebildet werden.
  • Die 5 veranschaulicht eine Querschnittsansicht des in 4 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine Sperrschicht über der Deckschicht ausgebildet worden ist. Die Sperrschicht 124 kann auf der Deckschicht 122 als auch auf der Oberseite der dielektrischen Zwischenschicht 115 abgeschieden werden. Die Sperrschicht 124 kann aus Titan, Titannitrid, Tantal, Tantalnitrid und Kombinationen dieser und/oder dergleichen ausgebildet werden. Die Sperrschicht 124 kann unter Verwendung geeigneter Herstellungstechniken wie ALD, PECVD, Plasma-verstärkter physikalischer Dampfabscheidung (PEPVD) und/oder dergleichen ausgebildet werden.
  • Die 6 veranschaulicht eine Querschnittsansicht des in 5 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine Saatschicht über der Sperrschicht ausgebildet worden ist. Die Saatschicht 126 kann aus Kupfer, Nickel, Gold, irgendeine Kombination dieser und/oder dergleichen ausgebildet werden. Die Saatschicht 126 kann mit Hilfe eines geeigneten Abscheideprozesses, etwa mit Hilfe von PVD, CVD und/oder dergleichen ausgebildet werden. Die Saatschicht 126 kann eine Dicke zwischen ungefähr 50 Å und ungefähr 1000 Å aufweisen.
  • Darüber hinaus kann die Saatschicht 126 mit einem Material legiert sein, welches die Hafteigenschaften der Saatschicht 126 verbessert, so dass diese als eine Haftschicht dienen kann. Beispielsweise kann die Saatschicht 126 mit einem Material wie Mangan oder Aluminium legiert sein, welches an die Schnittstelle zwischen der Saatschicht 126 und der Sperrschicht 124 migriert und die Haftung zwischen diesen beiden Schichten verstärkt. Das legierende Material kann während der Ausbildung der Saatschicht 126 eingeführt werden. Das legierende Material kann nicht mehr als ungefähr 10% der Saatschicht aufweisen.
  • Die 7 veranschaulicht eine Querschnittsansicht des in 6 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine dielektrische Schicht über der Saatschicht ausgebildet worden ist. Eine dielektrische Schicht 702 ist auf der Oberseite der Saatschicht 126 ausgebildet. Die dielektrische Schicht 702 kann entweder aus Fotolackmaterialien oder aus Nicht-Fotolackmaterialien ausgebildet sein. Gemäß einer Ausführungsform kann die dielektrische Schicht 702 aus gewöhnlichen Fotolackmaterialien ausgebildet sein. Die dielektrische Schicht 702 kann mit Hilfe geeigneter Herstellungstechniken, etwa mit Hilfe von Spin-Coating und/oder dergleichen ausgebildet sein.
  • Die 8 veranschaulicht eine Querschnittsansicht des in 7 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein Strukturierungsprozess auf die dielektrische Schicht angewendet worden ist. Unter Berücksichtigung der Anordnung der Metallleitungen und der Durchkontaktierung werden selektive Bereiche der dielektrischen Schicht 702 belichtet. Daraus resultiert, dass das Fotolackmaterial in der Öffnung 302 entfernt wird und eine Vielfalt von Öffnungen (z. B. die Öffnung 802) auf der Oberseite der Saatschicht 126 ausgebildet werden. Die Ausbildung der Öffnungen, etwa der Öffnung 802 in der dielektrischen Schicht 702, umfasst Lithografieschritte, welche bekannt sind und daher an dieser Stelle nicht weiter beschrieben werden.
  • Die 9 veranschaulicht eine Querschnittsansicht des in 8 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein leitfähiges Material in die Öffnungen gefüllt worden ist. Die Durchkontaktierungsöffnung als auch die Öffnungen (z. B. die Öffnung 802) auf der Oberseite der Saatschicht 126 können mit einem leitfähigen Material gefüllt werden. Das leitfähige Material kann Kupfer aufweisen, obwohl auch andere geeignete Materialien wie Aluminium, Legierungen, Wolfram, Silber, dotiertes Polysilizium, Kombinationen dieser und/oder dergleichen alternativ verwendet werden können.
  • Wie in 9 gezeigt ist, ist die Durchkontaktierungsöffnung 302 teilweise mit dem leitfähigen Material gefüllt. Das leitfähige Material kann mit Hilfe eines Elektroplattierungsprozesses in die Öffnung 302 gefüllt werden. Der Elektroplattierungsprozess wird derart gesteuert, dass die Oberfläche 902 des Bodenabschnitts der Durchkontaktierung niedriger als die Oberfläche 904 des Substrates 102 angeordnet ist. Die 9 veranschaulicht weiterhin, dass die Metallleitungen 912, 914 und 916 der ersten Metallisierungsschicht bei demselben Herstellungsschritt wie die Durchkontaktierung ausgebildet werden.
  • Gemäß einer Ausführungsform weisen die Metallleitungen 912, 914 und 916 eine Dicke in einem Bereich zwischen ungefähr 0,5 μm und ungefähr 10 μm auf. Die Seitenwände der Durchkontaktierung können eine Dicke aufweisen, die derjenigen der Metallleitungen 912, 914 und 916 ähnelt. Der Bodenabschnitt der Durchkontaktierung kann eine Dicke aufweisen, die ungefähr 10- bis 50-mal größer als die Dicke der Metallleitungen 912, 914 und 916 ist. Es sollte festgehalten werden, dass das Dickenverhältnis zwischen dem Bodenabschnitt und der Durchkontaktierung sowie den Metallleitungen durch Steuern des Elektroplattierungsprozesses angepasst werden kann.
  • Eine vorteilhafte Eigenschaft des Vorliegens der Metallleitungen der ersten Metallisierungsschicht sowie der Metallabschnitte der Durchkontaktierung, die während desselben Elektroplattierungsprozesses ausgebildet werden, liegt darin, dass die gesamte Produktionszeit des Halbleiterbauteils reduziert wird. Darüber hinaus wird die Durchkontaktierung teilweise mit dem leitfähigen Material gefüllt. Eine derartige, teilweise gefüllte Struktur hilft dabei, die Zeit des Elektroplattierungsprozesses herabzusetzen. Darüber hinaus wird der üblicherweise bei gewöhnlichen Herstellungsprozessen verwendete Planarisierungsprozess eingespart. Daraus folgt, dass sowohl die Kosten als auch die Produktionszeit des Halbleiterbauteils verbessert werden.
  • Eine weitere vorteilhafte Eigenschaft des mit Bezug auf 9 zuvor beschriebenen Herstellungsprozesses besteht darin, dass die teilweise gefüllte Struktur dabei hilft, einige gewöhnliche Probleme konventioneller Strukturen zu lösen. Beispielsweise wird bei einem Durchkontaktierungs-zuerst-Herstellungsprozess die Durchkontaktierung vor der Verbindungsstruktur ausgebildet. Während des Back-end-of-line(BEOL)-Prozesses verursachen die thermischen Spannungen des BEOL-Prozesses Zuverlässigkeitsprobleme, beispielsweise Kupferabplatzungen und dergleichen. Durch das Anwenden der in 9 gezeigten, teilweise gefüllten Struktur, kann das Kupferabplatzungsproblem nicht zu Zuverlässigkeitsproblemen führen, weil die Durchkontaktierung nicht vollständig mit Kupfer gefüllt ist. Das dielektrische Material (nicht dargestellt), welches in die Durchkontaktierung gefüllt ist, kann als ein Spannungspuffer dienen, welcher das Kupfer der Durchkontaktierung davon abhält, herauszuplatzen.
  • Die 10 veranschaulicht eine Querschnittsansicht des in 9 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform die verbleibende Fotolackschicht entfernt worden ist. Die in 9 gezeigte verbleibende Fotolackschicht kann unter Verwendung eines geeigneten Fotolack-Abziehprozesses, etwa mit Hilfe chemischer Lösungsreinigung, Plasma-Veraschung, Trockenabziehen und/oder dergleichen entfernt werden. Die Fotolack-Abziehtechniken sind hinreichend bekannt und werden daher an dieser Stelle nicht weiter diskutiert, um Wiederholungen zu vermeiden.
  • Darüber hinaus können die Sperrschicht und die Saatschicht unterhalb der verbleibenden Fotolackschicht (in 9 nicht gezeigt) unter Verwendung eines geeigneten Ätzprozesses, wie Nassätzen, Trockenätzen und/oder dergleichen entfernt werden. Die detaillierten Abläufe sowohl des Trockenätzprozesses als auch des Nassätzprozesses sind hinreichend bekannt und werden daher an dieser Stelle nicht weiter diskutiert, um Wiederholungen zu vermeiden.
  • Die 11 veranschaulicht eine Querschnittsansicht des in 10 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine dielektrische Zwischenmetallschicht abgeschieden worden ist. Die dielektrische Zwischenmetallschicht 182 wird über der dielektrischen Zwischenschicht 115 ausgebildet. Genauer wird die verbleibende Öffnung (in 10 gezeigt) der Durchkontaktierung mit dem dielektrischen Material mittels der Abscheidung der dielektrischen Zwischenmetallschicht 182 gefüllt. Die dielektrische Zwischenmetallschicht 1.82 kann aus einem dielektrischen Material mit niedrigem k-Wert ausgebildet sein, etwa aus Fluorsilikatglas (FSG) und/oder dergleichen. Die dielektrische Zwischenmetallschicht 182 kann mit Hilfe eines geeigneten Abscheideprozesses, etwa mit Hilfe von Spin-Coating und/oder dergleichen ausgebildet werden.
  • Eine vorteilhafte Eigenschaft einer mit einer Kombination aus einem leitfähigen Material und einem dielektrischen Material gefüllten Durchkontaktierung besteht darin, dass der dielektrische Mittelabschnitt als ein Spannungspuffer wirkt. Ein derartiger Spannungspuffer hilft dabei, die Durchkontaktierung davor zu bewahren, durch thermische oder mechanische Verspannungen während der darauffolgenden Herstellungsschritte zerstört zu werden.
  • Die 12 veranschaulicht eine Querschnittsansicht des in 11 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform zwei zusätzliche Metallisierungsschichten über der ersten Metallisierungsschicht ausgebildet worden sind. Wie in 12 gezeigt ist, werden zwei zusätzliche Metallisierungsschichten über der ersten Metallisierungsschicht ausgebildet. Während 12 zwei Metallisierungsschichten zeigt, die über der ersten Metallisierungsschicht ausgebildet sind, wird der Fachmann ohne weiteres anerkennen, dass auch mehr dielektrische Zwischenmetallschichten (nicht dargestellt) und die dazugehörigen Metallleitungen und Stecker (nicht dargestellt) zwischen den in 12 gezeigten Metallisierungsschichten (z. B. zwischen den Schichten 1206 und 1216) ausgebildet werden können. Insbesondere können die Schichten zwischen den in 12 gezeigten Metallisierungsschichten mit Hilfe abwechselnder Schichten dielektrischer (z. B. eines dielektrischen Materials mit extrem niedrigem k-Wert) und leitfähiger Materialien (z. B. Kupfer) ausgebildet werden.
  • Es sollte weiterhin festgehalten werden, dass die in 12 gezeigten Metallisierungsschichten mit Hilfe eines doppelten Damaszen-Prozesses ausgebildet werden, obwohl andere geeignete Techniken wie Abscheidung und Einzel-Damaszen alternativ verwendet werden können. Der doppelte Damaszen-Prozess ist aus dem Stand der Technik hinreichend bekannt und wird daher hier nicht weiter diskutiert.
  • Die zweite Metallleitung 1202 sowie der zweite Stecker 1204 sind mit Hilfe eines doppelten Damaszen-Prozesses ausgebildet. Die zweite Metallleitung 212 ist in einer zweiten dielektrischen Zwischenmetallschicht 1206 eingebettet, welche der ersten dielektrischen Zwischenmetallschicht 182 ähnelt. Der zweite Stecker 1204 ist in der ersten dielektrischen Zwischenmetallschicht 182 ausgebildet. Genauer sind die zweite Metallleitung 1202 und die Metallleitung 912 über dein zweiten Stecker 1204 miteinander verbunden. Die zweite Metallleitung 1202 und der Stecker 1204 können aus Materialien wie Kupfer, Kupferlegierungen, Aluminium, Silber, Gold, irgendwelchen Kombinationen dieser und/oder dergleichen ausgebildet sein. Die dritte Metallleitung 1212 und der dritte Stecker 1214 sind in dielektrischen Schichten 1216 bzw. 1208 ausgebildet. Die dritte Metallleitung 1212 und der dritte Stecker 1214 ähneln der zweiten Metallleitung 1202 sowie dem zweiten Stecker 1214 und sie werden daher hier nicht weiter diskutiert, um Wiederholungen zu vermeiden.
  • Die 13 veranschaulicht eine Querschnittsansicht des in 12 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine Passivierungsschicht auf der Oberseite der dielektrischen Zwischenmetallschicht ausgebildet worden ist. Die Passivierungsschicht 154 ist aus nicht-organischen Materialien wie undotiertem Silikatglas, Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid, Bor-dotiertem Siliziumoxid, Phosphor-dotiertem Siliziumoxid und/oder dergleichen ausgebildet. Alternativ kann die Passivierungsschicht 154 aus einem Dielektrikum mit niedrigem k-Wert, etwa aus Kohlenstoff-dotiertem Oxid und/oder dergleichen, ausgebildet sein. Darüber hinaus können Dielektrika mit extrem niedrigem k-Wert (ELK) wie porös Kohlenstoff-dotierte Siliziumdioxide verwendet werden, um die Passivierungsschicht 154 auszubilden. Die Passivierungsschicht 154 kann mit Hilfe irgendeines geeigneten Prozesses wie CVD ausgebildet werden.
  • Wie in 13 gezeigt ist, kann in der Passivierungsschicht 154 eine Öffnung ausgebildet sein. Die Öffnung wird dazu verwendet, einen Metallanschluss 156 zu beherbergen. Wie in 13 gezeigt ist, ist der Metallanschluss 156 in die Passivierungsschicht 154 eingebettet. Insbesondere stellt der Metallanschluss 156 einen leitfähigen Kanal zwischen den Metallleitungen (z. B. der Metallleitung 1212) sowie einer Post-Passivierungs-Verbindungsstruktur (nicht dargestellt, jedoch in 24 veranschaulicht) her. Der Metallanschluss 156 kann aus metallischen Materialien wie Kupfer, Kupferlegierungen, Aluminium, Silber, Gold und irgendeiner Kombination dieser und/oder aus mehreren Schichten dieser ausgebildet sein. Der Metallanschluss 156 kann mit Hilfe irgendeiner geeigneten Technologie wie CVD ausgebildet sein. Alternativ kann der Metallanschluss 156 mit Hilfe von Sputter-Deposition, Elektroplattierung und/oder dergleichen ausgebildet sein.
  • Die 14 veranschaulicht eine Querschnittsansicht des in 13 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine erste Polymerschicht auf der Oberseite der Passivierungsschicht ausgebildet worden ist. Die erste Polymerschicht 156 ist auf der Oberseite der Passivierungsschicht 154 ausgebildet. Die erste Polymerschicht 158 kann aus Polymermaterialien wie Epoxid, Polyimid, Polybenzoxazol (PBO), Silizium, Benzocyclobuten (BCB), Vergußzusammensetzungen und/oder dergleichen ausgebildet sein. Gemäß einer Ausführungsform kann die erste Polymerschicht 158 aus PBO ausgebildet sein. Die erste Polymerschicht 158 kann mit Hilfe irgendeines geeigneten Abscheideverfahrens, welches aus dem Stand der Technik bekannt ist, etwa mittels Spin-Beschichtung, hergestellt sein.
  • Die 15 veranschaulicht eine Querschnittsansicht des in 14 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein Strukturierungsprozess auf die Oberfläche der ersten Polymerschicht angewendet worden ist. Der Strukturierungsprozess kann unter Verwendung geeigneter Strukturierungstechnologien, etwa mit Hilfe eines Ätzprozesses, Laserablation und/oder dergleichen umgesetzt werden. Entsprechend der Form und der Anordnung der Umverteilungsleitung kann ein Ätzprozess oder ein Laserstrahl (nicht dargestellt) auf die Oberfläche der ersten Polymerschicht 158 angewendet werden. Daraus resultiert, dass ein Anteil der ersten Polymerschicht 158 entfernt wird, um eine Öffnung 1502 auszubilden.
  • Die 16 veranschaulicht eine Querschnittsansicht des in 15 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine Saatschicht auf der Oberseite der ersten Polymerschicht ausgebildet worden ist. Die Saatschicht 164 wird über der ersten Polymerschicht 158 ausgebildet. Die Saatschicht 164 kann zwei Anteile aufweisen, nämlich eine untere Saatschicht (nicht dargestellt) und eine obere Saatschicht (nicht dargestellt). Die untere Saatschicht kann eine Titanschicht, eine Titannitridschicht, eine Tantalschicht, eine Tantalnitridschicht und/oder dergleichen sein. Die obere Saatschicht kann aus Kupfer, Kupferlegierungen und/oder dergleichen ausgebildet sein. Gemäß einer Ausführungsform kann die Saatschicht 164 unter Verwendung irgendeiner geeigneten Technologie wie CVD, PVD und/oder dergleichen ausgebildet werden.
  • Die 17 veranschaulicht eine Querschnittsansicht des in 16 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine Umverteilungsleitung auf der Oberseite der Saatschicht ausgebildet worden ist. Wie in 17 gezeigt ist, kann ein leitfähiges Material in die Öffnung (z. B. die Öffnung 1502, die in 15 gezeigt ist) eingefüllt werden, um die Umverteilungsleitung 166 auszubilden. Das leitfähige Material kann Kupfer sein, es kann jedoch auch irgendein anderes geeignetes leitfähiges Material sein, wie Kupferlegierungen, Aluminium, Wolfram, Silber, irgendwelche Kombinationen dieser und/oder dergleichen. Die Umverteilungsleitung 166 kann mit Hilfe irgendeiner geeigneten Technologie, etwa mit Hilfe von stromlosem Plattieren, CVD, Elektroplattieren und/oder dergleichen ausgebildet sein.
  • Wie in 17 gezeigt ist, verbindet die Umverteilungsleitung 166 den Metallanschluss 156. Genauer stellt die Umverteilungsleitung 166 einen leitfähigen Pfad zwischen den Metallleitungen (z. B. der Metallleitung 1212) und dem Eingangs-/Ausgangsanschluss des Halbleiterbauteils (z. B. dem in 24 gezeigten Höcker 176) bereit. Die Funktionsweise der Umverteilungsleitungen ist aus dem Stand der Technik. hinreichend bekannt und wird daher an dieser Stelle nicht im Detail beschrieben.
  • Die 18 veranschaulicht eine Querschnittsansicht des in 17 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine zweite Polymerschicht über dem Halbleiterbauteil ausgebildet worden ist. Die zweite Polymerschicht 162 ist auf der Oberseite der ersten Polymerschicht 158 ausgebildet. Die zweite Polymerschicht 162 besteht aus Polymermaterialien wie Epoxid, Polyimid, Polybenzoxazol (PBO), Silizium, Benzocyclobuten (BCB), Vergußzusammensetzungen und/oder dergleichen. Die zweite Polymerschicht 162 kann auf der ersten Polymerschicht 158 unter Verwendung geeigneter Abscheidetechnologien wie Span-Beschichten abgeschieden sein. Die zweite Polymerschicht 158 kann eine Dicke in einem Bereich von ungefähr 4 μm bis ungefähr 10 μm aufweisen.
  • Die 19 veranschaulicht eine Querschnittsansicht des in 18 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein Strukturierungsprozess auf die Oberfläche der zweiten Polymerschicht angewendet worden ist. Der Strukturierungsprozess kann unter Verwendung von Lithografie- und Ätzprozessen umgesetzt werden. Alternativ kann der Strukturierungsprozess unter Verwendung eines Laserablationsprozosses umgesetzt werden. Entsprechend der Form und der Anordnung der Under-Bump-Metallisierungs(UBM)-Struktur (nicht dargestellt, jedoch in 24 veranschaulicht), kann ein Ätzprozess oder ein Laserstrahl auf die Oberfläche der zweiten Polymerschicht 162 angewendet werden, um eine Öffnung 1902 auszubilden.
  • Die 20 veranschaulicht eine Querschnittsansicht des in 19 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine UBM-Saatschicht auf der Oberseite der zweiten Polymerschicht ausgebildet worden ist. Die UBM-Saatschicht 172 wird auf der zweiten Polymerschicht 162 abgeschieden. Die UBM-Saatschicht 172 kann leitfähige Materialien wie Kupfer und/oder dergleichen aufweisen. Die UBM-Saatschicht 172 kann unter Verwendung geeigneter Herstellungstechnologien wie Sputter-Deposition, CVD und dergleichen umgesetzt werden.
  • Die 21 veranschaulicht eine Querschnittsansicht des in 20 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine zweite leitfähige Schicht auf der Oberseite der UBM-Saatschicht ausgebildet worden ist. Wie in 21 gezeigt ist, können, um eine zuverlässige UBM-Struktur zu erhalten, zusätzliche leitfähige Materialien in konformer Weise auf der Oberseite der UBM-Saatschicht 172 abgeschieden werden, um eine zusätzliche leitfähige Schicht 174 auszubilden. Das leitfähige Material kann Kupfer sein, es kann jedoch auch irgendein anderes geeignetes leitfähiges Material sein, wie Kupferlegierungen, Aluminium, Wolfram, Silber, irgendeine Kombination dieser und/oder dergleichen. Die leitfähige Schicht 174 kann unter Verwendung geeigneter Technologien, etwa mit Hilfe eines stromlosen Plattierungsprozesses ausgebildet werden.
  • Die 22 veranschaulicht eine Querschnittsansicht des in 21 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein Verbindungshöcker auf der UBM-Struktur ausgebildet worden ist. Der Verbindungshöcker 176 stellt eine effektive Art und Weise zur Verfügung, um das Halbleiterbauteil mit externen Schaltkreisen (nicht dargestellt) zu verbinden. Gemäß einer Ausführungsform kann der Verbindunghöcker 156 eine Lotkugel sein. Die Lotkugel 176 kann aus irgendwelchen geeigneten Materialien hergestellt sein. Gemäß einer Ausführungsform kann die Lotkugel 176 SAC405 aufweisen. SAC405 weist 95,5% Sn, 4,0% Ag sowie 0,5% Cu auf.
  • Gemäß einer anderen Ausführungsform kann der Verbindungshöcker 176 ein Kupferhöcker sein. Der Kupferhöcker kann eine Höhe von ungefähr 45 μm aufweisen. Der Kupferhöcker kann unter Verwendung einer Vielfalt von Halbleiterpackungstechnologien, wie mit Hilfe von Sputter-Deposition, Elektroplattierung und/oder dergleichen ausgebildet sein.
  • Die 23 ist eine Querschnittsansicht des in 22 gezeigten Halbleiterbauteils, nachdem ein Verdünnungsprozess auf die zweite Seite des Substrates angewendet worden ist. Entsprechend den Herstellungsprozessen für Durchkontaktierungen wird die zweite Seite (mithin die Rückseite) des Substrates 102 so lange verdünnt, bis das leitfähige Material der Durchkontaktierung freigelegt ist.
  • Der Verdünnungsprozess kann unter Verwendung geeigneter Technologien wie Schleifen, Polieren und/oder chemischen Ätzens oder mit Hilfe einer Kombination von Ätzen und Schleifen umgesetzt werden. Gemäß einer Ausführungsform kann der Verdünnungsprozess unter Verwendung eines CMP-Prozesses umgesetzt werden. Bei dem CMP-Prozess werden eine Kombination von Ätzmaterialien und abrasiven Materialien mit der Rückseite des Substrates in Verbindung gebracht, und ein Schleifpad (nicht dargestellt) wird dazu verwendet, um die Rückseite des Substrates 102 so lange abzuschleifen, bis das leitfähige Material der Durchkontaktierung freigelegt ist.
  • Die 24 veranschaulicht eine Querschnittsansicht des in 23 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein Rückseitenkontakt auf der zweiten Seite des Substrates ausgebildet worden ist. Ein Reinigungsprozess kann angewendet werden, um irgendwelche verbleibenden Rückstände wie Kupferoxid auf der Rückseite des Substrates 102 zu entfernen, und ein Rückseitenkontakt 2402 kann auf der zweiten Seite des Substrates 102 in elektrischen Kontakt mit dem leitfähigen Material, welches innerhalb der Durchkontaktierung angeordnet ist, ausgebildet werden.
  • Der Rückseitenkontakt 2402 kann eine leitfähige Schicht (nicht dargestellt) aufweisen sowie eine stromlose Nickeltauchgold(ENIC)-Schicht (nicht dargestellt). Die leitfähige Schicht kann Aluminium aufweisen und sie kann mit Hilfe eines Sputter-Depositionsprozesses ausgebildet sein. Alternativ können jedoch auch andere Materialien wie Nickel, Kupfer und/oder dergleichen verwendet werden. Darüber hinaus können auch andere Ausbildungsprozesse wie Elektroplattieren oder stromloses Plattieren alternativ verwendet werden, um die leitfähige Schicht auszubilden. Die leitfähige Schicht kann mit einer Dicke zwischen ungefähr 0,5 μm und ungefähr 3 μm ausgebildet werden.
  • An die Ausbildung der leitfähigen Schicht kann sich ein ENIC-Prozess anschließen, um die ENIC-Schicht auszubilden. Der ENIC-Prozess kann das Reinigen der leitfähigen Schicht, das Tauchen des Substrates 102 in eine Zinkat-Aktivierungslösung, das stromlose Plattieren von Nickel auf die leitfähige Schicht und das stromlose Plattieren von Gold auf das Nickel aufweisen.
  • Alternativ können sich an die Ausbildung der leitfähigen Schicht andere leitfähige Schichten, welche der ENIC-Schicht ähneln, anschließen. Beispielsweise kann die leitfähige Schicht eine Stromlos-Nickel-Stromlos-Palladium-Tauchgoldschicht (ENEPIG) sein, welche eine Nickelschicht, eine Palladiumschicht auf der Nickelschicht und eine Goldschicht auf der Palladiumschicht aufweist. Darüber hinaus kann die ENIC- oder die ENEPIG-Schicht durch andere ähnliche leitfähige Schichten ersetzt werden, etwa eine Stromlos-Nickel-Stromlos-Palladium-Schicht (ENEP) oder eine direkt getauchte Goldschicht (DIG) und/oder dergleichen.
  • Eine rückseitige Passivierungsschicht 2404 kann teilweise über den Rückseitenkontakt 2402 ausgebildet sein, um den Rückseitenkontakt 2402 zu versiegeln und zu schützen. Die rückseitige Passivierungsschicht 2404 kann ein dielektrisches Material wie ein Oxid oder Siliziumnitrid aufweisen, obwohl auch andere geeignete Dielektrika, etwa ein Dielektrikum mit hohem k-Wert, alternativ verwendet werden können.
  • Die rückseitige Passivierungsschicht 2404 kann unter Verwendung eines PECVD-Prozesses ausgebildet werden, obwohl auch irgendein anderer geeigneter Prozess alternativ verwendet werden könnte. Nachdem die rückseitige Passivierungsschicht 2404 auf der zweiten Seite des Substrates abgeschieden worden ist, wird ein Strukturierungsprozess auf die rückseitige Passivierungsschicht 2404 angewendet, um zumindest einen Anteil des Rückseitenkontaktes 2402 freizulegen. Eine geeignete Ätztechnologie kann auf die rückseitige Passivierungsschicht 2404 angewendet werden, so dass der Rückseitenkontakt 2402 freigelegt wird. Daraus resultiert, dass externe Bauteile (nicht dargestellt) mit dem Rückseitenkontakt 2402 verbunden werden können.
  • Obwohl Ausführungsformen der vorliegenden Offenbarung und deren Vorteile im Detail beschrieben worden sind, sollte verstanden werden. dass verschiedene Änderungen, Ersetzungen und Abwandlungen davon gemacht werden können, ohne dass dadurch aus dem Umfang der Offenbarung, wie er in den anhängenden Ansprüchen festgelegt ist, herausgetreten wird.
  • Darüber hinaus ist der Umfang der vorliegenden Anmeldung nicht dazu vorgesehen, auf die in der Beschreibung angegebenen bestimmten Ausführungsformen des Prozesses, der Maschine, der Herstellungsverfahren, der Zusammensetzung der Materie, der Mittel, der Verfahren und Schritte beschränkt zu werden. Wie der Fachmann der vorliegenden Offenbarung ohne weiteres entnehmen wird, sollen auch derartige Prozesse, Maschinen, Herstellungsverfahren, Zusammensetzungen der Materie, Mittel, Verfahren oder Schritte, welche derzeit bereits existieren oder später erst entwickelt werden, welche jedoch im Wesentlichen dieselbe Funktion aufweisen oder im Wesentlichen dasselbe Ergebnis wie die hier beschriebenen entsprechenden Ausführungsformen erreichen, ebenso gemäß der vorliegenden Offenbarung verwendet werden können. Demgemäß sind die anhängenden Ansprüche dazu vorgesehen, in ihrem Umfang derartige Prozesse, Maschinen, Herstellungsverfahren, Zusammensetzungen der Materie, Mittel, Verfahren oder Schritte mit zu umfassen.

Claims (10)

  1. Vorrichtung, die aufweist: eine dielektrische Zwischenschicht, die auf einer ersten Seite eines Substrates ausgebildet ist; eine erste Metallisierungsschicht, die über der dielektrischen Zwischenschicht ausgebildet ist, wobei die erste Metallisierungsschicht eine Mehrzahl Metallleitungen aufweist, die in einem ersten dielektrischen Zwischenmetallmaterial ausgebildet ist; und eine Durchkontaktierung, die in dem Substrat ausgebildet ist, wobei die Durchkontaktierung aufweist: einen Bodenabschnitt, der aus einem leitfähigen Material ausgebildet ist, wobei der Bodenabschnitt angrenzend an eine zweite Seite des Substrates angeordnet ist; Seitenwandabschnitte, die aus dem leitfähigen Material ausgebildet sind, wobei erste Anschlüsse der Seitenwandabschnitte mit dem Bodenabschnitt verbunden sind, und wobei zweite Anschlüsse der Seitenwandabschnitte mit den Metallleitungen der ersten Metallisierungsschicht verbunden sind; und einen Mittelabschnitt, der zwischen den Seitenwandabschnitten ausgebildet ist, wobei der Mittelabschnitt aus einem dielektrischen Material ausgebildet ist.
  2. Vorrichtung nach Anspruch 1, bei der die Durchkontaktierung aufweist: eine Deckschicht, die auf Seitenwänden eines Durchkontaktierungsgrabens ausgebildet ist; eine Sperrschicht, die auf der Deckschicht ausgebildet ist; und eine Saatschicht, die auf der Sperrschicht ausgebildet ist.
  3. Vorrichtung nach Anspruch 1 oder 2, die weiterhin aufweist: eine erste seitliche Verbindungsstruktur, die über der ersten Metallisierungsschicht ausgebildet ist; und eine zweite seitliche Verbindungsstruktur, die über der zweiten Seite des Substrates ausgebildet ist, wobei die zweite seitliche Verbindungsstruktur mit der Durchkontaktierung verbunden ist.
  4. Vorrichtung nach irgendeinem der vorangegangenen Ansprüche, die weiterhin zumindest eines der folgenden Merkmale aufweist: a) das leitfähige Material ist Kupfer; b) eine Bodenfläche des Mittelabschnitts ist niedriger als eine Oberfläche des Substrates angeordnet; und c) der Mittelabschnitt ist aus dem ersten dielektrischen Zwischenmetallmaterial ausgebildet.
  5. Verfahren, das aufweist: Ausbilden einer dielektrischen Zwischenschicht über einer ersten Seite eines Substrates; Ausbilden einer Öffnung in dem Substrat; Füllen der Öffnung mit einen leitfähigen Material, wobei ein oberer Abschnitt der Öffnung frei von dem leitfähigen Material ist; und Abscheiden eines dielektrischen Materials über dem Substrat, wobei das dielektrische Material in den oberen Abschnitt der Öffnung gefüllt wird.
  6. Verfahren nach Anspruch 5, das weiterhin zumindest eine der folgenden Gruppen von Schritten aufweist: a) Ausbilden einer Deckschicht auf Seitenwänden und einem Boden der Öffnung; Ausbilden einer Sperrschicht über der Deckschicht; Ausbilden einer Saatschicht über der Sperrschicht; Abscheiden einer Fotolackschicht über der Saatschicht; Strukturieren der Fotolackschicht; und Anwenden eines Plattierungsprozesses auf die Saatschicht; und b) Ausbilden einer ersten seitlichen Verbindungsstruktur über der ersten Seite des Substrates; und Anwenden eines Verdünnungsprozesses auf eine zweite Seite des Substrates, bis das leitfähige Material der Öffnung von der zweiten Seite des Substrates her freigelegt ist.
  7. Verfahren nach Anspruch 5 oder 6, das weiterhin zumindest eines der folgenden Merkmale aufweist: a) das leitfähige Material ist Kupfer; b) das dielektrische Material ist ein lichtempfindliches Material; und c) eine Bodenfläche des oberen Abschnitts ist niedriger als eine Oberfläche des Substrates angeordnet.
  8. Verfahren, das aufweist: Ausbilden einer Öffnung in einem Substrat von einer ersten Seite des Substrates; Abscheiden einer Deckschicht auf Seitenwänden sowie einem Boden der Öffnung; Abscheiden einer Sperrschicht über der Deckschicht; Abscheiden einer Saatschicht über der Sperrschicht; Ausbilden einer Fotolackschicht über der Saatschicht; Strukturieren der Fotolackschicht, und dabei: Entfernen der Fotolackschicht in der Öffnung; und Entfernen von Abschnitten der Fotolackschicht auf dem Substrat, um Verbindungsgräben in der Fotolackschicht auszubilden; Füllen der Öffnung mit einem leitfähigen Material unter Verwendung eines elektrochemischen Plattierungsprozesses, wobei ein oberer Abschnitt der Öffnung frei von dem leitfähigen Material ist; Füllen der Verbindungsgräben zu ersten Metallleitungen mit dem leitfähigen Material unter Verwendung des elektrochemischen Plattierungsprozesses; und Abscheiden eines dielektrischen Materials über der Öffnung und den Verbindungsgräben, wobei der obere Abschnitt der Öffnung mit dem dielektrischen Material gefüllt wird.
  9. Verfahren nach Anspruch 8, das weiterhin aufweist: Schleifen einer zweiten Seite des Substrates, bis das leitfähige Material der Öffnung freigelegt ist.
  10. Verfahren nach Anspruch 8 oder 9, bei dem der elektrochemische Plattierungsprozess derart gesteuert ist, dass das leitfähige Material auf Seitenwänden und einem Bodenabschnitt der Öffnung abgeschieden wird, und wobei eine Oberfläche des Bodenabschnitts niedriger als eine Oberfläche des Substrates angeordnet ist.
DE102013103206.0A 2012-09-14 2013-03-28 Durchkontaktierungsstruktur sowie Verfahren Active DE102013103206B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/619,233 US9112007B2 (en) 2012-09-14 2012-09-14 Through via structure and method
US13/619,233 2012-09-14

Publications (2)

Publication Number Publication Date
DE102013103206A1 true DE102013103206A1 (de) 2014-03-20
DE102013103206B4 DE102013103206B4 (de) 2020-06-18

Family

ID=50181856

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013103206.0A Active DE102013103206B4 (de) 2012-09-14 2013-03-28 Durchkontaktierungsstruktur sowie Verfahren

Country Status (3)

Country Link
US (4) US9112007B2 (de)
CN (1) CN103681549B (de)
DE (1) DE102013103206B4 (de)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9093506B2 (en) * 2012-05-08 2015-07-28 Skyworks Solutions, Inc. Process for fabricating gallium arsenide devices with copper contact layer
US9112007B2 (en) 2012-09-14 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Through via structure and method
US9379077B2 (en) 2012-11-08 2016-06-28 Nantong Fujitsu Microelectronics Co., Ltd. Metal contact for semiconductor device
CN102915986B (zh) 2012-11-08 2015-04-01 南通富士通微电子股份有限公司 芯片封装结构
WO2014071815A1 (zh) * 2012-11-08 2014-05-15 南通富士通微电子股份有限公司 半导体器件及其形成方法
US9177914B2 (en) 2012-11-15 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal pad structure over TSV to reduce shorting of upper metal layer
US9123789B2 (en) * 2013-01-23 2015-09-01 United Microelectronics Corp. Chip with through silicon via electrode and method of forming the same
GB2530671A (en) * 2013-06-29 2016-03-30 Intel Corp Interconnect structure comprising fine pitch backside metal redistribution lines combined with vias
US9252110B2 (en) * 2014-01-17 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9659840B2 (en) * 2014-02-21 2017-05-23 Globalfoundries Inc. Process flow for a combined CA and TSV oxide deposition
US10056462B2 (en) * 2014-08-13 2018-08-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9881884B2 (en) * 2015-08-14 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9761509B2 (en) * 2015-12-29 2017-09-12 United Microelectronics Corp. Semiconductor device with throgh-substrate via and method for fabrication the semiconductor device
US10504821B2 (en) * 2016-01-29 2019-12-10 United Microelectronics Corp. Through-silicon via structure
US10522532B2 (en) * 2016-05-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Through via extending through a group III-V layer
US10128182B2 (en) * 2016-09-14 2018-11-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
CN106601624B (zh) * 2016-12-02 2019-04-09 厦门市三安集成电路有限公司 一种化合物半导体的金属连线方法及结构
US10818627B2 (en) * 2017-08-29 2020-10-27 Advanced Semiconductor Engineering, Inc. Electronic component including a conductive pillar and method of manufacturing the same
US10741477B2 (en) * 2018-03-23 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11404310B2 (en) * 2018-05-01 2022-08-02 Hutchinson Technology Incorporated Gold plating on metal layer for backside connection access
JP7261545B2 (ja) * 2018-07-03 2023-04-20 新光電気工業株式会社 配線基板、半導体パッケージ及び配線基板の製造方法
KR102493464B1 (ko) * 2018-07-19 2023-01-30 삼성전자 주식회사 집적회로 장치 및 이의 제조 방법
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
US11096271B1 (en) * 2020-04-09 2021-08-17 Raytheon Company Double-sided, high-density network fabrication
US11621209B2 (en) * 2021-08-17 2023-04-04 Qualcomm Incorporated Semiconductor device thermal bump

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057038A (en) * 1996-08-02 2000-05-02 Sharp Kabushiki Kaisha Substrate for use in display element, method of manufacturing the same, and apparatus for manufacturing the same
US6016011A (en) * 1999-04-27 2000-01-18 Hewlett-Packard Company Method and apparatus for a dual-inlaid damascene contact to sensor
US6774039B1 (en) * 2002-08-08 2004-08-10 Novellus Systems, Inc. Process scheme for improving electroplating performance in integrated circuit manufacture
US6645851B1 (en) * 2002-09-17 2003-11-11 Taiwan Semiconductor Manufacturing Company Method of forming planarized coatings on contact hole patterns of various duty ratios
JP4093186B2 (ja) * 2004-01-27 2008-06-04 カシオ計算機株式会社 半導体装置の製造方法
US7215032B2 (en) * 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US7833895B2 (en) * 2008-05-12 2010-11-16 Texas Instruments Incorporated TSVS having chemically exposed TSV tips for integrated circuit devices
US20110200937A1 (en) 2008-10-20 2011-08-18 Sumitomo Bakelite Co., Ltd. Positive photosensitive resin composition for spray coating and method for producing through electrode using the same
KR101692434B1 (ko) 2010-06-28 2017-01-18 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9112007B2 (en) 2012-09-14 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Through via structure and method

Also Published As

Publication number Publication date
CN103681549A (zh) 2014-03-26
US11756883B2 (en) 2023-09-12
CN103681549B (zh) 2017-03-01
US9831177B2 (en) 2017-11-28
US9112007B2 (en) 2015-08-18
US20150357263A1 (en) 2015-12-10
US20200343176A1 (en) 2020-10-29
US20180145022A1 (en) 2018-05-24
DE102013103206B4 (de) 2020-06-18
US20140077374A1 (en) 2014-03-20
US10714423B2 (en) 2020-07-14

Similar Documents

Publication Publication Date Title
DE102013103206B4 (de) Durchkontaktierungsstruktur sowie Verfahren
US9953920B2 (en) Interconnect structure and method
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
US9847256B2 (en) Methods for forming a device having a capped through-substrate via structure
US11728296B2 (en) Interconnect structure and method of forming same
DE102014115955B4 (de) Struktur und Ausbildungsverfahren einer Damascene-Struktur
DE102014118969A1 (de) 3DIC Dichtungsring-Struktur und Verfahren zum Herstellen derselben
DE102010037339A1 (de) Durchsubstratmerkmale in Halbleitersubstraten
DE102017127227A1 (de) Verbindungsstruktur und Verfahren
US11011419B2 (en) Method for forming interconnect structure
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
US9847296B2 (en) Barrier layer and structure method
DE102021100457B4 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE102009033424A1 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023535000

Ipc: H01L0023532000

R020 Patent grant now final