DE102013101935B4 - Schutzschichten für leitfähige Pads und Verfahren zu deren Ausbildung - Google Patents

Schutzschichten für leitfähige Pads und Verfahren zu deren Ausbildung Download PDF

Info

Publication number
DE102013101935B4
DE102013101935B4 DE102013101935.8A DE102013101935A DE102013101935B4 DE 102013101935 B4 DE102013101935 B4 DE 102013101935B4 DE 102013101935 A DE102013101935 A DE 102013101935A DE 102013101935 B4 DE102013101935 B4 DE 102013101935B4
Authority
DE
Germany
Prior art keywords
layer
metal line
alloying
forming
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102013101935.8A
Other languages
English (en)
Other versions
DE102013101935A1 (de
Inventor
Reimund Engl
Stephan Henneck
Norbert Mais
Dirk Meinhold
Hans-Jörg Timme
Norbert Urbansky
Alfred Vater
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102013101935A1 publication Critical patent/DE102013101935A1/de
Application granted granted Critical
Publication of DE102013101935B4 publication Critical patent/DE102013101935B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53219Aluminium alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/4501Shape
    • H01L2224/45012Cross-sectional shape
    • H01L2224/45015Cross-sectional shape being circular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/4554Coating
    • H01L2224/45565Single coating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/4554Coating
    • H01L2224/45599Material
    • H01L2224/456Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/45664Palladium (Pd) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48617Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48624Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48817Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48824Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/4911Disposition the connectors being bonded to at least one common bonding area, e.g. daisy chain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Abstract

Halbleiterbauelement, das Folgendes aufweist:• eine Metallatome aufweisende Metallleitung (230), über einem Substrat (10) angeordnet, wobei ein Abschnitt einer oberen Oberfläche der Metallleitung (230) einen Kontaktbereich (271, 272) aufweist; und• eine die Metallatome aufweisende Schutzschicht (270), auf dem Kontaktbereich (271, 272) angeordnet, wobei die Schutzschicht (270) ein anderes Material als die Metallleitung (230) ist und die Metallleitung (230) teilweise abdeckt, so dass ein Abschnitt der Metallleitung (230) frei ist von der Schutzschicht (270);• eine Passivierungsschicht (240), auf der Metallleitung (230) angeordnet, wobei die Passivierungsschicht (240) eine Öffnung über dem Kontaktbereich (271, 272) aufweist, welche die Schutzschicht (270) teilweise freilegt; und• wobei die Passivierungsschicht den Abschnitt der Metallleitung (230) abdeckt.

Description

  • Die vorliegende Erfindung betrifft allgemein leitfähige Pads und insbesondere Schutzschichten für leitfähige Pads und Verfahren zu deren Ausbildung.
  • Halbleiterbauelemente umfassen viele Bauelemente wie etwa Transistoren, die unter Verwendung von Metallleitungen zusammengeschaltet sind. Traditionellerweise umfasste die Zusammenschaltungsmetallisierung Aluminiumleitungen. Aluminiummetallleitungen weisen jedoch größere Widerstände und eine schlechtere Zuverlässigkeit auf als äquivalente Kupfermetallleitungen, insbesondere wenn die Metallleitungen skaliert werden. Folglich wurden Kupfermetallleitungen auf einer niedrigeren Ebene der Metallzwischenverbindung für die fortgesetzte Skalierung der integrierten Schaltungstechnologie eingeführt. Die oberen Leitungen werden jedoch üblicherweise aufgrund der Komplexität beim Verwenden eines Ganz-Kupfer-Prozesses unter Einsatz von Aluminium hergestellt. Beispielsweise zersetzt sich Kupfer leicht, wenn es der Umgebung ausgesetzt ist. Analog können Kontaktpads, falls sie aus Kupfer hergestellt sind, während Postfabrikationsprozessen wie etwa dem Handling, der Lagerung, Wafer-Level-Parameter-/ Funktionstest, Data Retention Bakes und anderer Back-End-Verarbeitung korrodieren und/oder oxidieren. Deshalb muss sehr dafür gesorgt werden, falls Kupfer in oberen Metallisierungsebenen verwendet wird, Umgebungseffekte zu vermeiden. Alternativ kann Kupfer in den oberen Metallleitungen vermieden werden, um eine umgebungsbedingte Degradation zu vermeiden, führt aber zu einer herabgesetzten elektrischen Leistung des Halbleiterbauelements.
  • US 7 329 607 B2 beschreibt die Herstellung einer intermetallischen Schicht über der Oberfläche einer Metallschicht, wobei die intermetallische Schicht durch Vermischen eines Oberflächenbereichs der Metallschicht mit einer anderen metallhaltigen Schicht gebildet wird.
  • US 6 620 721 B1 beschreibt das Ausbilden eines Kupfer-Kontaktpads in einer Siliziumoxidschicht auf einem Substrat. Die Siliziumoxidschicht und das Kupfer-Kontaktpad werden vollständig mittels einer Aluminiumschicht abgedeckt. In einem Legierungsprozess wird die gesamte obere Oberfläche des Kupfer-Kontaktpads mit der Aluminiumschicht zu einer Al-Cu-Legierungsschicht reagiert. Gleichzeitig wird die neben dem Kupfer-Kontaktpad angeordnete Aluminiumschicht zu einer Oxidschicht umgewandelt. Darüber wird eine Passivierungsschicht ausgebildet.
  • US 2005/0098893 A1 beschreibt das Herstellen einer Metallleitung über einem Schichtstapel aus dielektrischem Material. Ferner beschreibt D3 das Abscheiden einer Elektrode. Eine Passivierungsschicht wird über dem Schichtstapel und einem Teil der Metallleitung gebildet.
  • US 5 453 401 A beschreibt das Bilden einer Schutzschicht auf einer Metalloberfläche, die Aluminium und Kupfer aufweist, mittels Aufbringens von Wasserstoffperoxid auf die Metalloberfläche.
  • Diese und weitere Probleme werden im Allgemeinen gelöst oder umgangen und technische Vorteile werden im Allgemeinen erzielt durch veranschaulichende Ausführungsformen der vorliegenden Erfindung.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung weist ein Halbleiterbauelement eine Metallleitung auf, die über einem Substrat angeordnete Metallatome aufweist. Ein Abschnitt einer oberen Oberfläche der Metallleitung weist einen Kontaktbereich auf, beispielsweise zum Anbringen von Kontaktdrähten oder zum Durchführen eines elektrischen Tests. Eine die Metallatome umfassende Schutzschicht ist auf dem Kontaktbereich angeordnet. Die Schutzschicht ist aus einem anderen Material als die Metallleitung hergestellt und deckt die Metallleitung, so dass ein Abschnitt der Metallleitung frei ist von der Schutzschicht. Eine Passivierungsschicht, ist auf der Metallleitung angeordnet, wobei die Passivierungsschicht eine Öffnung über dem Kontaktbereich aufweist, welche die Schutzschicht teilweise freilegt, und wobei die Passivierungsschicht den Abschnitt der Metallleitung abdeckt.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung weist ein Halbleiterbauelement Folgendes auf: eine Metallatome aufweisende Metallleitung über einem Substrat; eine die Metallatome aufweisende Legierungsschicht, welche auf einer oberen Oberfläche der Metallleitung und Seitenwänden der Metallleitung angeordnet ist, wobei die Legierungsschicht ein anderes Material als die Metallleitung aufweist, welches ferner ein legierendes Element aufweist; eine das legierende Element aufweisende Beschichtung auf der Legierungsschicht, wobei die Beschichtung eine Öffnung aufweist, welche die Legierungsschicht teilweise freilegt; wobei die Beschichtung ein anderes Material als die Legierungsschicht aufweist, welches das legierende Element aufweist; und eine Lötschicht auf der freigelegten Legierungsschicht.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung weist ein Verfahren zum Ausbilden eines Halbleiterbauelements Folgendes auf: Ausbilden einer Metallleitung über einem Substrat; Abscheiden einer legierenden Materialschicht über einer oberen Oberfläche der Metallleitung; Ausbilden einer Legierungsschicht durch Umwandeln eines ersten Abschnitts der Metallleitung durch Legieren der Metallleitung mit der legierenden Materialschicht, wobei ein zweiter Abschnitt der Metallleitung frei bleibt von der Schutzschicht; Ausbilden einer Passivierungsschicht auf der Metallleitung, wobei die Passivierungsschicht eine Öffnung über dem Kontaktbereich aufweist, welche die Schutzschicht teilweise freilegt; und wobei die Passivierungsschicht den Abschnitt der Metallleitung abdeckt.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung weist ein Verfahren zum Ausbilden eines Halbleiterbauelements auf: Ausbilden einer Metallleitung über einem Substrat; Abscheiden einer legierenden Materialschicht über einer oberen Oberfläche der Metallleitung und Seitenwänden der Metallleitung; und Ausbilden einer Legierungsschicht durch Umwandeln eines Abschnitts der Metallleitung durch Legieren der Metallleitung mit der legierenden Materialschicht; Umwandeln einer freigelegten Oberfläche der Legierungsschicht zum Ausbilden einer Beschichtung; Ätzen der Beschichtung zum Freilegen der Legierungsschicht; und Ausbilden einer Lötschicht auf der freigelegten Legierungsschicht.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung weist ein Verfahren zum Ausbilden eines Halbleiterbauelements Folgendes auf: Ausbilden einer Metallleitung über einem Substrat; Ausbilden einer Passivierungsschicht über einer oberen Oberfläche und Seitenwänden der Metallleitung; und Öffnen eines Abschnitts der Passivierungsschicht zum Exponieren der oberen Oberfläche der Metallleitung, wobei die Passivierungsschicht über zumindest einem Abschnitt der oberen Oberfläche der Metallleitung und über zumindest einem Abschnitt der Seitenwände der Metallleitung verbleibt; Ausbilden einer legierenden Materialschicht über einer oberen Oberfläche der Metallleitung; Ausbilden einer Legierungsschicht durch Umwandeln eines Abschnitts der Metallleitung durch Legieren der Metallleitung mit der legierenden Materialschicht; Ausbilden einer Beschichtung durch Umwandeln einer freigelegten Oberfläche der Legierungsschicht; Entfernen der Beschichtung zum Freilegen der Legierungsschicht; und Ausbilden einer Lötschicht auf der freigelegten Legierungsschicht.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung weist ein Verfahren zum Ausbilden eines Halbleiterbauelements Folgendes auf: Ausbilden einer Ätzstoppschicht über einem Substrat; Ausbilden einer Metallleitung über der Ätzstoppschicht; Ausbilden einer legierenden Materialschicht über einer oberen Oberfläche der Metallleitung und Seitenwänden der Metallleitung und über einer freigelegten oberen Oberfläche der Ätzstoppschicht; und Ausbilden einer Legierungsschicht durch Umwandeln eines Abschnitts der Metallleitung durch Legieren der Metallleitung mit der legierenden Materialschicht; Entfernen von verbleibendem Material der legierenden Materialschicht von oberhalb der Ätzstoppschicht nach dem Ausbilden der Legierungsschicht; Umwandeln einer freigelegten Oberfläche der Legierungsschicht zum Ausbilden einer Beschichtung; Entfernen der Beschichtung zum Freilegen der Legierungsschicht; und Ausbilden einer Lötschicht auf der freigelegten Legierungsschicht.
  • In einer Ausgestaltung kann die Schutzschicht innerhalb einer Ausnehmung in der Metallleitung angeordnet sein.
  • In noch einer Ausgestaltung kann die Schutzschicht auf Seitenwänden in der Metallleitung angeordnet sein.
  • In noch einer Ausgestaltung kann können die Metallatome Kupfer aufweisen; wobei vorzugsweise die Schutzschicht weiterhin Aluminium aufweisen kann.
  • In noch einer Ausgestaltung kann die Schutzschicht ein Metall aufweisen ausgewählt aus der Gruppe bestehend aus Zinn, Magnesium, Chrom, Nickel und Zink.
  • In noch einer Ausgestaltung kann die Metallleitung die oberste Metallleitung über dem Substrat sein.
  • In noch einer Ausgestaltung kann die Schutzschicht eine auf der Metallleitung angeordnete Legierungsschicht und eine über der Legierungsschicht angeordnete Oxidschicht aufweisen, wobei die Legierungsschicht Kupfer und ein legierendes Element aufweisen kann und die Oxidschicht ein Oxid des legierenden Elements aufweisen kann.
  • In noch einer Ausgestaltung kann können die Metallatome Kupfer aufweisen und die Schutzschicht kann eine auf der Metallleitung angeordnete Schicht aus Aluminiumbronze und eine auf der Schicht aus Aluminiumbronze angeordnete Schicht aus Aluminiumoxid aufweisen.
  • In einer Ausgestaltung kann das Abscheiden einer legierenden Materialschicht das Abscheiden der legierenden Materialschicht über Seitenwänden der Metallleitung aufweisen.
  • In noch einer Ausgestaltung kann das Verfahren weiterhin Folgendes aufweisen: vor dem Abscheiden einer legierenden Materialschicht das Abscheiden einer Passivierungsschicht über der Metallleitung und Öffnen eines Abschnitts der Passivierungsschicht zum Exponieren der oberen Oberfläche der Metallleitung.
  • In noch einer Ausgestaltung kann das Verfahren weiterhin Folgendes aufweisen: Anbringen eines Lötkontakts an der Schutzschicht.
  • In noch einer Ausgestaltung kann das Verfahren weiterhin aufweisen das Entfernen einer verbleibenden legierenden Materialschicht nach dem Kombinieren; wobei vorzugsweise das Entfernen der verbleibenden legierenden Materialschicht das selektive Entfernen der nichtumgesetzten legierenden Materialschicht ohne Entfernen der Schutzschicht aufweist; oder wobei vorzugsweise das Entfernen der verbleibenden legierenden Materialschicht das Entfernen der verbleibenden legierenden Materialschicht und Entfernen mindestens eines Abschnitts der Schutzschicht aufweist.
  • In noch einer Ausgestaltung kann das Kombinieren der legierenden Materialschicht das Ausheilen aufweisen.
  • In noch einer Ausgestaltung kann das Kombinieren der legierenden Materialschicht das Ausheilen bei etwa 350°C bis etwa 400°C aufweisen.
  • In noch einer Ausgestaltung kann das Verfahren weiterhin aufweisen das Ausbilden einer Oxidschicht über der Schutzschicht durch Exponieren der Schutzschicht einer oxidierenden Atmosphäre.
  • In noch einer Ausgestaltung kann die legierende Materialschicht Aluminium aufweisen und die Schutzschicht kann Kupfer und Aluminium aufweisen.
  • In noch einer Ausgestaltung kann die legierende Materialschicht ein Material aufweisen ausgewählt aus einer Gruppe bestehend aus Nickel, Magnesium, Zinn, Chrom und Zink und wobei die Schutzschicht Kupfer und das aus der Gruppe gewählte Material aufweisen kann.
  • In einer Ausgestaltung kann das erste Metall Kupfer sein und das zweite Metall kann Aluminium sein, so dass das Abscheiden der Schicht das Abscheiden einer Aluminiumschicht aufweist; wobei vorzugsweise das Abscheiden einer Aluminiumschicht das Abscheiden der Aluminiumschicht über Seitenwänden der Metallleitung aufweisen kann; wobei weiter vorzugsweise das Ausheilen das Ausheilen bei etwa 350°C bis etwa 400°C aufweisen kann.
  • In noch einer Ausgestaltung kann das Verfahren weiterhin aufweisen das Ausbilden eines Lötkontakts zum Drahtbonden eines Drahts an die Schutzschicht; wobei vorzugsweise der Lötkontakt eine die Schutzschicht kontaktierende Nickelschicht aufweisen kann.
  • In verschiedenen Ausführungsbeispielen wird ein Halbleiterbauelement bereitgestellt, das Folgendes aufweist: eine Metallatome aufweisende erste Metallleitung, über einem Bauelementgebiet eines Substrats angeordnet, wobei ein Abschnitt einer oberen Oberfläche der Metallleitung einen Kontaktbereich aufweist; eine die Metallatome aufweisende erste Schutzschicht, auf dem Kontaktbereich angeordnet, wobei die erste Schutzschicht ein anderes Material als die erste Metallleitung ist; eine zweite Metallleitung, die Teil einer Schutzstruktur bildet, wobei die Schutzstruktur das Bauelementgebiet des Substrats umgibt; und eine zweite Schutzschicht, die über der zweiten Metallleitung angeordnet ist und diese kontaktiert.
  • In einer Ausgestaltung kann die erste Schutzschicht innerhalb einer Ausnehmung in der Metallleitung angeordnet sein.
  • In noch einer Ausgestaltung kann die erste Schutzschicht an Seitenwänden der ersten Metallleitung angeordnet sein und die zweite Schutzschicht kann an Seitenwänden der zweiten Metallleitung angeordnet sein.
  • In noch einer Ausgestaltung können die Metallatome Kupfer aufweisen.
  • In noch einer Ausgestaltung kann die zweite Schutzschicht die Metallatome aufweisen; wobei die Metallatome vorzugsweise Kupfer aufweisen können; wobei weiter vorzugsweise die erste und die zweite Schutzschicht weiterhin Aluminium aufweisen können.
  • In noch einer Ausgestaltung kann die Schutzstruktur eine Feuchtigkeitsbarriere sein.
  • Für ein umfassenderes Verständnis der vorliegenden Erfindung und ihrer Vorteile wird nun auf die folgenden Beschreibungen in Verbindung mit der beiliegenden Zeichnung Bezug genommen.
  • Es zeigen:
    • 1, die die 1A-1C enthält, ein Halbleiterbauelement gemäß verschiedener Ausführungsformen der Erfindung, wobei 1A eine Querschnittsansicht des Halbleiterbauelements zeigt und 1B und 1C Draufsichten auf das Halbleiterbauelement zeigen, die die oberen Metallleitungen zeigen,
    • 2, die die 2A-2C enthält, ein Halbleiterbauelement gemäß verschiedener Ausführungsformen der Erfindung, wobei 2A eine Querschnittsansicht des Halbleiterbauelements zeigt und 2B und 2C Draufsichten auf das Halbleiterbauelement zeigen, die die oberen Metallleitungen zeigen,
    • 3-13 ein Verfahren zum Ausbilden eines Halbleiterbauelements mit von einer Schutzschicht bedeckten Metallleitung gemäß Ausführungsformen der Erfindung;
    • 14-15 eine alternative Ausführungsform der Erfindung unter Verwendung einer besonders selektiven Ätzung zum Ausbilden eines Halbleiterbauelements mit mit einer leitfähigen Schutzschicht bedeckten oberen Metallleitungen;
    • 16-17 eine weitere Ausführungsform der vorliegenden Erfindung unter Verwendung eines zusätzlichen Strukturierungsschritts zum Ausbilden eines Halbleiterbauelements mit mit einer leitfähigen Schutzschicht bedeckten oberen Metallleitungen;
    • 18-20 eine weitere Ausführungsform der vorliegenden Erfindung zum Ausbilden eines Halbleiterbauelements mit mit einer leitfähigen Schutzschicht bedeckten oberen Metallleitungen, wobei die leitfähige Schutzschicht sowohl eine obere Oberfläche als auch Seitenwände der oberen Metallleitungen bedeckt; und
    • 21 ein Halbleiterbauelement, bei dem die oberen Metallleitungen der Feuchtigkeitsbarriere oder der Rissstoppschicht mit einer Schutzschicht gemäß Ausführungsformen der Erfindung bedeckt sind.
  • Entsprechende Zahlen und Symbole in den verschiedenen Figuren beziehen sich allgemein auf entsprechende Teile, sofern nicht etwas anderes angegeben ist. Die Figuren sind gezeichnet, um die relevanten Aspekte der Ausführungsformen deutlich zu veranschaulichen, und sind nicht notwendigerweise maßstabsgetreu gezeichnet.
  • Ausführungsformen der vorliegenden Erfindung reduzieren eine durch Umgebung oder Herstellung verursachte Verschlechterung exponierter Kupfergebiete in Halbleiterbauelementen.
  • Beispielsweise können obere Metallleitungen viel länger als eine untere Metallleitung Umgebungseffekten ausgesetzt werden, weil einige der Back-End-Prozesse an verschiedenen Herstellungsanlagen durchgeführt werden können. Analog können andere Prozesse, wie etwa das Testen, das Exponieren der obersten Metallleitungen erfordern. Weiterhin beeinflussen während der Montageprozesse wie etwa Chipvereinzelung, Waferrückschleifen, Die-Attach und Drahtbonden, zusätzliche chemische, fotochemische oder thermische Effekte die exponierten Kupfergebiete. In einigen Fällen sind die obersten Metallleitungen möglicherweise weiterhin während der Lebenszeit des Produkts der Umgebung ausgesetzt. Ausführungsformen der vorliegenden Erfindung überwinden die Probleme, die mit dem Einsatz von Kupfer in Metallleitungen und Pads assoziiert sind, die der Umgebung über längere Zeit ausgesetzt sind.
  • Eine strukturelle Ausführungsform der Erfindung zum Schützen von Kupfermetallleitungen wird anhand von 1 beschrieben. Eine weitere strukturelle Ausführungsform der Erfindung zum Schützen von Kupfermetallleitungen wird anhand 2 beschrieben. Verschiedene strukturelle Ausführungsformen werden anhand 13, 15, 17, 20 und 21 beschrieben. Ein Verfahren zum Ausbilden von Kupfermetallleitungen mit einer Schutzschicht wird anhand der 3-13 beschrieben. Alternative Ausführungsformen des Ausbildens von Kupfermetallleitungen mit einer Schutzschicht werden anhand der 14-15; 16-17 und 18-20 beschrieben.
  • 1, die die 1A-1C enthält, zeigt ein Halbleiterbauelement gemäß verschiedenen Ausführungsformen der Erfindung, wobei 1A eine Querschnittsansicht des Halbleiterbauelements zeigt und 1B und 1C Draufsichten auf das Halbleiterbauelement zeigen, die die oberen Metallleitungen zeigen.
  • Das Substrat 10 kann viele Bauelemente wie etwa einen in 1A dargestellten Transistor 5 enthalten. Der Transistor 5 umfasst eine Gateelektrode 40, ein Gatedielektrikum 45 und ein zwischen Source-/ Draingebieten 15 angeordnetes Kanalgebiet 30. Aktive Bereiche, die Transistoren (z.B. CMOS-Transistoren) enthalten, können durch Isolationsgebiete 20, z.B. eine flache Grabenisolation, voneinander getrennt sein. Die Source-/Draingebiete 15 (und andere Bauelementgebiete) sind an andere Bauelemente auf dem Substrat 10 und durch Kontaktgebiete 50 an Eingangs-/Ausgangskontakte gekoppelt. Die Kontaktgebiete 50 können innerhalb des Substrats 10 angeordnet sein und können sich über dem Substrat 10 erstrecken (wie dargestellt). Bei einer oder mehreren Ausführungsformen umfassen die Kontaktgebiete 50 Silizidgebiete.
  • Metallisierungsschichten mit Metallleitungen und Vias sind über dem Substrat 10 innerhalb einer Isolierschicht 100 angeordnet. Die Anzahl der Metallisierungsschichten hängt von der Art von Schaltung und Halbleiterbauelement, die hergestellt werden, ab. Nur zur Veranschaulichung werden bei dieser Ausführungsform sieben Metallschichten hergestellt.
  • Bei verschiedenen Ausführungsformen kann die Isolierschicht 100 mehrere Schichten umfassen. Bei einer Ausführungsform wird jede nachfolgende Schicht aus Metallleitung innerhalb einer anderen Isolierschicht ausgebildet.
  • Als ein Beispiel umfasst die Isolierschicht 100 in der dargestellten Ausführungsform eine erste Isolierschicht 100A, eine zweite Isolierschicht 100B, eine dritte Isolierschicht 100C, eine vierte Isolierschicht 100D, eine fünfte Isolierschicht 100E, eine sechste Isolierschicht 100F, eine siebte Isolierschicht 100G und eine achte Isolierschicht 100H. Jede dieser Schichten der Isolierschicht 100 kann beispielsweise eine Dicke von etwa 100 nm bis etwa 500 nm umfassen, wenngleich sie alternativ andere Abmessungen umfassen können. Jede dieser Schichten der Isolierschicht 100 kann Aufschleudermaterial sein oder kann durch Dampfabscheidungstechniken wie etwa chemische Abscheidung aus der Dampfphase, Plasmadampfabscheidung, abgeschieden worden sein.
  • Dementsprechend bedeckt eine erste Isolierschicht 100A die Kontaktgebiete 50 und umgibt die Gateelektrode 40. Der Transistor 5 kann zusätzliche Strukturen wie etwa Offsetabstandshalter um die Gateelektrode 40 und das Gatedielektrikum 45 herum umfassen. Kontaktplugs 55 sind innerhalb der ersten Isolierschicht 100A angeordnet, um durch die Kontaktgebiete 50 mit Bauelementgebieten in dem Substrat 10 zu koppeln.
  • Somit sind erste Metallleitungen 60 innerhalb der zweiten Isolierschicht 100B angeordnet, während erste Vias 65 und zweite Metallleitungen 70 innerhalb der dritten Isolierschicht 100C angeordnet sein können. Eine vierte Isolierschicht 100D ist über der dritten Isolierschicht 100C angeordnet. Zweite Vias 75 und dritte Metallleitungen 80 können innerhalb der vierten Isolierschicht 100D angeordnet sein.
  • Eine fünfte Isolierschicht 100E ist über der vierten Isolierschicht 100D angeordnet. Dritte Vias 85 und vierte Metallleitungen 90 können innerhalb der fünften Isolierschicht 100E angeordnet sein. Eine sechste Isolierschicht 100F ist über der fünften Isolierschicht 100E angeordnet. Vierte Vias 95 und fünfte Metallleitungen 105 können innerhalb der sechsten Isolierschicht 100F angeordnet sein. Eine siebte Isolierschicht 100G ist über der sechsten Isolierschicht 100F angeordnet. Fünfte Vias 110 und sechste Metallleitungen 115 können innerhalb der siebten Isolierschicht 100G angeordnet sein. Eine achte Isolierschicht 100H ist über der siebten Isolierschicht 100G angeordnet.
  • Bei verschiedenen Ausführungsformen umfasst jede Schicht der Isolierschicht 100 bei einer oder mehreren Ausführungsformen SiO2 wie etwa Tetraethyloxysilan (TEOS) oder fluoriertes TEOS (FTEOS). Bei verschiedenen Ausführungsform kann die Isolierschicht 100 Isoliermaterialien umfassen, die in der Regel bei der Halbleiterherstellung für Inter-Level-Dielektrikumsschichten (ILD-Schichten) verwendet werden, wie etwa dotiertes Glas (BPSG, PSG, BSG), Organosilikatglas (OSG), kohlenstoffdotierte Oxide (CDO), fluoriertes Silikatglas (FSG), Aufschleuderglas (SOG) oder Low-k-Isoliermaterialien, z.B. mit einer Dielektrizitätskonstanten von etwa 4 oder darunter. Die Isolierschicht 100 kann auch Dielektrika mit einer Dielektrizitätskonstante von etwa 3 oder darunter wie etwa dichtes SiCOH oder poröse Dielektrika umfassen, als Beispiele.
  • Wie in 1A dargestellt, kann die Dicke der Metallleitungen und der Vias bei einer oder mehreren Ausführungsformen von der Metallisierung der unteren Ebene zur Metallisierung der oberen Ebene zunehmen. Somit sind in dem dargestellten Beispiel sechs Metallebenen vertikal gestapelt und durch Kontakt- und Viaebenen verbunden. Bei anderen Ausführungsformen können eine größere oder kleinere Anzahl von Metallebenen und Viaebenen verwendet werden.
  • Eine Ätzstoppschicht 210 bedeckt die achte Isolierschicht 100H. Obere Metallleitungen 230 sind über der Ätzstoppschicht 210 ausgebildet und bilden die obersten Metallleitungen für das Halbleiterbauelement. Deshalb weisen die oberen Metallleitungen 230 große Kontaktstrukturen beispielsweise zum Ausbilden externer Kontakte auf.
  • 1 zeigt eine besondere Ausführungsform mit Vias 220 und Metallleitungen 230, die in einem Durch-Resist-Plattierungs-Integrationsverfahren hergestellt wurden. Eine alternative Ausführungsform wird in 2 vorgelegt, wo die Fabrikation der Vias 220 und Metallleitung 239 unter Verwendung eines Dual-Damaszener-Integrationsverfahrens bewerkstelligt wird.
  • Bei verschiedenen Ausführungsformen ist eine leitfähige Schicht 270 auf den oberen Metallleitungen 230 angeordnet. Die leitfähige Schutzschicht 270 verhindert die Korrosion der oberen Metallleitungen 230 während der nachfolgenden Verarbeitung und/oder während der Lebenszeitbenutzung des Produkts. Ohne die leitfähige Schutzschicht 270 können sich die oberen Metallleitungen 230 zersetzen, wenn sie der äußeren Umgebung ausgesetzt werden. Beispielsweise können die oberen Metallleitungen 230 Kupfer umfassen, das im Gegensatz zu Aluminium kein natives Schutzoxid bildet. Deshalb können sich die in einem Kupferprozess ausgebildeten oberen Metallleitungen 230 im Laufe der Zeit zersetzen. Ausführungsformen der vorliegenden Erfindung verhindern eine Zersetzung der oberen Metallleitungen 230 durch Ausbilden einer leitfähigen Schutzschicht 270.
  • Die leitfähige Schutzschicht 270 reduziert vorteilhafterweise die Korrosion der darunterliegenden oberen Metallleitungen zu Atmosphäre sowie zu Wasser (beispielsweise Meerwasser). Die leitfähige Schutzschicht 270 verbessert die Beständigkeit der oberen Metallleitungen 230 zu Oxidation bei hohen Temperaturen und kann auch vor anderen Umgebungen wie etwa schwefelförmigen Verbindungen usw. schützen.
  • Bei einer oder mehreren Ausführungsformen weist die leitfähige Schutzschicht 270 eine Dicke von etwa 40 nm bis etwa 100 nm und bei einer Ausführungsform etwa 70 nm bis etwa 80 nm auf. Bei einer oder mehreren Ausführungsformen weist die leitfähige Schutzschicht 270 eine Dicke von etwa 40 nm bis etwa 50 nm auf. Bei verschiedenen Ausführungsformen ist die leitfähige Schutzschicht 270 konform zu den darunterliegenden oberen Metallleitungen 230. Während die leitfähige Schutzschicht 270 in der durch 1 beschriebenen Ausführungsform als die obere Oberfläche der Metallleitung 230 gleichförmig bedeckend gezeigt worden ist, kann die leitfähige Schutzschicht 270 bei einigen Ausführungsformen, wie durch 2 beschrieben, lediglich Abschnitte der oberen Oberfläche der Metallleitung 230 bedecken, während andere Abschnitte der Metallleitung 230 durch eine dielektrische Passivierungsschicht 240 bedeckt sind. Bei einem weiteren Beispiel kann bei einigen Ausführungsformen, wie in 13 gezeigt, die leitfähige Schutzschicht 270 innerhalb Ausnehmungen in der dielektrischen Passivierungsschicht 240 innerhalb der oberen Metallleitungen 230 ausgebildet werden.
  • Ausführungsformen der Erfindung beinhalten das Ausbilden der leitfähigen Schutzschicht 270 unter Verwendung von Legierungen umfassend Cu-Al, Cu-Ni, Cu-Cr, Cu-Sn, Cu-Sn-P, Cu-Zn, Cu-Zn-Si, Cu-Mg und Kombinationen davon.
  • Bei verschiedenen Ausführungsformen weist die leitfähige Schutzschicht 270 Aluminium und Kupfer auf. Bei einer oder mehreren Ausführungsformen weist die leitfähige Schutzschicht 270 eine Legierung aus Aluminium und Kupfer auf wie etwa Aluminiumbronze. Bei einer Ausführungsform weist die leitfähige Schutzschicht 270 eine Legierung aus Aluminium und Kupfer mit weniger als etwa 30% Aluminium auf. Bei einer Ausführungsform weist die leitfähige Schutzschicht 270 eine Legierung aus Aluminium und Kupfer mit etwa 8% bis etwa 20% Aluminium auf.
  • Das Legieren mit Zinn kann die Korrosionsbeständigkeit von Kupfer verbessern, und deshalb weist die leitfähige Schutzschicht 270 bei verschiedenen Ausführungsformen eine Legierung aus Kupfer und Zinn auf. Ausführungsformen der Erfindung enthalten bis zu etwa 15,8% Zinn, weil Zinn bei solchen niedrigen Konzentrationen innerhalb der festen Lösung von Kupfer gelöst wird. Bei einer Ausführungsform weist die leitfähige Schutzschicht 270 etwa 88% bis etwa 90% Kupfer und etwa 10% bis etwa 12% Zinn auf.
  • Bei einer alternativen Ausführungsform weist die leitfähige Schutzschicht 270 eine Phosphorbronze auf. Beispielsweise kann die leitfähige Schutzschicht 270 eine Legierung aus Kupfer mit etwa 3,5% bis etwa 10% Zinn und etwa 0,5% bis etwa 2% Phosphor aufweisen. Bei anderen Ausführungsformen weist die leitfähige Schutzschicht 270 etwa 0,5% bis 11% Zinn und etwa 0,01% bis etwa 0,35% Phosphor auf.
  • Bei alternativen Ausführungsformen weist die leitfähige Schutzschicht 270 eine Chrom-Kupferlegierung mit etwa 0,6% bis etwa 1,2% Cr auf. Bei einer weiteren Ausführungsform weist die leitfähige Schutzschicht 270 eine Kupfer-Zinklegierung mit bis zu 35% Zink auf. Bei einer weiteren Ausführungsform weist die leitfähige Schutzschicht 270 Silizium-Messing-Legierungen mit weniger als etwa 20% Zink und weniger als etwa 6% Silizium auf, beispielsweise mit Kupfer etwa 80,0% bis etwa 83,0%, Silizium etwa 3,4% bis etwa 5,4% und Zink etwa 12,0% bis etwa 13,0%.
  • Bei einer anderen Ausführungsform weist die leitfähige Schutzschicht 270 Kupfer-Nickellegierungen beispielsweise mit etwa 85% bis 87% Kupfer und etwa 8% bis etwa 11% Nickel auf. Bei einer alternativen Ausführungsform weist die leitfähige Schutzschicht 270 Kupfer-Magnesiumlegierungen auf.
  • Bei verschiedenen Ausführungsformen kann die leitfähige Schutzschicht 270 andere Legierungsmittel wie etwa Eisen, Zinn, Nickel, Mangan und Silizium zusätzlich zu Aluminium und Kupfer aufweisen. Zu Beispielen der als die leitfähige Schutzschicht 270 verwendeten Materialien können auch CuAl5, CuAl8, CuAl11, CuAl8Fe3, CuAl9Mn2, CuAl10Fe3 und CuAl10Fe5Ni5 zählen, wo die Legierungszusammensetzungen gemäß der anteilsmäßigen Gewichtszusammensetzung jedes legierenden Elements mit Ausnahme von Kupfer, welches der Rest ist, angegeben sind. Bei einigen Ausführungsformen weisen die leitfähigen Schutzschichten 270 Kupfer, Aluminium und Zinn auf.
  • Wie in 1A und 1B dargestellt, kann die leitfähige Schutzschicht 270 entlang der Seitenwände der oberen Metallleitungen 230 ausgebildet werden. Deshalb verhindern Ausführungsformen der Erfindung eine Zersetzung der oberen Metallleitungen 230 von den Seiten aus.
  • Unter Bezugnahme auf 2A weisen die obersten Metallleitungen, d.h. obere Metallleitungen 230, ein erstes Landungs-Pad (Landing-Pad) 271 und ein zweites Landungs-Pad 272 auf, die die leitfähige Schutzschicht 270 exponieren, beispielsweise zum Ausbilden eines Kontaktpads zum Koppeln des Halbleiterbauelements mit externen Strom- und Datenquellen.
  • Die 3-13 veranschaulichen ein Verfahren zum Ausbilden eines Halbleiterbauelements mit von einer Schutzschicht bedeckten Metallleitungen gemäß Ausführungsformen der Erfindung.
  • 3, die die 3A und 3B enthält, zeigt ein Halbleitersubstrat 10 während einer Back-End-Of-Line-Verarbeitung nach der Fertigstellung der Front-End-Of-Line-Verarbeitung, wobei 3B eine vergrößerte Querschnittsansicht von 3A zeigt. 3B zeigt auch das Halbleiterbauelement, das (nicht maßstabsgetreu gezeigt) eine darin angeordnete aktive Schaltungsanordnung enthält. Die aktive Schaltungsanordnung enthält die Bauelementgebiete und enthält erforderliche Transistoren, Widerstände, Kondensatoren, Induktionsspulen oder andere zum Ausbilden integrierter Schaltungen verwendete Komponenten.
  • Wie in 3A dargestellt, werden nach dem Ausbilden der Bauelementgebiete in/über einem Substrat 10 Metallisierungsschichten in einer Isolierschicht 100 über den Bauelementgebieten ausgebildet, um die aktiven Bauelemente elektrisch zu kontaktieren und zusammenzuschalten. Die Metallisierungsschichten und Bauelementgebiete bilden zusammen eine vollständige funktionale integrierte Schaltung. Mit anderen Worten können die elektrischen Funktionen des Halbleiterbauelements durch die zusammengeschaltete aktive Schaltungsanordnung ausgeführt werden. In Logikbauelementen kann die Metallisierung viele Schichten, z.B. neun oder mehr, aus Kupfer oder alternativ aus anderen Metallen enthalten. Bei Speicherbauelementen wie etwa DRAMs kann die Anzahl an Metallebenen niedriger sein.
  • Nach der Front- und Back-End-Verarbeitung weist das Halbleitersubstrat 10 somit mehrere darin ausgebildete Halbleiterbauelemente, d.h. Chips 1, auf. Die Chips 1 können eine beliebige Art von Chip sein. Beispielsweise können die Chips 1 ein Logikchip, ein Speicherchip, ein analoger Chip und andere Arten von Chips sein. Jedes Halbleiterbauelement kann mehrere Bauelemente wie etwa Transistoren oder Dioden aufweisen, die eine integrierte Schaltung bilden, oder kann ein diskretes Bauelement wie etwa ein einzelner Transistor oder eine einzelne Diode sein.
  • Unter Bezugnahme auf 3B können Isolationsgebiete 20 innerhalb eines Substrats 10 beispielsweise unter Verwendung eines flachen Grabenisolationsprozesses ausgebildet werden. Bei einer Ausführungsform kann das Substrat 10 einen Halbleiterwafer wie etwa einen Siliziumwafer aufweisen. Bei anderen Ausführungsformen kann das Substrat 10 andere Halbleitermaterialien einschließlich Legierungen wie etwa SiGe, SiC oder Verbundhalbleitermaterialien wie etwa GaAs, InP, InAs, GaN, Saphir, Silizium-auf-Isolation, aufweisen, als Beispiel.
  • Als nächstes werden Bauelementgebiete innerhalb des Substrats 10 ausgebildet. Die Bauelementgebiete können bei verschiedenen Ausführungsformen einen Transistor 5 enthalten. Bei anderen Ausführungsformen können die Bauelementgebiete Bipolarbauelemente, Dioden, Thyristoren, Varactoren usw. sowie passive Bauelemente wie etwa Widerstände, Induktionsspulen usw. enthalten.
  • Die Gebiete des Transistors 5 werden innerhalb des/über dem Substrat 10 ausgebildet. Eine Schicht aus einem Gatedielektrikum wird abgeschieden, gefolgt von der Abscheidung einer Schicht aus Gateelektrode. Die Schichten aus Gatedielektrikum und Gateelektrode werden strukturiert, wodurch eine Gateelektrode 40 und ein Gatedielektrikum 45 ausgebildet werden. Ein Kanalgebiet 30 wird innerhalb des Substrats 10 unter der Gateelektrode 40 ausgebildet. Source-/Draingebiete 15 werden beispielsweise durch Ionenimplantierung und/oder Epitaxie ausgebildet.
  • Bei verschiedenen Ausführungsformen werden alle erforderlichen Zwischenverbindungen, Verbindungen, Pads usw. zum Koppeln zwischen Bauelementen und/oder mit einer externen Schaltungsanordnung über dem Substrat 10 ausgebildet. Dementsprechend wird eine Metallisierungsschicht über dem Substrat 10 ausgebildet. Die Metallisierungsschicht kann eine oder mehrere Metallisierungsebenen aufweisen. Jede Metallisierungsebene kann Metallleitungen oder Vias aufweisen, die in eine Schicht einer Isolierschicht 100 eingebettet sind. Die Metallleitungen und Vias stellen einen Kontakt zu den Bauelementgebieten her und koppeln auch zu verschiedenen Bauelementen innerhalb jedes Chips.
  • Kontaktgebiete 50 werden beispielsweise durch Silizieren einer oberen Oberfläche der Source-/ Draingebiete 15 ausgebildet. Deshalb können die Kontaktgebiete 50 innerhalb des Substrats 10 ausgebildet werden, erstrecken sich aber über der oberen Oberfläche des Substrats 10. Eine erste Isolierschicht 100A wird über den Kontaktgebieten 50 abgeschieden und planarisiert. Kontaktplugs 55 werden innerhalb der ersten Isolierschicht 100A ausgebildet, um durch die Kontaktgebiete 50 mit Bauelementgebieten in dem Substrat 10 zu koppeln.
  • Eine zweite Isolierschicht 100B wird über der ersten Isolierschicht 100A abgeschieden. Erste Metallleitungen 60 werden innerhalb der zweiten Isolierschicht 100B ausgebildet. Eine dritte Isolierschicht 100C wird über der zweiten Isolierschicht 100B ausgebildet. Erste Vias 65 und zweite Metallleitungen 70 werden innerhalb der dritten Isolierschicht 100C ausgebildet. Eine vierte Isolierschicht 100D wird über der dritten Isolierschicht 100C abgeschieden. Zweite Vias 75 und dritte Metallleitungen 80 werden innerhalb der vierten Isolierschicht 100D ausgebildet. Eine fünfte Isolierschicht 100E wird über der vierten Isolierschicht 100D abgeschieden. Dritte Vias 85 und vierte Metallleitungen 90 werden innerhalb der fünften Isolierschicht 100E ausgebildet. Eine sechste Isolierschicht 100F wird über der fünften Isolierschicht 100E abgeschieden. Vierte Vias 95 und fünfte Metallleitungen 105 werden innerhalb der sechsten Isolierschicht 100F ausgebildet. Eine siebte Isolierschicht 100G wird über der sechsten Isolierschicht 100F abgeschieden. Fünfte Vias 110 und sechste Metallleitungen 115 werden innerhalb der siebten Isolierschicht 100G ausgebildet. Eine achte Isolierschicht 100H wird über der siebten Isolierschicht 100G ausgebildet. Ein Ätzstoppliner 210 kann über der siebten Isolierschicht 100G abgeschieden werden.
  • Bei verschiedenen Ausführungsformen der Erfindung werden die Metall- und Viasebenen unter Einsatz eines Einzel-Damascene-Prozesses oder eines Dual-Damascene-Prozesses ausgebildet. Bei einem Einzel-Damascene-Prozess wird eine einzelne Schicht aus Isoliermaterial mit einer Struktur für leitfähige Strukturmerkmale wie etwa leitfähige Leitungen, leitfähige Vias usw. strukturiert. Im Gegensatz dazu werden in einem Dual-Damaszener-Prozess die Vias und Metallleitungen für leitfähige Strukturmerkmale strukturiert und in einem einzelnen Füllschritt mit einem leitfähigen Material gefüllt.
  • Die Beschreibung folgt einem Dual-Damaszener-Prozess nur als Beispiel. Eine nichtgezeigte erste Hartmaskenschicht wird über der achten Isolierschicht 100H abgeschieden. Die erste Hartmaskenschicht umfasst SiO2 wie etwa Tetraethyloxysilan (TEOS), Siliziumcarbid (SiC) oder kohlenstoffdotiertes Glas, doch können in verschiedenen Ausführungsformen andere Materialien verwendet werden. Ein Ätzstoppliner 210 kann ebenfalls vor dem Abscheiden der ersten Hartmaske abgeschieden werden. Unter Einsatz herkömmlicher Lithographietechniken wird die erste Hartmaskenschicht für Vias strukturiert. Unter Einsatz der ersten Hartmaskenschicht als Ätzmaske werden mehrere Löcher zum Ausbilden sechster Vias 220 (1A) innerhalb der achten Isolierschicht 100H strukturiert, das heißt, die Struktur von der ersten Hartmaskenschicht wird auf die achte Isolierschicht 100H übertragen. Bei verschiedenen Ausführungsformen können die mehreren Löcher unter Einsatz eines anisotropen Ätzprozesses wie etwa einer Reaktiven Ionenätzung ausgebildet werden.
  • Bei der in 4 beschriebenen folgenden Ausführungsform wird die Ausbildung der letzten Metallleitung durch einen Durch-Resist-Plattierungsprozess bewerkstelligt. Zuerst werden ein Metallliner 777 und ein Keimliner 778 durch einen Prozess der physikalischen Abscheidung aus der Dampfphase oder einen Prozess der chemischen Abscheidung aus der Dampfphase auf der Ätzstoppschicht 210 und in den Via-Lochöffnungen 220 abgeschieden. Der Metallliner 777 kann Titan, Titannitrid, Tantal, Tantalnitrid, Titan-Wolfram und andere geeignete Materialien umfassen, die die Diffusion von Kupfer in die siebte oder achte Isolierschicht 100G/100H verhindern. Der Keimliner 778 besteht bei einer Ausführungsform aus Kupfer. Der Keimliner 778 kann Dotierstoffe wie Aluminium, Titan oder Mangan enthalten.
  • Wie in 5 dargestellt, wird bei einer oder mehreren Ausführungsformen eine erste Resistschicht 520 abgeschieden und strukturiert, um eine Struktur für obere Metallleitungen 230 auszubilden. Die erste Resistschicht 520 kann bei einer Ausführungsform ein Fotoresistmaterial aufweisen.
  • Unter Bezugnahme auf 6 wird eine Kupferschicht innerhalb der Struktur für Vias und der Struktur für Metallleitungen abgeschieden, wodurch sechste Vias 220 und obere Metallleitungen 230 ausgebildet werden. Bei verschiedenen Ausführungsformen wird Kupfer in die Struktur für Metallleitungen und die Struktur für Vias gefüllt, wobei ein elektrochemischer Abscheidungsprozess verwendet wird. Bei einer Ausführungsform weist das Kupfer reines metallisches Kupfer auf. Das Kupfer kann bei verschiedenen Ausführungsformen Verunreinigungen sowie andere zusätzliche Metalle enthalten. Bei einer alternativen Ausführungsform weist das Kupfer eine Kupferlegierung auf.
  • Unter Bezugnahme auf 7 wird die Resistschicht 520 entfernt. In einem nächsten Schritt werden der Metallliner 777 und ein Keimliner 778 in Bereichen entfernt, die während der elektrochemischen Abscheidung der Leitungen 230 und Vias 220 von dem Resist 520 bedeckt waren.
  • Ein Schutzliner 240 wird über den oberen Metallleitungen 230 abgeschieden. Bei verschiedenen Ausführungsformen weist der Schutzliner 240 eine Passivierungsschicht auf. Bei verschiedenen Ausführungsformen kann der Schutzliner 240 ein Oxid wie etwa Siliziumoxid, ein Nitrid wie etwa Siliziumnitrid oder eine Kombination davon aufweisen.
  • Nach dem Abscheiden des Schutzliners 240 wird eine zweite Resistschicht 550 abgeschieden und strukturiert. Bei verschiedenen Ausführungsformen bildet die zweite Resistschicht 550 Teil eines Formkörpers eines das Halbleiterbauelement umfassenden Gehäuses (Package). Die zweite Resistschicht 550 bedeckt Gebiete der oberen Metallleitungen 230, über denen kein Kontakt hergestellt werden soll. Mit anderen Worten bedeckt die zweite Resistschicht 550 nicht die Gebiete der oberen Metallleitungen 230, über denen Kontaktpads ausgebildet werden sollen. Bei einer Ausführungsform umfasst die zweite Resistschicht 550 eine Formmasse wie etwa eine Imidschicht, wenngleich die zweite Resistschicht 550 bei verschiedenen Ausführungsformen andere Materialien einschließlich Fotoresistmaterialien aufweisen kann.
  • Wie als nächstes in 7 dargestellt, wird der exponierte (freigelegte) Abschnitt des Schutzliners 240 entfernt, um die Oberfläche der oberen Metallleitung 230 zu exponieren (freizulegen). Der Schutzliner 240 kann unter Einsatz eines Ätzprozesses wie etwa einer Nassätzung oder in verschiedenen Ausführungsformen einer Reaktiven Ionenätzung entfernt werden.
  • Unter Bezugnahme auf 9 wird die zweite Resistschicht 550 nach der Fertigstellung des Ätzprozesses entfernt und die legierende Schicht 260 wird über den oberen Metallleitungen 230 und der strukturierten Passivierungsschicht 240 abgeschieden. Bei verschiedenen Ausführungsformen weist die legierende Schicht 260 Aluminium auf. Bei einer weiteren Ausführungsform weist die legierende Schicht 260 mit Kupfer, beispielsweise weniger als etwa 2% Kupfer, dotiertes Aluminium auf. Bei einer Ausführungsform weist die legierende Schicht 260 reines Aluminium auf, beispielsweise mehr als 99% Aluminium. Bei einigen Ausführungsformen weist die legierende Schicht 260 Aluminium zusammen mit anderen legierenden Materialien wie etwa Zinn, Eisen, Mangan, auf. Bei einer weiteren Ausführungsform ist die legierende Schicht 260 eine Schicht aus Nickel oder eine Schicht aus Zinn.
  • Bei verschiedenen Ausführungsformen wird die legierende Schicht 260 als eine konforme Schicht abgeschieden. Bei verschiedenen Ausführungsformen wird die legierende Schicht 260 unter Verwendung von Sputterabscheidung abgeschieden. Bei anderen Ausführungsformen können andere geeignete Techniken zum Abscheiden der legierenden Schicht 260 verwendet werden. Beispielsweise können andere Dampfabscheidungstechniken zum Ausbilden der legierenden Schicht 260 verwendet werden. Bei verschiedenen Ausführungsformen weist die legierende Schicht 260 eine Dicke von etwa 5 nm bis etwa 50 nm und bei einer Ausführungsform von etwa 10 nm bis etwa 20 nm auf. Bei verschiedenen Ausführungsformen weist die legierende Schicht 260 eine Dicke von etwa 5% bis etwa 25% der Gesamtdicke der oberen Metallleitungen 230 auf.
  • Bei weiteren Ausführungsformen wird die zweite Resistschicht 550 nicht vor der Abscheidung der legierenden Schicht 260 entfernt.
  • Wie als nächstes in 10 dargestellt, wird eine leitfähige Schutzschicht 270 über einem oberen Abschnitt der oberen Metallleitungen 230 ausgebildet. Bei verschiedenen Ausführungsformen wird Wärmeenergie bereitgestellt, um eine Reaktion oder Interdiffusion zwischen der legierenden Schicht 260 und den oberen Metallleitungen 230 zu ermöglichen.
  • Bei einer oder mehreren Ausführungsformen wird die legierende Schicht 260 durch Ausheilen des Substrats 10 global erwärmt. Bei einer oder mehreren Ausführungsformen wird die legierende Schicht 260 bei einer Temperatur von etwa 350°C bis etwa 400°C ausgeheilt. Bei einer oder mehreren Ausführungsformen wird die legierende Schicht 260 bei einer Temperatur von mindestens 250°C und bei einer anderen Ausführungsform von mindestens 300°C ausgeheilt. Bei einer oder mehreren Ausführungsformen wird die legierende Schicht 260 bei einer Temperatur von mindestens 350°C ausgeheilt. Bei einer oder mehreren Ausführungsformen wird die legierende Schicht 260 bei einer Temperatur von unter etwa 300°C und bei einer anderen Ausführungsform von unter etwa 400°C ausgeheilt. Bei einer oder mehreren Ausführungsformen wird die legierende Schicht 260 bei einer Temperatur von mindestens 500°C ausgeheilt. Bei einer oder mehreren Ausführungsformen wird die legierende Schicht 260 bei einer Temperatur von mindestens 550°C ausgeheilt. Bei einer anderen Ausführungsform kann die legierende Schicht 260 bei einer Temperatur von etwa 350°C, 380°C oder 400°C ausgeheilt werden. Bei einer Ausführungsform kann die legierende Schicht 260 unter Verwendung eines schnellen thermischen Ausheilprozesses ausgeheilt werden. Bei einer Ausführungsform kann die legierende Schicht 260 unter Verwendung eines Prozesses ausgeheilt werden, der eine Stabilisierungstemperatur aufweist, gefolgt von einem schnellen Hochfahren auf die Spitzentemperatur (die die oben beschriebene Temperatur sein kann), einem Halten für weniger als etwa 10 s (oder bei einer Ausführungsform weniger als etwa 1 s) und dann Herunterfahren. Bei einer Ausführungsform wird, wenn die strukturierte zweite Resistschicht 550 ein Fotoimid aufweist, das vor der Abscheidung der legierenden Schicht 260 nicht entfernt worden ist, die Ausheiltemperatur so gewählt werden, dass sie unter der Härtungstemperatur der strukturierten zweiten Resistschicht 550 liegt, um ein Ausgasen des Imids zu vermeiden.
  • Bei einer weiteren Ausführungsform wird die legierende Schicht 260 beispielsweise durch Scannen einer elektromagnetischen Welle über die legierende Schicht 260 lokal erhitzt. Bei einer Ausführungsform kann ein Laserimpuls so gescannt werden, dass die legierende Schicht 260 erhitzt wird.
  • Wegen der Wärmeenergie diffundieren die Atome aus der legierenden Schicht 260 in die darunterliegenden oberen Metallleitungen 230. Analog diffundieren Kupferatome von den oberen Metallleitungen 230 in die legierende Schicht 260. Somit wird über einem oberen Abschnitt der oberen Metallleitungen 230 eine leitfähige Schutzschicht 270 ausgebildet. Die leitfähige Schutzschicht 270 ist ein Gebiet oder eine Zone, über dem oder über der sowohl Kupferatome aus den oberen Metallleitungen 230 als auch Atome aus der legierenden Schicht 260 zusammen existieren. Bei einer oder mehreren Ausführungsformen weist die leitfähige Schutzschicht 270 die gleiche Phase oder die gleiche Kristallstruktur wie die darunterliegenden oberen Metallleitungen 230 auf. Deshalb weist die leitfähige Schutzschicht 270 bei verschiedenen Ausführungsformen die gleiche Phase wie das Kupfer in den oberen Metallleitungen 230 auf.
  • Bei einigen Ausführungsformen kann die leitfähige Schutzschicht 270 jedoch eine andere Phase als die oberen Metallleitungen 230 aufweisen. Weiterhin kann bei einigen Ausführungsformen eine Verbindung mit einer charakteristischen stöchiometrischen Zusammensetzung anstelle einer Legierung ausgebildet werden. Ausführungsformen der Erfindung beinhalten auch innerhalb einer festen Lösung dispergierte Verbindungen.
  • Bei verschiedenen Ausführungsformen wird die Dicke der leitfähigen Schutzschicht 270 durch die Dicke der legierenden Schicht 260, die Temperatur des Ausheilens und das Material der legierenden Schicht 260 und der oberen Metallleitungen 230 bestimmt. Wenn eine Aluminiumschicht mit einer Dicke von etwa 5 nm über einer Kupfermetallleitung abgeschieden und bei etwa 350°C bis etwa 400°C ausgeheilt wird, entsteht eine Aluminiumbronzeschicht mit einer Dicke von etwa 30 nm. Analog entsteht, wenn 50 nm Aluminiumschicht über einer Kupfermetallleitung abgeschieden werden und bei etwa 350°C bis etwa 400°C ausgeheilt werden, eine 300 nm Aluminiumbronzeschicht.
  • Bei der dargestellten Ausführungsform von 10 wird die ganze legierende Schicht 260 über den oberen Oberflächenmetallleitungen 270 verbraucht. Die legierende Schicht 260 ist immer noch auf der dielektrischen Passivierungsschicht 240 vorhanden. Bei einigen Ausführungsformen kann die Ausheilung jedoch zeitlich so gesteuert werden, dass nur ein Abschnitt der legierenden Schicht 260 die leitfähige Schutzschicht 270 bildet. Somit kann nach der Reaktion oder Interdiffusion zwischen den oberen Metallleitungen 230 und der legierenden Schicht 260 ein gewisser Abschnitt der unbenutzten legierenden Schicht 260 unverbraucht bleiben. Bei einer Ausführungsform wird dies durch Abscheidung der legierenden Schicht 260 auf dem nativen Oxid der Metallschicht 230 erreicht.
  • Unter Bezugnahme auf 11 wird eine etwaige verbleibende legierende Schicht 260 entfernt. Bei einer Ausführungsform wird die verbleibende legierende Schicht 260 selektiv entfernt, das heißt ohne die leitfähige Schutzschicht 270 zu entfernen. Wenn beispielsweise bei einer Ausführungsform die legierende Schicht 260 reines Aluminium aufweist und die leitfähige Schutzschicht 270 Aluminiumbronze aufweist, kann ein Nassätzmittel wie etwa eine Mischung aus Dimethylsulfoxid, Tetramethylammoniumhydroxid, Hydroxylamin (DTH) verwendet werden. Vorteilhafterweise ist DTH sehr selektiv und ätzt die Aluminiumschicht, ohne die Aluminium-Kupfer-Legierungsschicht zu ätzen. Bei verschiedenen Ausführungsformen wird die verbleibende legierende Schicht 260 unter Verwendung von Ätzmitteln wie etwa verdünntem HF, Standard Clean-1 oder SC1 kombiniert mit Salzsäure entfernt. SC1 ist NH4OH (28%), H2O2 (30%) und entionisiertes Wasser im Verhältnis von etwa 1:1:5. Bei einer Ausführungsform kann ein Nassätzmittel, das durch Mischen aus Phosphorsäure, Salpetersäure, Essigsäure und Wasser im Verhältnis von etwa 16:1:1:2 hergestellt wird, verwendet werden. Bei einer anderen Ausführungsform wird die verbleibende legierende Schicht 260 unter Verwendung von Ätzmitteln auf Phosphorsäurebasis entfernt.
  • Bei einer Ausführungsform wird das nasschemische Entfernen der verbleibenden legierenden Schicht 260 durch eine nichtselektive Ätzung bewerkstelligt, die auch die leitfähige Schutzschicht 270 teilweise entfernt.
  • Bei einer Ausführungsform wird das Entfernen der verbleibenden legierenden Schicht 260 durch eine selektive reaktive Ionenätzung bewerkstelligt.
  • Bei einer Ausführungsform erfolgt das Entfernen durch eine Kombination aus selektiven und nichtselektiven Ätzprozessen.
  • Bei einer Ausführungsform wird ein zusätzlicher Lithographieschritt verwendet, der die leitfähige Schutzschicht 270 während des Entfernens der verbleibenden legierenden Schicht 260 bedeckt.
  • Bei einer Ausführungsform wird ein zusätzlicher Lithographieschritt verwendet, der die leitfähige Schutzschicht 270 und die verbleibende legierende Schicht 260 auf der leitfähigen Schutzschicht 270 während des Entfernens der verbleibenden legierenden Schicht 260 auf der dielektrischen Passivierungsschicht 240 oder der zweiten Resistschicht 550 bedeckt.
  • Somit wird, wie in 11 dargestellt, die leitfähige Schutzschicht 270 innerhalb Ausnehmungen innerhalb der oberen Metallleitungen 230 ausgebildet.
  • Wie als nächstes in 12 dargestellt, kann eine zusätzliche Ausheilung durchgeführt werden. Bei verschiedenen Ausführungsformen ist die zusätzliche Ausheilung jedoch optional. Die zusätzliche Ausheilung kann in einer oxidierenden Atmosphäre durchgeführt werden, um eine ein Oxid umfassende Beschichtung 280 über der leitfähigen Schutzschicht 270 auszubilden. Wenn die leitfähige Schutzschicht 270 Aluminiumbronze aufweist, entsteht eine Aluminiumoxidschicht. Bei einigen Ausführungsformen kann die Ausheilung in einer nitrierenden (z.B. NH3) Atmosphäre oder einer aufkohlenden Atmosphäre durchgeführt werden, um eine ein Nitrid oder ein Carbid umfassende Beschichtung 280 auszubilden. Bei verschiedenen Ausführungsformen kann die Beschichtung 280 ohne eine zusätzliche Ausheilung ausgebildet werden. Beispielsweise kann das Exponieren der leitfähigen Schutzschicht 270 gegenüber Atmosphäre eine Beschichtung 280 ausbilden. Beispielsweise kann eine 1 Nanometer (10 Angström) bis etwa 5 Nanometer (50 Angström) Schicht aus Aluminiumoxid schnell entstehen, beispielsweise in weniger als einer Mikrosekunde, wenn die Aluminiumbronzeoberfläche einer Sauerstoffatmosphäre ausgesetzt wird. Vorteilhafterweise ist die Beschichtung 280 gegenüber einer weiteren Sauerstoffdiffundierung undurchdringlich und stoppt deshalb die weitere Oxidierung der leitfähigen Schutzschicht 270. Somit kann die weitere Bearbeitung ohne Korrosionsgefahr der oberen Metallleitungen 230 fortgesetzt werden.
  • Unter Bezugnahme auf 13 geht die nachfolgende Verarbeitung weiter wie erforderlich und kann die Kontaktausbildung beinhalten. Beispielsweise können Bonddrähte 295 mit und ohne Lötkontakt 290 über den oberen Metallleitungen 230 durch Koppeln mit der leitfähigen Schutzschicht 270 ausgebildet werden. Bei einigen Ausführungsformen kann die Beschichtung 280 vor der Ausbildung der Kontakte entfernt werden, um den Kontaktwiderstand zu minimieren und die Haftung zu verbessern. Die Beschichtung 280 kann bei einer Ausführungsform unter Verwendung einer Nassätzung entfernt werden. Bei einigen Ausführungsformen kann die Beschichtung 280 eine gute elektrische Leitfähigkeit aufweisen und auch die Haftung von nachfolgenden Kontaktschichten fördern. Bei solchen Ausführungsformen wird die Beschichtung 280 möglicherweise nicht entfernt.
  • Bei verschiedenen Ausführungsformen können Kupfer-, Gold-, Palladium-beschichtete Kupferdrähte durch die leitfähige Schutzschicht 270 an die oberen Metallleitungen 230 gebondet werden. Bei verschiedenen Ausführungsformen, wenn die leitfähige Schutzschicht 270 Aluminiumbronze umfasst, können Golddrähte (beispielsweise mit einem Durchmesser von etwa 25 µm) an die leitfähige Schutzschicht 270 gebondet werden. Analog können Palladium-beschichtete Kupferdrähte an der Aluminiumbronze umfassenden leitfähigen Schutzschicht 270 angebracht werden. Bei verschiedenen Ausführungsformen weist der Lötkontakt 290 einen Schichtstapel auf, der eine Nickelschicht, eine Palladiumschicht und eine Goldschicht aufweist, so dass die Nickelschicht die leitfähige Schutzschicht 270 kontaktiert. Hier ist die Goldschicht die oberste Schicht und die Nickelschicht die unterste Schicht des Lötkontakts 290. Bei einigen Ausführungsformen weist der Lötkontakt einen Schichtstapel auf, der eine Nickelschicht, eine Molybdänschicht, eine Palladiumschicht und eine Goldschicht aufweist, so dass die Nickelschicht die leitfähige Schutzschicht 270 kontaktiert. Bei anderen Ausführungsformen weist der Lötkontakt 290 eine Nickel-Phosphor-Schicht, eine Palladiumschicht und eine Goldschicht auf. Bei anderen Ausführungsformen entfällt die Goldschicht.
  • Bei verschiedenen Ausführungsformen können die leitfähige Schutzschicht 270 und die Beschichtung 280, der Lötkontakt 290 und die Bonddrähte 295 in einer anderen Anlage hergestellt werden. Jedoch erfahren die oberen Metallleitungen 230 wegen der die oberen Metallleitungen 230 bedeckenden leitfähigen Schutzschicht 70 und der Beschichtung 280 keine Korrosion. Somit können die Back-End-Verarbeitungsprozesse wie etwa Die-Trennung, Rückschleifen, Die-Attach und Drahtbonden in Anlagen mit weniger strengen Umgebungen und deshalb preiswerteren Anlagen durchgeführt werden, ohne dass die Gefahr des Korrodierens der oberen Metallleitungen 230 besteht.
  • Die 14-15 zeigen eine alternative Ausführungsform der Erfindung zum Ausbilden eines Halbleiterbauelements mit mit einer leitfähigen Schutzschicht bedeckten oberen Metallleitungen.
  • Die Ausführungsform von 14 geht weiter wie in der vorausgegangenen Ausführungsform, wie in 2-9 beschrieben. Im Gegensatz zu der Ausführungsform von 11 wird jedoch eine nichtselektive oder teilweise selektive Ätzung verwendet, um die verbleibende legierende Schicht 260 zu entfernen. Zu Beispielen für Ätzmittel, die zwischen der legierenden Schicht 260 und der leitfähigen Schutzschicht 270 teilweise selektiv oder nichtselektiv sind, zählen gepufferte Fluorwasserstoffsäure, Ammoniumhydroxid. Somit wird ein Abschnitt der leitfähigen Schutzschicht 270 geätzt. Die obere Oberfläche der leitfähigen Schutzschicht 270 ist niedriger als die obere Oberfläche der oberen Metallleitungen 230, wie in 14 und in 15 dargestellt. Außerdem zeigen die 14 und 15 eine Ausführungsform, wo die aus gehärtetem Fotoimid bestehende zweite Resistmaske nicht entfernt wurde.
  • Weil die Dicke der leitfähigen Schutzschicht 270 größer ist als die legierende Schicht 260 wie abgeschieden, verbleibt ein wesentlicher Abschnitt der leitfähigen Schutzschicht 270, nachdem die ganze legierende Schicht 260 wie abgeschieden entfernt worden ist. Bei einer Ausführungsform kann eine zeitlich gesteuerte Ätzung verwendet werden, während bei einer anderen Ausführungsform ein geeignetes Endpunktdetektionsverfahren verwendet werden kann und der Ätzprozess gestoppt wird, nachdem die ganze legierende Schicht 260 geätzt worden ist.
  • Die nachfolgende Verarbeitung geht weiter, wie in dem vorausgegangenen Ausführungsbeispiel beschrieben, beispielsweise in 12-13. Das Halbleiterbauelement wird nach der weiteren Verarbeitung in der gleichen oder einer anderen Herstellungsanlage in 15 dargestellt. Als ein Beispiel koppeln Bonddrähte 295 durch die Lötkontakte 290 und die leitfähige Schutzschicht 270 an die Kontaktpads auf den oberen Metallleitungen 230.
  • Die 16-17 zeigen eine weitere Ausführungsform der vorliegenden Erfindung unter Verwendung eines zusätzlichen Strukturierungsschritts zum Ausbilden eines Halbleiterbauelements mit mit einer leitfähigen Schutzschicht bedeckten oberen Metallleitungen.
  • Diese Ausführungsform ist der zuvor beschriebenen Ausführungsform ähnlich, enthält aber einen zusätzlichen Strukturierungsschritt. Bei der vorausgegangenen Ausführungsform wird, wie in 3-9 beschrieben, eine konforme legierende Schicht 260 abgeschieden. Bei dieser Ausführungsform wird nach dem Ausbilden einer konformen Schicht die legierende Schicht 260 unter Verwendung eines zusätzlichen Lithografieschritts strukturiert. Deshalb folgt diese Ausführungsform der Ausführungsform von 3-9.
  • Als nächstes wird, wie in 16 gezeigt, eine dritte Resistschicht 310 über der legierenden Schicht 260 abgeschieden. Die dritte Resistschicht 310 wird so strukturiert, dass die legierende Schicht 260 von über der zweiten Resistschicht 250 entfernt wird. Analog kann die Strukturierung der dritten Resistschicht 310 verwendet werden, um die legierende Schicht 260 von den Seitenwänden der oberen Metallleitungen 230 zu vermeiden.
  • Wie in 16 dargestellt, wird die Struktur von der strukturierten dritten Resistschicht 310 auf die darunterliegende legierende Schicht 260 übertragen, wodurch eine strukturierte legierende Schicht 260 ausgebildet wird. Wie als nächstes in 17 dargestellt, können nachfolgende Prozessschritte wie zuvor bezüglich der 10-13 und/oder 14-15 beschrieben folgen, um die Ausbildung einer leitfähigen Schutzschicht und optional eines Lötkontakts 290 und optionaler Bonddrähte 295 zu finalisieren.
  • Die 18-20 zeigen eine weitere Ausführungsform der vorliegenden Erfindung zum Ausbilden eines Halbleiterbauelements mit mit einer leitfähigen Schutzschicht bedeckten oberen Metallleitungen, wobei die leitfähige Schutzschicht sowohl eine obere Oberfläche als auch Seitenwände der oberen Metallleitungen bedeckt.
  • Diese Ausführungsform läuft ähnlich den vorausgegangenen Ausführungsformen ab, beispielsweise wie bezüglich der 3-5 beschrieben. Im Gegensatz zu den vorausgegangenen Ausführungsformen wird jedoch vor der Abscheidung einer zweiten Resistschicht 250 eine legierende Schicht 260 über den oberen Metallleitungen 230 abgeschieden. Somit können bei dieser Ausführungsform sowohl die obere Oberfläche als auch die Seitenwände der oberen Metallleitungen 230 geschützt werden.
  • Unter Bezugnahme auf 18 bedeckt die legierende Schicht 260 die oberen Metallleitungen 230 konform. Die legierende Schicht 260 kann bei einer Ausführungsform über Sputtern abgeschieden werden, wenngleich bei verschiedenen Ausführungsformen ein beliebiger geeigneter Abscheidungsprozess verwendet werden kann. Bei verschiedenen Ausführungsformen wird die legierende Schicht 260 mit einer Dicke von etwa 5 nm bis etwa 50 nm und etwa 10 nm bis etwa 20 nm abgeschieden. Bei verschiedenen Ausführungsformen weist die legierende Schicht 260 ein Aluminium, Nickel oder Zinn umfassendes reines Metall auf. Bei einer Ausführungsform weist die legierende Schicht 260 Aluminium oder zu weniger als etwa 1% mit Kupfer dotiertes Aluminium auf.
  • Die legierende Schicht 260 wird wie zuvor beispielsweise bezüglich 10 beschrieben ausgeheilt. Nach dem Ausheilen entsteht eine leitfähige Schutzschicht 270 über den oberen Metallleitungen 230, wie zuvor beschrieben. Ein Teil der legierenden Schicht 260 wird möglicherweise nicht umgesetzt.
  • Wie als nächstes in 19 gezeigt, kann die verbleibende legierende Schicht 260 entweder selektiv, wie bezüglich 11 beschrieben, oder nicht-selektiv, wie bezüglich 14-15 beschrieben, entfernt werden. Die weitere Verarbeitung wird fortgesetzt, wie in früheren Ausführungsformen beschrieben.
  • Vorteilhafterweise schützt die leitfähige Schutzschicht 270 bei dieser Ausführungsform das darunterliegende Kupfer in den oberen Metallleitungen 230 vor einer Umgebungszersetzung, die seitlich durch die Seitenwände entsteht sowie vertikal von der oberen Oberfläche der oberen Metallleitungen 230 entsteht. Außerdem ist die Kornstruktur der darunterliegenden Kupferleitungen bei dieser Ausführungsform derart festgelegt, dass die Leitung während des Bauelementbetriebs eine Verbesserung bei der thermomechanischen Robustheit erfährt.
  • 21 zeigt ein Halbleiterbauelement, bei dem die obersten Metallleitungen der Feuchtigkeitsbarriere oder der Rissstoppschicht mit einer Schutzschicht gemäß Ausführungsformen der Erfindung bedeckt sind.
  • Bei verschiedenen Ausführungsformen kann die Schutzschicht auch zusätzliche Strukturen über die Bauelementgebiete hinweg bedecken. Beispielsweise wird, wie in 21 gezeigt, die leitfähige Schutzschicht 270 auf der obersten Metallleitung der Feuchtigkeitsbarriere 400 ausgebildet. Die Feuchtigkeitsbarriere 400 kann um die Bauelementgebiete 2 des Chips 1 herum ausgebildet werden. Die Feuchtigkeitsbarriere 400 kann eine beliebige geeignete Struktur aufweisen und weist eine vertikale Wand aus leitfähigem Material auf, in einer Ausführungsform Metallleitungen und Vias (z.B. wie in 1B gezeigt) aufweisend. Die Feuchtigkeitsbarriere 400 schützt die Bauelementgebiete 2 vor Feuchtigkeit. Die leitfähige Schutzschicht 270 verstärkt dieses schützende Verhalten der Feuchtigkeitsbarriere 400 durch Blockieren des Eintritts von Feuchtigkeit und anderer Oxidationsmittel durch die oberste Metallisierung.
  • Wenngleich die vorliegende Erfindung unter Bezugnahme auf veranschaulichende Ausführungsformen beschrieben worden ist, soll die vorliegende Beschreibung nicht in einem beschränkenden Sinne ausgelegt werden. Verschiedene Modifikationen und Kombinationen der veranschaulichenden Ausführungsformen sowie andere Ausführungsformen der Erfindung ergeben sich dem Fachmann bei der Bezugnahme auf die Beschreibung. Es ist deshalb beabsichtigt, dass die beigefügten Ansprüche alle derartigen Modifikationen oder Ausführungsformen einschließen.

Claims (27)

  1. Halbleiterbauelement, das Folgendes aufweist: • eine Metallatome aufweisende Metallleitung (230), über einem Substrat (10) angeordnet, wobei ein Abschnitt einer oberen Oberfläche der Metallleitung (230) einen Kontaktbereich (271, 272) aufweist; und • eine die Metallatome aufweisende Schutzschicht (270), auf dem Kontaktbereich (271, 272) angeordnet, wobei die Schutzschicht (270) ein anderes Material als die Metallleitung (230) ist und die Metallleitung (230) teilweise abdeckt, so dass ein Abschnitt der Metallleitung (230) frei ist von der Schutzschicht (270); • eine Passivierungsschicht (240), auf der Metallleitung (230) angeordnet, wobei die Passivierungsschicht (240) eine Öffnung über dem Kontaktbereich (271, 272) aufweist, welche die Schutzschicht (270) teilweise freilegt; und • wobei die Passivierungsschicht den Abschnitt der Metallleitung (230) abdeckt.
  2. Halbleiterbauelement gemäß Anspruch 1, wobei die Schutzschicht (270) innerhalb einer Ausnehmung in der Metallleitung (230) angeordnet ist.
  3. Halbleiterbauelement gemäß Anspruch 1 oder 2, wobei die Schutzschicht (270) auf Seitenwänden in der Metallleitung (230) angeordnet ist.
  4. Halbleiterbauelement gemäß einem der Ansprüche 1 bis 3, • wobei die Metallatome Kupfer aufweisen; und/oder • wobei die Schutzschicht (270) weiterhin Aluminium aufweist und/oder eine auf der Metallleitung (230) angeordnete Schicht aus Aluminiumbronze und eine auf der Schicht aus Aluminiumbronze angeordnete Schicht aus Aluminiumoxid.
  5. Halbleiterbauelement gemäß Anspruch 4, wobei die Schutzschicht (270) ein Metall aufweist ausgewählt aus der Gruppe bestehend aus Zinn, Magnesium, Chrom, Nickel und Zink.
  6. Halbleiterbauelement gemäß einem der Ansprüche 1 bis 5, wobei die Metallleitung (230) die oberste Metallleitung (230) über dem Substrat (10) ist.
  7. Halbleiterbauelement gemäß einem der Ansprüche 1 bis 6, wobei die Schutzschicht (270) eine auf der Metallleitung (230) angeordnete Legierungsschicht und eine über der Legierungsschicht angeordnete Oxidschicht aufweist, wobei die Legierungsschicht Kupfer und ein legierendes Element aufweist und die Oxidschicht ein Oxid des legierenden Elements aufweist.
  8. Halbleiterbauelement, das Folgendes aufweist: • eine Metallatome aufweisende Metallleitung (230) über einem Substrat (10); • eine die Metallatome aufweisende Legierungsschicht, welche auf einer oberen Oberfläche der Metallleitung (230) und Seitenwänden der Metallleitung (230) angeordnet ist, • wobei die Legierungsschicht ein anderes Material als die Metallleitung (230) aufweist, welches ferner ein legierendes Element aufweist; • eine das legierende Element aufweisende Beschichtung (280) auf der Legierungsschicht, wobei die Beschichtung eine Öffnung aufweist, welche die Legierungsschicht teilweise freilegt; • wobei die Beschichtung (280) ein anderes Material als die Legierungsschicht aufweist, welches das legierende Element aufweist; und • eine Lötschicht auf der freigelegten Legierungsschicht.
  9. Verfahren zum Ausbilden eines Halbleiterbauelements, wobei das Verfahren Folgendes aufweist: • Ausbilden einer Metallleitung (230) über einem Substrat (10); • Abscheiden einer legierenden Materialschicht über einer oberen Oberfläche der Metallleitung (230) und Seitenwänden der Metallleitung (230); und • Ausbilden einer Legierungsschicht durch Umwandeln eines Abschnitts der Metallleitung (230) durch Legieren der Metallleitung (230) mit der legierenden Materialschicht; • Umwandeln einer freigelegten Oberfläche der Legierungsschicht zum Ausbilden einer Beschichtung (280) ; • Ätzen der Beschichtung (280) zum Freilegen der Legierungsschicht; und • Ausbilden einer Lötschicht auf der freigelegten Legierungsschicht.
  10. Verfahren gemäß einem der Anspruch 9, das weiterhin Folgendes aufweist: Abscheiden einer Passivierungsschicht (240) nach dem Abscheiden der legierenden Materialschicht; und Entfernen von verbleibenden Material der legierenden Materialschicht nach dem Ausbilden der Legierungsschicht.
  11. Verfahren gemäß einem der Ansprüche 9 oder 10, wobei das Ausbilden der Lötschicht aufweist: Ausbilden eines Schichtstapels, der eine Nickelschicht, eine Molybdänschicht, eine Palladiumschicht und eine Goldschicht aufweist, wobei die Nickelschicht die freigelegte Legierungsschicht kontaktiert.
  12. Verfahren gemäß einem der Ansprüche 9 bis 11, wobei die Lötschicht eine obere Oberfläche aufweist, welche eingerichtet ist drahtgebondet zu werden.
  13. Verfahren zum Ausbilden eines Halbleiterbauelements, wobei das Verfahren Folgendes aufweist: • Ausbilden einer Metallleitung (230) über einem Substrat (10); • Abscheiden einer legierenden Materialschicht über einer oberen Oberfläche der Metallleitung (230); • Ausbilden einer Schutzschicht durch Umwandeln eines ersten Abschnitts der Metallleitung (230) durch Legieren der Metallleitung (230) mit der legierenden Materialschicht, wobei ein zweiter Abschnitt der Metallleitung (230) frei bleibt von der Schutzschicht (270), wobei die Schutzschicht (270) vorzugsweise eine auf der Metallleitung (230) angeordnete Legierungsschicht und eine über der Legierungsschicht angeordnete Oxidschicht aufweist; • Ausbilden einer Passivierungsschicht (240) auf der Metallleitung (230), wobei die Passivierungsschicht (240) eine Öffnung über einem Kontaktbereich (271, 272) aufweist, welche die Schutzschicht (270) teilweise freilegt; und • wobei die Passivierungsschicht (240) den Abschnitt der Metallleitung (230) abdeckt; • wobei vorzugsweise ein Umwandeln einer freigelegten Oberfläche der Legierungsschicht zum Ausbilden einer Beschichtung (280) erfolgt.
  14. Verfahren gemäß Anspruch 13, das weiterhin Folgendes aufweist: • vor dem Abscheiden der legierenden Materialschicht Abscheiden einer Passivierungsschicht (240) über der Metallleitung (230), wobei die Passivierungsschicht (240) über Seitenwänden der Metallleitung (230) angeordnet wird; und • Öffnen eines Abschnitts der Passivierungsschicht (240) zum Exponieren der oberen Oberfläche der Metallleitung (230).
  15. Verfahren gemäß einem der Ansprüche 13 oder 14, wobei das Abscheiden der legierenden Materialschicht Abscheiden der legierenden Materialschicht über Seitenwänden der Metallleitung (230) aufweist.
  16. Verfahren gemäß einem der Ansprüche 9 bis 15, wobei die Metallleitung (230) Kupfer aufweist, wobei die legierende Materialschicht Aluminium aufweist, wobei die Legierungsschicht Aluminiumbronze aufweist; und wobei die Beschichtung (280), welche mittels des Umwandelns der freigelegten Oberfläche der Legierungsschicht gebildet wird, Aluminiumoxid aufweist.
  17. Verfahren gemäß einem der Ansprüche 9 bis 16, das weiterhin Folgendes aufweist: Entfernen von verbleibendem Material der legierenden Materialschicht selektiv ohne die Legierungsschicht zu entfernen.
  18. Verfahren gemäß einem der Ansprüche 9 bis 16, das weiterhin Folgendes aufweist: Entfernen von verbleibendem Material der legierenden Materialschicht und Entfernen zumindest eines Abschnitts der Legierungsschicht nach dem Ausbilden der Legierungsschicht.
  19. Verfahren gemäß einem der Ansprüche 9 bis 18, wobei das Ausbilden der Legierungsschicht Ausheilen bei etwa 350°C bis etwa 400°C aufweist.
  20. Verfahren gemäß einem der Ansprüche 9 bis 19, wobei das Umwandeln der freigelegten Oberfläche der Legierungsschicht zum Ausbilden der Beschichtung (280) Oxidieren der freigelegten Oberfläche der Legierungsschicht aufweist.
  21. Verfahren gemäß einem der Ansprüche 9 bis 20, wobei das Umwandeln der freigelegten Oberfläche der Legierungsschicht zum Ausbilden der Beschichtung (280) Nitrieren oder Aufkohlen der freigelegten Oberfläche der Legierungsschicht aufweist.
  22. Verfahren gemäß einem der Ansprüche 9 bis 21, wobei die legierende Materialschicht ein Metall aufweist ausgewählt aus der Gruppe bestehend aus Zinn, Magnesium, Chrom, Nickel und Zink, und wobei die Legierungsschicht Kupfer und das aus der Gruppe ausgewählte Metall aufweist.
  23. Verfahren gemäß einem der Ansprüche 9 bis 22, wobei die legierende Materialschicht ferner über eine obere Oberfläche einer zusätzlichen Metallleitung (230) einer Feuchtigkeitsbarriere (400) oder einer Rissstoppschicht und Seitenwänden der zusätzlichen Metallleitung abgeschieden wird; und wobei die Legierungsschicht ferner durch Umwandeln eines Abschnitts der zusätzlichen Metallleitung der Feuchtigkeitsbarriere (400) oder der Rissstoppschicht durch Legieren der zusätzlichen Metallleitung der Feuchtigkeitsbarriere (400) oder der Rissstoppschicht mit der legierenden Materialschicht gebildet wird.
  24. Verfahren zum Ausbilden eines Halbleiterbauelements, wobei das Verfahren Folgendes aufweist: • Ausbilden einer Metallleitung (230) über einem Substrat (10); • Ausbilden einer Passivierungsschicht (240) über einer oberen Oberfläche und Seitenwänden der Metallleitung (230); und • Öffnen eines Abschnitts der Passivierungsschicht (240) zum Exponieren der oberen Oberfläche der Metallleitung (230), wobei die Passivierungsschicht (240) über zumindest einem Abschnitt der oberen Oberfläche der Metallleitung (230) und über zumindest einem Abschnitt der Seitenwände der Metallleitung (230) verbleibt; • Ausbilden einer legierenden Materialschicht über einer oberen Oberfläche der Metallleitung (230); • Ausbilden einer Legierungsschicht durch Umwandeln eines Abschnitts der Metallleitung (230) durch Legieren der Metallleitung (230) mit der legierenden Materialschicht; • Ausbilden einer Beschichtung (280) durch Umwandeln einer freigelegten Oberfläche der Legierungsschicht; • Entfernen der Beschichtung (280) zum Freilegen der Legierungsschicht; und • Ausbilden einer Lötschicht auf der freigelegten Legierungsschicht.
  25. Verfahren gemäß Anspruch 12 oder 24, das weiterhin Folgendes aufweist: Strukturieren der legierenden Materialschicht vor dem Ausbilden der Legierungsschicht.
  26. Verfahren gemäß Anspruch 25, wobei das Strukturieren der legierenden Materialschicht Entfernen der legierenden Materialschicht von Seitenwänden der Passivierungsschicht (240) aufweist.
  27. Verfahren zum Ausbilden eines Halbleiterbauelements, wobei das Verfahren Folgendes aufweist: • Ausbilden einer Ätzstoppschicht (210) über einem Substrat (10); • Ausbilden einer Metallleitung (230) über der Ätzstoppschicht (210); • Ausbilden einer legierenden Materialschicht über einer oberen Oberfläche der Metallleitung (230) und Seitenwänden der Metallleitung (230) und über einer freigelegten oberen Oberfläche der Ätzstoppschicht (210); und • Ausbilden einer Legierungsschicht durch Umwandeln eines Abschnitts der Metallleitung (230) durch Legieren der Metallleitung (230) mit der legierenden Materialschicht; • Entfernen von verbleibendem Material der legierenden Materialschicht von oberhalb der Ätzstoppschicht (210) nach dem Ausbilden der Legierungsschicht; • Umwandeln einer freigelegten Oberfläche der Legierungsschicht zum Ausbilden einer Beschichtung (280) ; • Entfernen der Beschichtung (280) zum Freilegen der Legierungsschicht; und • Ausbilden einer Lötschicht auf der freigelegten Legierungsschicht.
DE102013101935.8A 2012-03-02 2013-02-27 Schutzschichten für leitfähige Pads und Verfahren zu deren Ausbildung Active DE102013101935B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/410,751 US8835319B2 (en) 2012-03-02 2012-03-02 Protection layers for conductive pads and methods of formation thereof
US13/410,751 2012-03-02

Publications (2)

Publication Number Publication Date
DE102013101935A1 DE102013101935A1 (de) 2013-09-05
DE102013101935B4 true DE102013101935B4 (de) 2022-05-12

Family

ID=48985193

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013101935.8A Active DE102013101935B4 (de) 2012-03-02 2013-02-27 Schutzschichten für leitfähige Pads und Verfahren zu deren Ausbildung

Country Status (3)

Country Link
US (2) US8835319B2 (de)
CN (1) CN103296007B (de)
DE (1) DE102013101935B4 (de)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2651010B1 (de) * 2012-04-12 2014-12-17 ABB Technology AG Verfahren zur Herstellung eines Rotors eines synchronen Reluktanzmotors, ein Rotor eines synchronen Reluktanzmotors und synchroner Reluktanzmotor
JP2013229455A (ja) * 2012-04-26 2013-11-07 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8779592B2 (en) * 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US20150247879A1 (en) 2014-03-03 2015-09-03 Infineon Technologies Ag Acceleration sensor
US9773736B2 (en) * 2015-01-28 2017-09-26 Infineon Technologies Ag Intermediate layer for copper structuring and methods of formation thereof
DE202015001313U1 (de) 2015-02-18 2015-04-17 Ronge Tall Vorrichtung und System zum Empfang vom EMG-Signalen und/oder übermitteln von EMS-Signalen an einen menschlichen Körper um ihn zu trainieren
US20170092753A1 (en) * 2015-09-29 2017-03-30 Infineon Technologies Austria Ag Water and Ion Barrier for III-V Semiconductor Devices
US10062630B2 (en) 2015-12-31 2018-08-28 Infineon Technologies Austria Ag Water and ion barrier for the periphery of III-V semiconductor dies
US9666546B1 (en) 2016-04-28 2017-05-30 Infineon Technologies Ag Multi-layer metal pads
US10062644B2 (en) * 2016-09-02 2018-08-28 Newport Fab, Llc Copper interconnect for improving radio frequency (RF) silicon-on-insulator (SOI) switch field effect transistor (FET) stacks
KR20210150604A (ko) * 2019-05-01 2021-12-10 램 리써치 코포레이션 반도체 디바이스 제작 시 금속들의 전착 (electrodeposition) 동안 시드 층들의 보호
CN112820657A (zh) * 2021-01-05 2021-05-18 苏州工业园区纳米产业技术研究院有限公司 一种解决铝垫打线异常的方法
IT202100031340A1 (it) 2021-12-14 2023-06-14 St Microelectronics Srl Metodo di fabbricazione di uno strato di ridistribuzione, strato di ridistribuzione, circuito integrato, e metodi per il test elettrico e la protezione del circuito integrato

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453401A (en) 1991-05-01 1995-09-26 Motorola, Inc. Method for reducing corrosion of a metal surface containing at least aluminum and copper
US6620721B1 (en) 2002-06-04 2003-09-16 United Microelectronics Corp. Method of forming a self-aligning pad
US20050098893A1 (en) 2003-11-10 2005-05-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7329607B2 (en) 2000-03-03 2008-02-12 Micron Technology, Inc. Conductive connection forming methods, oxidation reducing methods, and integrated circuits formed thereby

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4566026A (en) 1984-04-25 1986-01-21 Honeywell Inc. Integrated circuit bimetal layer
US6277499B1 (en) 1992-04-23 2001-08-21 United Technologies Corporation Oxidation resistant coatings for copper
US5771157A (en) 1996-03-08 1998-06-23 Honeywell, Inc. Chip-on-board printed circuit assembly using aluminum wire bonded to copper pads
KR100270593B1 (ko) * 1996-06-11 2000-12-01 포만 제프리 엘 부분 중첩 상호 접속 구조 및 그 제조 방법
US6183880B1 (en) 1998-08-07 2001-02-06 Mitsui Mining & Smelting Co., Ltd. Composite foil of aluminum and copper
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6521975B1 (en) * 1999-05-20 2003-02-18 Texas Instruments Incorporated Scribe street seals in semiconductor devices and method of fabrication
US6444544B1 (en) 2000-08-01 2002-09-03 Taiwan Semiconductor Manufacturing Company Method of forming an aluminum protection guard structure for a copper metal structure
US6521523B2 (en) 2001-06-15 2003-02-18 Silicon Integrated Systems Corp. Method for forming selective protection layers on copper interconnects
US7067914B2 (en) 2001-11-09 2006-06-27 International Business Machines Corporation Dual chip stack method for electro-static discharge protection of integrated circuits
KR100478483B1 (ko) * 2002-10-02 2005-03-28 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7061114B2 (en) 2004-03-25 2006-06-13 Texas Instruments Incorporated Structure and method for contact pads having a protected bondable metal plug over copper-metallized integrated circuits
US7170144B2 (en) * 2005-02-25 2007-01-30 United Microelectronics Corp. System-on-chip with shield rings for shielding functional blocks therein from electromagnetic interference
US7445966B2 (en) * 2005-06-24 2008-11-04 International Business Machines Corporation Method and structure for charge dissipation during fabrication of integrated circuits and isolation thereof
JP5581005B2 (ja) * 2008-12-26 2014-08-27 株式会社東芝 半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453401A (en) 1991-05-01 1995-09-26 Motorola, Inc. Method for reducing corrosion of a metal surface containing at least aluminum and copper
US7329607B2 (en) 2000-03-03 2008-02-12 Micron Technology, Inc. Conductive connection forming methods, oxidation reducing methods, and integrated circuits formed thereby
US6620721B1 (en) 2002-06-04 2003-09-16 United Microelectronics Corp. Method of forming a self-aligning pad
US20050098893A1 (en) 2003-11-10 2005-05-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US8835319B2 (en) 2014-09-16
CN103296007A (zh) 2013-09-11
US20130228929A1 (en) 2013-09-05
CN103296007B (zh) 2016-01-27
US20140319688A1 (en) 2014-10-30
DE102013101935A1 (de) 2013-09-05

Similar Documents

Publication Publication Date Title
DE102013101935B4 (de) Schutzschichten für leitfähige Pads und Verfahren zu deren Ausbildung
DE4201792C2 (de) Anschlußelektrodenstruktur und Verfahren zu deren Herstellung
DE102013103206B4 (de) Durchkontaktierungsstruktur sowie Verfahren
DE102013111452B4 (de) Halbleitervorrichtungen und Halbleiterverarbeitungsverfahren
DE102009035437B4 (de) Halbleiterbauelement mit einem Verspannungspuffermaterial, das über einem Metallisierungssystem mit kleinem ε gebildet ist
DE4207916C2 (de) Verbindungsstruktur einer integrierten Halbleiterschaltungseinrichtung
DE4128421C2 (de) Elektrische Verbindung einer integrierten Halbleitervorrichtung und Herstellungsverfahren für dieselbe
DE102012104270B4 (de) Halbleiterkomponente, Halbleiterkomponentenanordnung und Verfahren zum Herstellen einer Halbleiterkomponente
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102008049775B4 (de) Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE10224775A1 (de) Halbleitervorrichtung
DE10236682A1 (de) Halbleitervorrichtung
DE102014113917A1 (de) Kontaktanschlussflächenstruktur, elektronisches Bauelement und Verfahren zur Herstellung einer Kontaktanschlussflächenstruktur
DE102008016427A1 (de) Drahtbonden auf reaktiven Metalloberflächen einer Metallisierung eines Halbleiterbauelements durch Vorsehen einer Schutzschicht
DE102011006454B4 (de) Hochspannungs-Halbleiterbauelemente und Verfahren zu deren Herstellung
DE10051583A1 (de) Verfahren zum Herstellen von Halbleitervorrichtungen
DE102019112264B4 (de) Metall-heteroübergangsstruktur mit metallkappenschicht
DE102014118991A1 (de) Verbindungsstruktur für Halbleitervorrichtungen
DE102011050953B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102016100258A1 (de) Zwischenschicht für Kupferstrukturierung und Verfahren zur Bildung derselben
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102010030759B4 (de) Halbleiterbauelement mit Metallisierungsstapel mit sehr kleinem ε (ULK) mit reduzierter Wechselwirkung zwischen Chip und Gehäuse
DE102005035771B4 (de) Technik zur Herstellung einer Kontaktschicht auf Kupferbasis ohne ein Endmetall
DE102004021261B4 (de) Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse
DE102014116262B4 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023482000

Ipc: H01L0023500000

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R082 Change of representative