DE102004021261B4 - Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse - Google Patents

Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse Download PDF

Info

Publication number
DE102004021261B4
DE102004021261B4 DE102004021261A DE102004021261A DE102004021261B4 DE 102004021261 B4 DE102004021261 B4 DE 102004021261B4 DE 102004021261 A DE102004021261 A DE 102004021261A DE 102004021261 A DE102004021261 A DE 102004021261A DE 102004021261 B4 DE102004021261 B4 DE 102004021261B4
Authority
DE
Germany
Prior art keywords
layer
dielectric material
dielectric
metal line
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102004021261A
Other languages
English (en)
Other versions
DE102004021261A1 (de
Inventor
James Werking
Frank Feustel
Christian Zistl
Peter Huebler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102004021261A priority Critical patent/DE102004021261B4/de
Priority to US11/046,986 priority patent/US8698312B2/en
Publication of DE102004021261A1 publication Critical patent/DE102004021261A1/de
Application granted granted Critical
Publication of DE102004021261B4 publication Critical patent/DE102004021261B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Abstract

Halbleiterbauelement mit:
einem Substrat;
einer ersten Metallleitungsschicht, die über dem Substrat ausgebildet ist, wobei die erste Metallleitungsschicht mehrere erste Metallleitungen aufweist, die lateral in ein erstes dielektrisches Material mit einer ersten relativen Permittivität eingebettet sind, wobei das erste dielektrische Material wasserstoffenthaltendes Siliziumoxykarbid (SiCOH) aufweist;
einer zweiten Metallleitungsschicht, die über der ersten Metallleitungsschicht ausgebildet ist, wobei die zweite Metallleitungsschicht mehrere zweite Metallleitungen aufweist, die lateral in das erste dielektrische Material eingebettet sind;
einer Kontaktdurchführung, die zumindest eine der ersten Metallleitungen mit zumindest einer der zweiten Metallleitungen verbindet, wobei die Kontaktdurchführung lateral in ein zweites dielektrisches Material mit einer zweiten relativen Permittivität, die größer als die erste relative Permittivität ist, eingebettet ist, wobei das zweite dielektrische Material Siliziumdioxid und/oder fluordotiertes Siliziumdioxid aufweist; und.
eine Lothöckerschicht mit mehreren Lothöckern, wovon mindestens einige elektrisch mit mindestens der ersten und/oder der zweiten Metallleitungsschicht verbunden sind.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Die vorliegende Erfindung betrifft im Allgemeinen die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten mit reduzierter Permittivität und Probleme beim Einbau in Gehäuse, die damit verknüpft sind.
  • Halbleiterbauelemente werden typischerweise auf im Wesentlichen scheibenförmigen Substraten gebildet, die aus einem geeigneten Material hergestellt sind. Die Mehrheit der Halbleiterbauelemente, zu denen äußerst komplexe elektronische Schaltungen gehören, werden gegenwärtig und in der näheren Zukunft auf der Grundlage von Silizium hergestellt, so dass Siliziumsubstrate und siliziumenthaltende Substrate, etwa SOI-(Silizium auf Isolator) Substrate geeignete Träger für die Herstellung von Halbleiterbauelementen, etwa von Mikroprozessoren, SRAM's, ASIC's (anwendungsspezifische IC's) und dergleichen sind. Die einzelnen integrierten Schaltungen werden in einer Array-Form angeordnet, wobei die meisten Herstellungsschritte, die bis zu 500 und mehr einzelne Prozessschritte in hoch entwickelten integrierten Schaltungen enthalten können, gleichzeitig für alle Chipbereiche auf dem Substrat ausgeführt werden, mit Ausnahme von Lithographieprozessen, Messprozessen und das in ein Gehäuse einbringen der einzelnen Bauelemente nach dem Schneiden des Substrats. Somit zwingen ökonomische Rahmenbedingungen Halbleiterhersteller ständig dazu, die Substratabmessungen zu vergrößern, um damit auch die verfügbare Fläche zum Herstellen tatsächlicher Halbleiterelemente zu erhöhen und damit die Produktionsausbeute zu vergrößern.
  • Zusätzlich zu dem Vergrößern der Substratfläche ist es ferner wichtig, die Ausnutzung der Substratfläche für eine vorgegebene Substratgröße zu optimieren, um damit möglichst viel der Substratfläche für Halbleiterbauelemente und/oder Teststrukturen zu nutzen, die zur Prozesssteuerung verwendet werden. In dem Versuch, den nutzbaren Oberflächenbereich für eine vorgegebene Substratgröße zu maximieren, werden die Strukturgrößen von Schal tungselementen ständig verringert und die peripheren Chipbereiche werden so nahe an dem Substratrand positioniert, wie dies mit Substrathantierungsvorgängen verträglich ist. Im Allgemeinen werden die meisten der Herstellungsprozesse in einer automatisierten Weise durchgeführt, wobei die Substrathandhabung an der Rückseite des Substrats und/oder an dem Substratrand stattfindet.
  • Auf Grund der ständigen Forderung für eine Schrumpfung der Strukturgrößen von modernsten Halbleiterbauelementen wurde Kupfer in Verbindung mit dielektrischem Material mit kleinem ε als häufig angewendete Alternative in Form sogenannter Verbindungsstrukturen eingesetzt, die Metallleitungsschichten und dazwischenliegende Kontaktdurchführungsschichten aufweisen, die Metallleitungen als Verbindungen innerhalb der Schicht und die Kontaktführungen als Verbindungen zwischen den Schichten beinhalten, die gemeinsam die individuellen Schaltungselemente so verbinden, um die geforderte Funktionalität der integrierten Schaltung zu gewährleisten. Typischerweise sind mehrere aufeinander gestapelte Metallleitungsschichten und Kontaktdurchführungsschichten erforderlich, um die Verbindungen zwischen allen inneren Schaltungselementen und Ein/Ausgängen (I/O), Leistungsanschluss- und Masseflächen des betrachteten Schaltungsentwurfs zu realisieren. Hierbei gewährleisten die Metallleitungen die elektrische Verbindung in einer einzelnen Metallisierungsschicht, wohingegen die Kontaktdurchführungen durch das dielektrische Zwischenschichtmaterial hindurch ausgebildet sind, um zwei Metallleitungen von vertikal benachbarten Metallisierungsschichten zu verbinden.
  • Für äußerst größenreduzierte integrierte Schaltungen ist die Signalausbreitungsverzögerung nicht mehr durch die Feldeffekttransistoren begrenzt, sondern ist auf Grund der erhöhten Dichte der Schaltungselemente, die eine überproportional höhere Anzahl elektrischer Verbindungen erfordert, durch den geringen Abstand der Metallleitungen beschränkt, da die Kapazität zwischen den Leitungen zusammen mit einer geringeren Leitfähigkeit der Leitungen auf Grund der geringeren Querschnittsfläche erhöht ist. Aus diesem Grund werden die herkömmlichen Dielektrika, Siliziumdioxid (ε > 3,6) und Siliziumnitrid (ε > 5) durch dielektrische Materialien mit einer geringeren Permittivität ersetzt, die daher auch als Dielektrika mit kleinem ε mit einer relativen Permittivität von 3 oder weniger bezeichnet werden: Jedoch ist die Dichte und die mechanische Stabilität oder Festigkeit der Materialien mit kleinem ε deutlich kleiner im Vergleich zu den gut bewährten Dielektrika, Siliziumdioxid und Siliziumnitrid. Folglich kann während der Herstellung der Verbindungsstruktur und nachfolgender Herstel lungsprozesse für die integrierten Schaltungen die Produktionsausbeute nachteilig durch Ablösen von Materialresten insbesondere an dem Substratrand, an welchem die Substrathandhabung im Wesentlichen stattfindet, beeinflusst werden, wobei das Ablösen derartiger Schichten mit kleinem ε und damit eine Substratkontaminierung im Wesentlichen durch die reduzierte mechanische Stabilität der Verbindungsstruktur mit kleinem ε hervorgerufen wird.
  • Diese Situation verschlimmert sich in nachfolgenden Herstellungsprozessen mit der zunehmenden Einführung der Einbringung in ein Gehäuse bei einem Verfahren, in dem das Gehäusesubstrat und der Chip direkt kontaktiert werden (Flip-Chip). Wie allgemein bekannt ist, liefert das Einbringen in ein Gehäuse mit direktem Kontakt Vorteile im Hinblick auf das Leistungsvermögen auf Grund der geringeren Anschlusslängen und der im Hinblick auf die Dichte der Ein/Ausgangsanschlüsse, da im Wesentlichen die gesamte Chipfläche für die Herstellung von Lothöckern genutzt werden kann, die mit entsprechenden Lothöckern oder Flächen eines Träger- oder Gehäusesubstrats verbunden werden. Während des Vorgangs des Anbringens des Gehäusesubstrats an dem Chip, der die Verbindungsstruktur mit kleinem ε und eine Lothöckerschicht aufweist, wird ein gewisser Betrag an Druck und/oder Wärme auf das Verbundbauteil ausgeübt, um eine zuverlässige Verbindung zwischen jedem der Lothöcker des Chips und den Lothöckern oder Flächen des Gehäusesubstrats zu gewährleisten. Die Wärme und/oder die mechanische Spannung während des Einbringens in das Gehäuse können jedoch die Verbindungsstruktur mit kleinem ε beeinflussen.
  • In modernen Mikroprozessoren, in denen eine große Anzahl an Ein/Ausgangs-Leitungen erforderlich ist, müssen bis zu einigen 100 oder mehrere 1000 Lothöcker zuverlässig mit den entsprechenden Lothöckern oder Flächen des Gehäusesubstrats verbunden werden. Somit ist insbesondere bei Mikroprozessoren, die mit einer Verbindungsstruktur mit einem Dielektrikum mit kleinem ε aufgebaut sind, die Wahrscheinlichkeit der Rissbildung und der Materialablösung in der Verbindungsstruktur, die aus einem Dielektrikum mit kleinem ε mit geringerer mechanischer Festigkeit hergestellt ist, deutlich erhöht und eine Abnahme der Produktionsausbeute kann beobachtet werden, wodurch teilweise die Vorteile, die durch das Erhöhen der Substratgröße und Minimieren der Substratrandgebiete erreicht werden, aufgehoben werden. Das Problem tritt verstärkt auf, wenn die Mikroprozessorchips an organischen Gehäusesubstraten anzubringen sind, da eine deutliche thermische Fehlanpassung zwischen dem Silizium und dem organischen Material besteht, das das Gehäusesubstrat bildet. Obwohl Unterfüllungsmaterialien typischerweise in den Raumbereich zwischen dem Gehäusesubstrat und dem angebrachten Siliziumchip eingefüllt werden, um einen Teil der mechanischen Spannung zu kompensieren, die durch die unterschiedlichen Wärmeausdehnungskoeffizienten hervorgerufen wird, kann die geringere mechanische Stabilität der Verbindungsstrukturen, die Dielektrika mit kleinem ε aufweisen, auch die Ausfallrate während des Betriebs der Bauelemente beeinflussen.
  • Mit Bezug zu 1a und 1b werden nunmehr die beim Einbringen in ein Gehäuse eines Halbleiterbauelements mit einer Verbindungsstruktur, die ein Dielektrikum mit kleinem ε aufweist, detaillierter erläutert.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einer Verbindungsstruktur 110, die aus einem dielektrischen Material mit kleinem ε für ein Bauteil mit beispielsweise einer kritischen Entwurfsabmessung von 130 nm gebildet ist. Das Halbleiterbauelement 100 umfasst ein Substrat 101, das eine große Anzahl von Schaltungselementen, etwa Transistoren, Kondensatoren und dergleichen aufweist, oder das weitere Metallleitungsschichten und dazwischenliegende Kontaktdurchführungsschichten aufweist, die der Einfachheit halber in 1a nicht gezeigt sind. Stattdessen ist repräsentativ für eines der zuvor genannten Schaltungselemente ein Kontaktbereich 102 dargestellt, der mit der darüber liegenden Verbindungsstruktur 110 verbunden ist. Die Verbindungsstruktur 110 umfasst eine erste Metallleitung 111 und eine erste Kontaktdurchführung 112, die in einer dielektrischen Schicht 113 mit kleinem ε ausgebildet sind. Die Metallleitung 111 kann Kupfer und eine leitende Barrierenschicht, etwa eine Tantal/Tantalnitrid-Schicht, die zwischen dem Kupfer und dem dielektrischen Material 113 angeordnet ist, aufweisen. In ähnlicher Weise kann die Kontaktdurchführung 112 mit Kupfer gefüllt sein und von dem dielektrischen Material 113 durch eine leitende Barrierenschicht getrennt sein. Der Einfachheit halber sind Details der Metallleitung 111 und der Kontaktdurchführung 112 in 1a nicht gezeigt. Eine Deckschicht 114 ist zwischen der dielektrschen Schicht 113 und dem Substrat 101 vorgesehen. Beispielsweise kann die dielektrische Schicht mit kleinem ε 113 aus Siliziumoxykarbid mit Wasserstoff (SiCOH) und die Deckschicht 114 aus stickstoffangereichertem Siliziumkarbid (SiCN) aufgebaut sein. Die Verbindungsstruktur 110 umfasst ferner eine zweite Metallleitung 115, die mit einer zweiten Kontaktdurchführung 116 verbunden ist, die wiederum mit der ersten Metallleitung 111 verbunden ist. Die zweite Metallleitung 115 und die zweite Kontaktdurchführung 116 sind in einer zweiten dielektrischen Schicht mit kleinem ε 118 ausgebildet, die wiederum von der ersten dielektrischen Schicht mit kleinem ε 113 durch eine weitere Deckschicht 117 getrennt ist. Hinsichtlich der Materialzusammensetzung der Metallleitung 115, der Kontaktdurchführung 116, der zweiten dielektrischen Schicht mit kleinem ε 118 und der Deckschicht 117 gilt, dass die gleichen Materialien verwendet werden können, wie in den entsprechenden Komponenten der darunter liegenden Metallisierungsschicht. Es sollte beachtet werden, dass typischerweise mehrere erste Metallleitungen 111 in der dielektrischen Schicht mit kleinem ε 113 vorgesehen sind, die mit entsprechenden Kontaktdurchführungen 112 zu darunter liegenden Gebieten oder Schichten verbunden sein können. Das gleiche gilt für die zweiten Metallleitungen 115 und die zweiten Kontaktdurchführungen 116 in der zweiten dielektrischen Schicht mit kleinem ε 118. Auf Grund der relativ geringen Permittivität der Schichten 113 und 118, die beispielsweise 3 oder weniger beträgt, ist die Kapazität zwischen benachbarten ersten Metallleitungen 111 und zwischen benachbarten zweiten Metallleitungen 115 in Vergleich zu einer Verbindungsstruktur 110, die darin ausgebildete dielektrische Schichten 113 und 118 aus Siliziumdioxid aufweist, reduziert. Das Halbleiterbauelement 100 kann, wenn es einen modernen Mikroprozessor der 130 nm-Technologie repräsentiert, bis zu 8 Metallleitungsschichten aufweisen, die miteinander durch entsprechende dazwischenliegende Kontaktdurchführungsschichten verbunden sind. Somit weist die in 1a gezeigte Verbindungsstruktur 100 eine deutlich reduzierte mechanische Stabilität zugunsten einer erhöhten Arbeitsgeschwindigkeit auf.
  • 1b zeigt schematisch das Halbleiterbauelement 100 mit einer Lothöckerschicht 120, die über der Verbindungsstruktur 110 ausgebildet ist; die Lothöckerschicht 120 ist mit einem Gehäusesubstrat 130 verbunden. Das Gehäusesubstrat 130 umfasst einen Basisbereich 132, der aus einem organischen Material im Hinblick auf ökonomische Erwägungen aufgebaut sein kann und der ferner mehrere Lothöcker oder Kontaktflächen 131 aufweisen kann, die in Position und Größe mit den entsprechenden Lothöckern 121 übereinstimmen, die in der Lothöckerschicht 120 gebildet sind. Auf Grund einer Fehlanpassung im thermischen Ausdehnungskoeffizienten zwischen dem Basisbereich 132 und dem Substrat 101 kann eine Materialablösung und eine Rissbildung 119 in der Verbindungsstruktur 110 während und nach dem Befestigen des Gehäusesubstrats 130 an der Lothöckerschicht 120 auftreten, was jedoch zu einer deutlich reduzierten Produktionsausbeute während der Herstellung des Bauelements 100 und zu einer erhöhten Ausfallrate während des Betriebs des sich im Gehäuse befindlichen Bauelements 100 führen kann.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 100, wie es in den 1a und 1b gezeigt ist, kann die folgenden Prozesse umfassen. Nach dem Ausbilden von Schaltungselementen und Kontaktgebieten, etwa dem Bereich 102, in und auf dem Substrat 101, wird die Deckschicht 114 gefolgt von der dielektrischen Schicht 113 gebildet, wobei beispielsweise ein plasmaunterstützter chemischer Dampfabscheide-(CVD) Prozess für SiCOH eingesetzt werden kann, wohingegen moderne Aufschleudertechniken für Polymermaterialien mit kleinem ε eingesetzt werden können. Danach werden Gräben und entsprechende Kontaktdurchführungsöffnungen in der dielektrischen Schicht 113 durch beispielsweise gut etablierte Prozessabläufe gemäß dem Ablauf „Kontaktdurchführung zuerst – Graben zuletzt" auf der Grundlage etablierter Photolithographie- und Ätztechniken hergestellt, wobei die Deckschicht 114 als eine Ätzstoppschicht für den Ätzprozess zur Bildung der Kontaktdurchführungsöffnung dienen kann. Abhängig von den kritischen Entwurfsabmessungen können jedoch andere gut etablierte Abläufe, etwa der Ablauf mit einer Ausbildung des Grabens vor der Kontaktdurchführung, angewendet werden. Danach können leitende Barrierenschichten und möglicherweise Saatschichten durch geeignete Techniken, etwa die Sputter-Abscheidung hergestellt werden, und schließlich wird das Kupfer durch beispielsweise Elektroplattierung eingefüllt, wobei überschüssiges Metall durch chemischmechanisches Polieren entfernt werden kann. Danach wird die Deckschicht 117 durch im Wesentlichen die gleiche Prozesssequenz hergestellt, wie sie zuvor beschrieben ist, um die Metallleitungen 115 und die Kontaktdurchführungen 116 in der dielektrischen Schicht 118 herzustellen. Nachdem alle Metallleitungsebenen und Kontaktdurchführungsebenen der Verbindungsstruktur 110 fertiggestellt sind, wird die Lothöckerschicht 120 durch gut bekannte Techniken, etwa Sputter-Abscheidung von Metallisierungsschichten unter dem Lothöcker gefolgt von einem Elektroplattierungsprozess mit Unterstützung von Lithographie zur Herstellung der Lothöcker 121 gebildet. Wie zuvor erwähnt ist, kann die Substrathandhabung während der Herstellung der Verbindungsstruktur 110 zusammen mit CMP-Prozessen zu der Ausbildung von Rissen und zu einer Materialablösung 119 auf Grund der Abscheidung von Material mit kleinem ε an dem Substratrand und auf Grund der geringen mechanischen Stabilität der Verbindungsstruktur während des CMP-Prozesses führen. Diese die Ausbeute beeinträchtigenden Faktoren machen in Verbindung mit der Hauptquelle für eine deutliche Reduzierung der Ausbeute, d. h. die Flip-Chip-Verbindung des Gehäusesubstrats 130 mit Lothöckerschicht 120, insbesondere wenn organische Basisbereiche 132 verwendet werden, eine ökonomische Herstellung modernster Halbleiterbauelemente mit einer Verbindungsstruktur mit kleinem ε äußerst schwierig.
  • Die Patentschrift US 6 690 091 B1 offenbart Damaszener-Strukturen, die Passivierungs-, Ätzstopp- und/oder Deckschichten aus Kohlenstoff- und/oder Bornitrid aufweisen, um parasitäre Kapazitäten zu reduzieren. Die Strukturen können Metallleitungsschichten und Kontaktschichten aufweisen. Diese Schichten können z. B. Siliziumdioxid, wasserstoffdotiertes Siliziumdioxid, fluordotiertes Siliziumdioxid, kohlenstoffdotiertes Siliziumdioxid, ein organisches Polymer oder ein fluordotiertes organisches Polymer aufweisen.
  • Die Patentschrift US 6 448 654 B1 offenbart eine ultradünne Ätzstoppschicht für Damaszener-Prozesse. Die entsprechende Damaszener-Struktur kann eine erste dielektrische Schicht umfassen, die ein Material mit kleinem ε, wie SiLK, FLARE, MSQ, HSQ oder SiOF aufweist. Die Damaszener-Struktur kann ferner eine zweite dielektrische Schicht (Metallleitungsschicht) aufweisen, die ebenfalls ein dielektrisches Material mit kleinem ε aufweist. Die erste und die zweite dielektrische Schicht kann aus dem gleichen Material gefertigt sein.
  • Die Patentanmeldung US 2003/0020163 A1 offenbart eine Kontaktpadstruktur für einen Kupfer/low-k-Dielekrikum-BEOL-Prozess. Die Kontaktpadstruktur umfasst eine dielektrische Schicht, die Siliziumdioxid aufweist, und eine leitenden Fläche und damit verbundene Kontakte. Die Kontaktpadstruktur ist über einer Kontaktstruktur angeordnet, die Kontaktdurchführungs- und Metallleitungsschichten aufweist. Die Kontaktstruktur kann Schichten aus Materialien mit kleinem ε umfassen, die SiLK, FSG, HSQ und MSQ, oder SiO2 oder Si3N4 aufweisen können. Die Kontaktpadstruktur kann elektrisch mit einem Chipträger aus Polymermaterial mittels Lotkugeln verbunden werden, wobei durch die verwendete Kontaktpadstruktur Risse an der Kupfer/low-k-Dielektrikums-Grenzschicht, die während eines Lotverbindungsprozessess auftreten können, vermieden werden können.
  • Angesichts der zuvor erkannten Probleme besteht dennoch ein Bedarf für eine verbesserte Technik, die das Erreichen einer höheren Produktionsausbeute während des Prozesses zum Einbau in ein Gehäuse ermöglicht, während dennoch eine hohe Leistungsfähigkeit moderner Halbleiterbauelemente, in denen dielektrische Materialien mit kleinem ε verwendet sind, beibehalten wird.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, in welcher ein dielektrisches Material mit erhöhter relativer Permittivität, das typischerweise eine höhere mechanische Stabilität im Vergleich zu Dielektrika mit kleinem ε aufweist, in zwischenliegende Kontaktdurchführungsschichten eingebaut wird, um die mechanische Stabilität der gesamten Verbindungsstruktur, die ein dielektrisches Material mit kleinem ε in den Metallleitungsschichten aufweist, zu erhöhen, wodurch das Leistungsverhalten des Bauelements im Wesentlichen beibehalten wird.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Halbleiterbauelement ein Substrat und eine erste Metallleitungsschicht, die über dem Substrat gebildet ist und die mehrere erste Metallleitungen aufweist, die lateral in ein erstes dielektrisches Material mit einer ersten relativen Permittivität eingebettet sind, wobei das erste dielektrische Material wasserstoffenthaltendes Siliziumoxykarbid (SiCOH) aufweist. Ferner umfasst das Halbleiterbauelement eine zweite Metallleitungsschicht, die über der ersten Metallleitungsschicht ausgebildet ist und mehrere zweite Metallleitungen aufweist, die lateral in das erste dielektrische Material eingebettet sind. Eine Kontaktdurchführung, die mindestens eine der ersten Metallleitungen mit mindestens einer der zweiten Metallleitungen verbindet, ist lateral in ein dielektrisches Material mit einer zweiten relativen Permittivität, die höher als die erste relative Permittivität ist, eingebettet, wobei das zweite dielektrische Material Siliziumdioxid und/oder fluordotiertes Siliziumdioxid aufweist. Ferner umfasst das Halbleiterbauelement eine Lothöckerschicht mit mehreren Lothöckern, wovon mindestens einige elektrisch mit mindestens der ersten und/oder der zweiten Metallleitungsschicht verbunden sind.
  • Gemäß einer weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Halbleiterbauelement ein Substrat und mehrere gestapelte Metallleitungsschichten, die über dem Substrat ausgebildet sind, wobei jede Metallleitungsschicht ein dielektrisches Material mit kleinem ε und darin ausgebildete Metallleitungen aufweist. Ferner ist mindestens eine zwischenliegende Kontaktdurchführungsschicht zwischen zwei der mehreren gestapelten Metallleitungsschichten angeordnet, wobei die mindestens eine zwischenliegende Kon taktdurchführungsschicht eine metallenthaltende Kontaktdurchführung aufweist, die in einem dielektrischen Material gebildet ist, von welchem mindestens ein Teil eine höhere relative Permittivität als das dielektrische Material mit kleinem ε aufweist, wobei die zwischenliegende Kontaktdurchführungsschicht eine erste Teilschicht, die aus einem ersten dielektrischen Stützmaterial mit höherer mechanischer Festigkeit aufgebaut ist, und eine zweite Teilschicht, die aus dem dielektrischen Material mit kleinem ε aufgebaut ist, aufweist.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst eine Vorrichtung mit einem Halbleiterbauelement ein Substrat, das ein Halbleitermaterial aufweist, und eine Verbindungsstruktur mit kleinem ε, die über dem Substrat ausgebildet ist, und die mehrere Metallleitungsschichten enthält, wovon jede ein dielektrisches Material mit kleinem ε aufweist. Die Verbindungsstruktur umfasst ferner mehrere zwischenliegende Kontaktdurchführungsschichten, wobei mindestens einige der zwischenliegenden Kontaktdurchführungsschichten ein dielektrisches Material mit einer höheren relativen Permittivität und höherer mechanischer Stabilität im Vergleich zu dem dielektrischen Material mit kleinem ε aufweisen. Des weiteren ist eine Lothöckerschicht, die mit der Verbindungsstruktur mit kleinem ε mit erhöhter mechanischer Stabilität verbunden ist, und ein Gehäusesubstrat, das ein organisches Material aufweist und mit der Lothöckerschicht verbunden ist, vorgesehen.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden einer dielektrischen Deckschicht über einem Substrat und das Bilden einer ersten dielekirischen Schicht mit einem dielektrischen Material mit eines ersten relativen Permittivität über der dielektrischen Deckschicht, wobei das Bilden der ersten dielektrschen Schicht umfasst: Bilden einer ersten Teilschicht mit einem dielektrischen Material mit erhöhter mechanischer Stabilität im Vergleich zu dem dielektrischen Material mit kleinem ε; und Bilden einer zweiten Teilschicht, die das Material mit kleinem ε aufweist. Des weiteren wird eine zweite dielektrische Schicht mit einem dielektrischen Material mit kleinem ε mit einer zweiten relativen Permittivität über der ersten dielektrischen Schicht gebildet, wobei die erste relative Permittivität höher als die zweite ist. Anschließend wird ein Graben in der zweiten dielektrischen Schicht gebildet und eine Kontaktdurchführung wird zumindest in der dielektrschen Deckschicht und der ersten dielektrischen Schicht gebildet.
  • Schließlich werden die Kontaktdurchführung und der Graben mit einem metallenthaltenden Material gefüllt, um eine Verbindungsstruktur mit kleinem ε zu bilden.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zum Einbringen eines Halbleiterbauelements mit einer Verbindungsstruktur mit kleinem ε in ein Gehäuse das Bilden der Verbindungsstruktur über einem Substrat, das ein Halbleitermaterial aufweist, wobei die Verbindungsstruktur mehrere Metallleitungsschichten, die jeweils ein dielektrisches Material mit kleinem ε aufweisen und einer oder mehrerer dielektrischer Zwischenschichten mit höherer relativer Permittivität und höherer mechanischer Stabilität im Vergleich zu dem Material mit kleinem ε. Des weiteren wird eine Lothöckerschicht über der Verbindungsstruk tur gebildet und schließlich wird ein Gehäusesubstrat, das ein organisches Material aufweist, an der Lothöckerschicht befestigt, wobei die eine oder mehreren Kontaktdurchführungsschichten mit erhöhter mechanischer Stabilität die Rissbildung und Materialablösung in der Verbindungsstruktur mit kleinem ε reduzieren.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a schematisch eine Querschnittsansicht einer konventionellen modernen integrierten Schaltung, die entsprechend einer 130 nm-Technologie hergestellt ist und eine Verbindungsstruktur mit kleinem ε aufweist;
  • 1b schematisch das konventionelle Halbleiterbauelement mit einem organischen Gehäusesubstrat, das daran befestigt ist, wobei eine erhöhte Rissbildung und Materialablösung in der Verbindungsstruktur mit kleinem ε beobachtet werden kann;
  • 2a bis 2c schematisch Querschnittsansichten einer modernen integrierten Schaltung mit einer Verbindungsstruktur mit kleinem ε mit erhöhter mechanischer Stabilität während diverser Herstellungsphasen gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung; und
  • 2d schematisch eine Querschnittsansicht des Bauteils der 2a bis 2c mit einem organischen Gehäusesubstrat, das daran angebracht ist, gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung.
  • DETAILLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschauli chen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung beruht auf dem Konzept, dass eine Verbindungsstruktur mit kleinem ε in effizienter Weise stabiler gemacht werden kann, indem Materialschichten mit erhöhter mechanischer Stabilität oder Festigkeit, die üblicherweise eine höhere Permittivität im Vergleich zu konventionellen Materialien mit kleinem ε zeigen, in die Verbindungsstruktur auf Höhe der Kontaktdurchführungen eingebaut werden können, ohne unnötig die Signalausbreitungseigenschaften der Verbindungsstruktur zu beeinträchtigen. Mit Bezug zu den Figuren werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200, das ein beliebiges modernes Bauteil repräsentieren kann, das eine fortschrittliche Verbindungsstruktur mit einem dielektrischen Material mit kleinem ε erfordert. In dieser Hinsicht sollte beachtet werden, dass der Begriff dielektrisches Material mit kleinem ε ein beliebiges dielektrisches Material bezeichnet, das eine relative Permittivität von ungefähr 3,1 und weniger aufweist. Ferner ist der Begriff Verbindungsstruktur mit kleinem ε dahingehend zu verstehen, dass damit eine Verbindungsstruktur beschrieben ist, die zumindest teilweise ein dielektrisches Material mit kleinem ε aufweist, um die Signalausbreitungsverzögerungszeit im Vergleich zu einer äquivalenten Verbindungsstruktur zu verringern, die vollständig aus „konventionellen" dielektrischen Materialen, etwa Siliziumdioxid, fluordotiertes Siliziumdioxid, Siliziumnitrid, und dergleichen aufgebaut ist. Da die Auswirkungen der Signalausbreitungsverzögerung von Verbindungsstrukturen sehr deutlich für Halbleiterbauelemente mit kritischen Abmessungen von ungefähr 0,18 μm und weniger auftreten, kann die vorliegende Erfindung bei Bauelementen mit kritischen Abmessungen von 180 nm und weniger und insbesondere bei Bauelementen mit kritischen Abmessungen von 120 nm und weniger sehr vorteilhaft eingesetzt werden. Der Fachmann erkennt jedoch nach einem vollständigen Studium der vorliegenden Anmeldung, dass die vorliegende Erfindung auch im breiteren Sinne angewendet werden kann, so dass die vorliegende Erfindung auch für weniger hoch entwickelte Bauteilgenerationen benutzt werden kann.
  • Das Halbleitebauelement 200 umfasst ein Substrat 201, das repräsentativ für ein beliebiges Substrat mit darauf oder darin ausgebildeten Schaltungselementen, etwa Transistoren, Kondensatoren, Leitungen, etc. sein kann, die der Einfachheit halber in 2a nicht gezeigt sind. Ein Kontaktbereich 202, der auf dem Substrat 201 ausgebildet ist, soll eines dieser Schaltungselemente oder Teile davon repräsentieren, wobei eine Verbindungsstruktur 210 mit kleinem ε, die über dem Substrat 201 ausgebildet ist, einen elektrischen Kontakt zu dem Kontaktbereich 202 herstellen soll. Beispielsweise kann der Kontaktbereich 202 eine Metallleitung einer tiefer liegenden Metallleitungsschicht repräsentieren oder kann einen Kontakt darstellen, der direkt mit einem Schaltungselement, etwa einem Transistor verbunden ist. Wie zuvor dargelegt ist, kann eine kritische Entwurfsabmessung eines Schaltungselements in dem Substrat 201 130 nm oder weniger sein. Eine erste Deckschicht 214 ist zwischen dem Substrat 201 und der Verbindungsstruktur 210 ausgebildet und kann ein diffusionsblockierendes Material, etwa Siliziumnitrid oder stickstoffangereichertes Siliziumkarbid, wenn der Kontaktbereich 202 Kupfer aufweist, enthalten. Es können jedoch andere Materialien für die Deckschicht 214, etwa Siliziumdioxid und dergleichen verwendet werden, wenn beispielsweise der Kontaktbereich 202 einen direkten Kontakt zu einem Schaltungselement repräsentiert. Die Verbindungsstruktur 210 mit kleinem ε, die über der Deckschicht 214 ausgebildet ist, umfasst eine Metallleitungsschicht 240 und eine zwischenliegende Kontaktdurchführungsschicht 250. Die Metallleitungsschicht 240 umfasst eine Metallleitung 241 mit einer leitenden Barrierenschicht 242, die die Metallleitung 241 von dem Material einer dielektrischen Schicht 243 mit kleinem ε trennt. In einer anschaulichen Ausführungsform weist die dielektrische Schicht 243 wasserstoffenthaltendes Siliziumoxykarbid (SiCOH) auf, während in anderen Ausführungsformen andere Materialien verwendet werden können, etwa MSQ, HSQ, SILK, poröses SiCOH und dergleichen. Die Metallleitung 241 kann aus Kupfer oder Kupferlegierungen aufgebaut sein, während die Barrierenschicht 242 aus einer oder mehreren Schichten aufgebaut sein kann, die Materialien enthalten, etwa Tantal, Tantalnitrid, Titan, Titannitrid oder eine beliebige Kombination davon oder andere geeignete Materialien, die die geforderten diffusionsblockierenden und haftungsvermittelnden Eigenschaften bereitstellen. Die Metallleitungsschicht 240 umfasst ferner eine Deckschicht 244, die beispielsweise aus stickstoffangereichertem Siliziumkarbid (SiCN) aufgebaut ist.
  • Die zwischenliegende Kontaktdurchführungsschicht 250 umfasst eine metallenthaltende Kontaktdurchführung 251, die weiterhin einfach als Kontaktdurchführung bezeichnet wird und die ferner die Barrierenschicht 242 aufweist, die die Kontaktdurchführung 251 von einer umgebenden dielektrischen Schicht 253 trennt, die aus einem dielektrischen Material mit einer erhöhten mechanischen Stabilität oder Festigkeit im Vergleich zu der dielektrischen Schicht mit kleinem ε 243 aufgebaut ist. Typischerweise zeigen dielektrische Materialien mit kleinem ε eine deutlich geringere mechanische Stabilität auf Grund einer reduzierten Dichte im Vergleich zu „konventionellen" dielektrischen Materialien, etwa Siliziumdioxid, fluordotiertes Siliziumdioxid, Siliziumnitrid, die gut bewährte und gut bekannte dielektrische Materialien bei der Herstellung von Halbleiterbauelementen sind. In einer speziellen Ausführungsform weist die dielektrische Schicht 253 fluordotiertes Siliziumdioxid auf, das aus fluordotiertem Tetraethylorthosilikat (TEOS) mit einer relativen Permittivität von ungefähr 3,6 abgeschieden werden kann. In anderen Ausführungsformen kann die dielektrische Schicht 253 Siliziumdioxid aufweisen, das aus TEOS mit einer relativen Permittivität von ungefähr 4,0 oder mehr abgeschieden wird. Typischerweise ist der seitliche Abstand oder die Strecke zwischen benachbarten Kontaktdurchführungen 251 (der Einfachheit halber ist lediglich nur eine Kontaktdurchführung 251 gezeigt) deutlich größer als ein Abstand zwischen benachbarten Metallleitungen 241 (es ist nur eine gezeigt). Daher ist die gesamte parasitäre Kapazität zwischen benachbarten Metallleitungen und Kontaktdurchführungen im Wesentlichen durch die zwischen benachbarten Metallleitungen gebildete Kapazität anstelle der zwischen benachbarten Kontaktdurchführungen gebildeten Kapazität bestimmt, so dass die erhöhte Permittivität der dielektrischen Schicht 253 nicht wesentlich zur gesamten Signalausbreitungsverzögerung beiträgt, wobei gleichzeitig der Verbindungsstruktur 210 eine deutlich höhere mechanische Stabilität werliehen wird. Es sollte beachtet werden, dass die dielektrische Schicht 253 nicht notwendigerweise vollständig aus einem „konventionellen" dielekirischen Material aufgebaut sein muss, sondern dass diese in einigen Ausführungsformen eine oder mehrere Teilschichten aus einem dielektrischen Material mit kleinem ε aufweisen kann, wie dies detaillierter mit Bezug zu 2 beschrieben ist.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 200, wie es in 2a gezeigt ist, kann die folgenden Prozesse umfassen. Nach der Herstellung des Kontaktbereichs 202 und von Schaltungselementen in und auf dem Substrat 201, kann die Deckschicht 214 durch beliebige geeignete gut bekannte Abscheidetechniken, etwa durch plasmaunterstütztes CVD aufgebracht werden. Danach wird die dielektrische Schicht 253 abgeschieden, was in einer speziellen Ausführungsform durch plasmaunterstütztes CVD aus TEOS mit einer gewissen Menge an fluorenthaltenden Vorstufengasen ausgeführt wird. Entsprechende Abscheiderezepte zum Abscheiden einer fluordotierten Siliziumdioxid schicht aus TEOS sind auf dem Gebiet der Herstellung von Zwischenschichtdielektrika gut etabliert und können effizient bei der Herstellung der dielektrischen Schicht 253 angewendet werden. In speziellen Ausführungsformen, wenn die siliziumdioxidenthaltende dielektrische Schicht 253 mit einer Dicke 253a vorgesehen wird, die im Wesentlichen einer Tiefe der Kontaktdurchführung 251 entspricht – mit Ausnahme der Dicke der Deckschicht 214 – kann die Kontaktdurchführung 251, die sich durch die zwischenliegende Kontaktdurchführungsschicht 250 erstreckt, als von der Seite her bzw. lateral in die dielektrische Schicht 253 eingebettet betrachtet werden.
  • Nach dem Abscheiden der dielektrischen Schicht 253 wird die dielektrische Schicht 243 mit kleinem ε gebildet, was beispielsweise in einer speziellen Ausführungsform durch Abscheiden von wasserstoffangereichertem Siliziumoxykarbid aus Sauerstoff und Trimethylsilan (3MS) gemäß erprobter Prozessrezepte stattfindet. In anderen Ausführungsformen kann SiCOH aus 4MS, OMCTS oder anderen geeigneten Vorstufenmaterialien abgeschieden werden. Anschließend wird eine Kontaktdurchführungsöffnung durch die dielektrischen Schichten 243, 253 und die Deckschicht 214 hindurch durch moderne Photolithographie- und anisotrope Ätzprozesstechniken gebildet. Danach kann ein weiterer Photolithographieprozess ausgeführt werden, um eine Lackmaske für die Ausbildung eines Grabens in der dielektrischen Schicht, [–] mit kleinem ε [243] durch einen weiteren anisotropen Ätzprozess zu bilden. Während dieses anisotropen Ätzprozesses kann die Änderung in der Materialzusammensetzung zwischen den dielektrischen Schichten 243 und 253 vorteilhaft ausgenutzt werden, um das Ende des Grabenätzprozesses zu erkennen, sobald die Ätzfront das Material der Schicht 253 erreicht, wie dies detaillierter mit Bezug zu 2c beschrieben ist. Danach wird die Barrierenschicht 242 in dem Graben und der Kontaktdurchführungsöffnung gebildet, woran sich das Abscheiden einer Saatschicht anschließt, die während eines nachfolgenden elektrochemischen Füllprozesses zur Fertigstellung der Metallleitung 241 und der Kontaktdurchführung 251 durch beispielsweise Einfüllen von Kupfer oder einer Kupferlegierung benutzt wird. Danach wird überschüssiges Material, das während der elektrochemischen Metallabscheidung erzeugt wird, durch beispielsweise chemisch-mechanisches Polieren (CMP) entfernt, wobei die erhöhte mechanische Stabilität der zwischenliegenden Kontaktdurchführungsschicht 250 der Verbindungsstruktur 210 während des CMP-Prozesses eine erhöhte Stabilität verleiht. Wie ferner zuvor dargelegt ist, kann das Halbleiterbauelement 200 zu einem geringeren Grade der Materialablösung oder dem Abblättern von Material, insbesondere an dem Substratrand, während beliebiger Substrathantierungs prozesse unterliegen auf Grund der verbesserten mechanischen Stabilität der Verbindungsstruktur 210. Schließlich wird die Deckschicht 244 nach möglichen Vorreinigungsprozessen ausgebildet, um eine freigelegte Oberfläche der Metallleitung 241 zu passivieren.
  • Wie zuvor erläutert ist, ist es bei modernen integrierten Schaltungen typischerweise erforderlich, dass die Verbindungsstruktur 210 mehrere Metallleitungsschichten und zwischenliegende Kontaktdurchführungsschichten aufweist, um die erforderliche Funktionalität bereitzustellen. Mit Bezug zu 2b wird die Herstellung eines weiteren Paares einer Metallleitungsschicht und einer zwischenliegenden Kontaktdurchführungsschicht beschrieben und sollte als repräsentativ für die Herstellung einer beliebigen weiteren Metallisierungsschicht und zwischenliegenden Kontaktdurchführungsschicht betrachtet werden, wobei die Anzahl der Metallleitungsschichten/zwischenliegenden Kontaktdurchführungsschichten von der Komplexität der betrachteten integrierten Schaltung abhängt. Beispielsweise sind gegenwärtig Mikroprozessoren mit bis zu 8 Metallleitungsschichten erhältlich, die durch entsprechende zwischenliegende Kontaktdurchführungsschichten verbunden sind.
  • 2b zeigt schematisch das Halbleiterbauelement 200, wobei die Verbindungsstruktur 210 mit kleinem ε eine zweite Metallleitungsschicht 260 und eine zweite zwischenliegende Kontaktdurchführungsschicht 270 aufweist, die über der Metallleitungsschicht 240 gebildet sind. Die Metallleitungsschicht 260 kann eine dielektrische Schicht mit kleinem ε 263 aufweisen, die aus einem beliebigen geeigneten dielektrischen Material mit kleinem ε hergestellt ist, etwa SiCOH, und dergleichen, und weist ferner in diesem Herstellungsschritt eine Kontaktdurchführungsöffnung 271a auf, die sich auch durch die zwischenliegende Kontaktdurchführungsschicht 270 und die Deckschicht 244 erstreckt. Die zwischenliegende Kontaktdurchführungsschicht 270 umfasst zumindest teilweise ein dielektrisches Material mit erhöhter mechanischer Stabilität ähnlich zu der zwischenliegenden Kontaktdurchführungsschicht 250 und kann gemäß einer Ausführungsform 2 oder mehrere Teilschichten mit unterschiedlichen dielektrischen Materialien aufweisen. In dem in 2b gezeigten Beispiel sind zwei Teilschichten 273a und 273b mit vergleichbarer Dicke dargestellt, wohingegen in anderen Ausführungsformen mehr als 2 Teilschichten mit im Wesentlichen gleichen oder unterschiedlichen Dicken vorgesehen sein können. In einer Ausführungsform kann die Teilschicht 273a aus einem dielektrischen Material mit erhöhter mechanischer Stabilität, etwa Siliziumdioxid, fluordotiertem Siliziumdioxid und dergleichen aufgebaut sein, während die Teilschicht 273b aus einem dielektrischen Material mit kleinem ε, etwa SiCOH, und derglei chen hergestellt sein kann. In einer Ausführungsform kann die Teilschicht 273a ein dielektrisches Material mit kleinem ε und die Teilschicht 273b dielektrisches Material mit erhöhter mechanischer Stabilität aufweisen.
  • Es sollte beachtet werden, dass die letztlich erreichte mechanische Stabilität der Verbindungsstruktur mit kleinem ε 210 auf der Grundlage von Testsubstraten abgeschätzt werden kann, die dielektrische Schichtstapel mit unterschiedlichen Anteilen an dielektrischen Material mit erhöhter mechanischer Stabilität enthalten. Beispielsweise können Schichtstapel mit einer Höhe hergestellt werden, die im Wesentlichen der letztlich erforderlichen Höhe einer spezifizierten Verbindungsstruktur entspricht, wobei das Verhältnis von dielektrischem Material mit kleinem ε zu dielektrischem Material mit erhöhter mechanischer Stabilität variiert wird. Diese Teststrukturen können dann einer erhöhten mechanischen Belastung unterworfen werden, die beispielsweise durch eine thermische Behandlung und dergleichen hervorgerufen wird, um die mechanische Festigkeit oder Stabilität dieser Teststrukturen zu bewerten. Aus diesen Testergebnissen kann beispielsweise eine minimale Menge an dielektrischem Material mit erhöhter mechanischer Stabilität und/oder deren geeignete Verteilung, beispielsweise in Form einzelner Schichten mit unterschiedlicher oder gleicher Dicke, und andere Eigenschaften in Form quantitativer Ergebnisse ermittelt werden, um damit eine gewünschte minimale mechanische Festigkeit zu erhalten. Auf der Grundlage dieser Abschätzungen kann eine Dicke oder ein Anteil einer „konventionellen" dielektrischen Schicht innerhalb einer entsprechenden zwischenliegenden Kontaktdurchführungsschicht abgeleitet werden. Des weiteren kann eine geeignete Verteilung von „konventionellen" dielektrischen Schichten innerhalb des Schichtstapels bestimmt werden, da nicht notwendigerweise in allen zwischenliegenden Kontaktdurchführungsschichten eine Schicht mit erhöhter mechanischer Stabilität für jede Art von Verbindungsstrukturaufbau erforderlich ist. Die mechanische Stabilität der Teststrukturen kann in quantitativer Weise einfach abgeschätzt werden, indem beispielsweise die Anzahl der Ablöse- und/oder Rissbildungsereignisse bestimmt wird, wenn eine spezielle mechanische Belastungsbedingung vorhanden ist.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 200, wie es in 2b gezeigt ist, kann wie folgt sein. Die Teilschicht 273b wird durch plasmaunterstütztes CVD abgeschieden, wenn die Schicht 273b aus SiCOH aufgebaut ist, während in anderen Ausführungsformen moderne Aufschleudertechniken angewendet werden können, um Polymermaterialien mit kleinem ε auf der Deckschicht 244 aufzubringen. Danach kann die Teilschicht 273a beispielsweise durch plasmaunterstütztes CVD aus TEOS in einer Atmosphäre abgeschieden werden, die ein Fluorvorstufenmaterial enthält, wenn ein fluordotiertes Siliziumdioxid gewünscht wird. In anderen Ausführungsformen kann die Schicht 273a aus Vorstufenmaterialien, etwa Silan oder 3MS gebildet werden, wenn die Materialeigenschaften des entsprechenden Siliziumdioxids für den Zweck geeignet sind. Beispielsweise können die Schichten 273b und 273a in-situ hergestellt werden, d. h. in der gleichen Prozesskammer, ohne das Vakuum zu brechen, indem beispielsweise die Zufuhr eines Trägergases, etwa Helium, unterbrochen wird und die Sauerstoffdurchflussrate erhöht wird, während die 3MS-Durchflussrate abgesenkt wird, nachdem die Schicht 273b, die aus SiCOH aufgebaut ist, mit einer gewünschten Dicke abgeschieden ist. Der Abscheideprozess mit dem neu eingestellten Parameter kann dann weitergeführt werden, bis eine gewünschte Dicke für die Siliziumdioxidschicht 273a erreicht ist. Wie man erkennen kann, kann diese in-situ Prozesssequenz vorteilhafterweise zur Herstellung mehrerer zwischenliegender Siliziumdioxidschichten in einer SiCOH-Schicht mit kleinem ε angewendet werden, um die mechanische Stabilität der zwischenliegenden Kontaktdurchführungsschicht 270 zu erhöhen. Nach Beendigung der Herstellung der zwischenliegenden Kontaktdurchführungsschicht 270 wird die dielektrische Schicht 263 mit kleinem ε durch beispielsweise plasmaunterstütztes CVD, Aufschleudertechniken und dergleichen hergestellt. Anschließend wird eine entsprechende Lackmaske gebildet (nicht gezeigt) und gut bewährte anisotrope Ätztechniken werden angewendet, um die Kontaktdurchführungsöffnung 271a zu bilden.
  • 2c zeigt schematisch das Halbleiterbauelement 200 während eines anisotropen Ätzprozesses, der durch 280 bezeichnet ist, um eine Grabenöffnung 261a in der Metallleitungsschicht 260 zu bilden. Dazu ist eine Lackmaske 283 über der dielektrischen Schicht mit kleinem ε 263 ausgebildet, wobei der Einfachheit halber zusätzliche Deckschichten und/oder antireflektierende Schichten, die innerhalb oder auf der dielektrischen Schicht mit kleinem ε 263 ausgebildet sind, nicht gezeigt sind. Die Lackmaske 283 und möglicherweise zusätzliche Deckschichten und antireflektierende Schichten werden entsprechend gut etablierter Abscheide- und Photolithographietechniken hergestellt. Danach wird der anisotrope Ätzprozess 280 ausgeführt, wobei während einer abschließenden Phase des Prozesses gasförmige Nebenprodukte 281 freigesetzt werden können, wenn die Ätzfront die dielektrische Schicht 273a erreicht, die in einer Ausführungsform eine unterschiedliche Zusammensetzung aufweisen kann, da diese aus Siliziumdioxid oder fluordotiertem Siliziumdioxid aufgebaut ist. Insbesondere angeregte Zyanid- (CN) Moleküle und angeregte Kohlenmonoxid- (CO) Moleküle können erzeugt werden, wenn die Ätzfront an der Siliziumdioxidschicht 273 eintrifft, wobei diese Nebenprodukte 281 entsprechende Lichtwellenlängen 282 aussenden, die in effizienter Weise durch ein entsprechendes Endpunkterfassungssystem (nicht gezeigt), wie es typischerweise in frei erhältlichen Ätzanlagen vorgesehen ist, detektiert werden können. Somit kann durch das Identifizieren ausgeprägter Linien in den Lichtsignalen 282, die insbesondere durch die Zyanid- und Kohlenmonoxidmoleküle 281 ausgesendet werden, der Ätzprozess 280 mit hoher Genauigkeit beendet werden. In anderen Ausführungsformen, wenn die dielektrische Schicht 273a ein dielektrisches Material mit kleinem ε aufweist, während die Schicht 273b das Material mit erhöhter mechanischer Stabilität enthält, kann der Ätzprozess 280 nach einer spezifizierten Ätzdauer angehalten werden, oder in anderen Ausführungsformen kann eine relativ dünne Indikatorschicht in der dielektrischen Schicht 263 mit kleinem ε oder 273a oder an einer Grenzfläche davon vorgesehen sein.
  • Nach dem Ende des anisotropen Ätzprozesses 280 wird der weitere Prozessablauf fortgesetzt, wie dies mit Bezug zu der Metallleitungsschicht 240 und der zwischenliegenden Kontaktdurchführungsschicht 250 beschrieben ist. Wie zuvor dargestellt ist, können mehrere Metallleitungsschichten/zwischenliegende Kontaktdurchführungsschichten erforderlich sein, um die Verbindungsstruktur 210 fertigzustellen, wobei nicht notwendigerweise jede zwischenliegende Kontaktdurchführungsschicht mit einem dielektrischen Material mit erhöhter mechanischer Stabilität versehen sein muss. Eine entsprechende Gestaltung der Verbindungsstruktur 210 kann aus entsprechenden Messergebnissen der zuvor beschriebenen Teststrukturen erhalten werden.
  • 2d zeigt schematisch das Halbleiterbauelement 200 in einem fortgeschrittenen Herstellungsstadium, wobei das Bauteil 200 ferner eine Lothöckerschicht 220 mit mehreren Lothöckern 221 und ein Gehäusesubstrat 230 mit einem Basisbereich 232 und entsprechenden Flächen und/oder Lothöckern 231 aufweist. Die Verbindungsstruktur 210 kann eine spezifizierte Anzahl von Metallleitungsschichten 291 und eine entsprechende Anzahl zwischenliegender Kontaktdurchführungsschichten 292 entsprechend den Entwurfserfordernissen aufweisen. In einer speziellen Ausführungsform sind die zwischenliegenden Kontaktdurchführungsschichten 292 im Wesentlichen vollständig aus einem dielektrischen Material mit erhöhter mechanischer Stabilität, etwa Siliziumdioxid, fluordotiertem Siliziumdioxid, das aus TEOS hergestellt ist, und mit Metallkontaktdurchführungen, die von der Seite her bzw. late ral darin eingebettet sind, aufgebaut. Andererseits sind die Metallleitungsschichten aus einem dielektrischen Material mit kleinem ε, etwa SiCOH mit Metallleitungen, die von der Seite her darin eingebettet sind, aufgebaut. In anderen Ausführungsformen kann die Verbindungsstruktur 210 jedoch eine beliebige Form annehmen, wie dies zuvor mit Bezug zu den 2a bis 2c beschrieben ist.
  • Das Bauelement 200, wie es in 2d gezeigt ist, kann entsprechend den folgenden Prozessen hergestellt werden. Nach Fertigstellung der Verbindungsstruktur 210 wird die Lothöckerschicht 220 durch gut bewährte Techniken, etwa die Sputter-Abscheidung von unter den Lothöckern liegenden Metallisierungsschichten und einem nachfolgenden Elektroplattierungsvorgang, der durch Lithographie unterstützt ist, für die Lothöcker 221 gebildet, die dann wieder verflüssigt werden, um Lotkugeln vor dem Befestigen des Gehäusesubstrats 230 an der Lothöckerschicht 220 zu bilden. Während dieses Prozesses zum Anbringen eines Gehäuses, d. h. während des Befestigens des Gehäusesubstrats 230 an der Lothöckerschicht 220, kann eine Rissbildung und Materialablösung in der Verbindungsstruktur 210 deutlich reduziert werden auf Grund der erhöhten mechanischen Stabilität. Somit kann in speziellen Ausführungsformen der Basisbereich 232 aus einem organischen Material hergestellt sein, wodurch deutlich Kostenvorteile im Vergleich zu keramischen Materialien erzielt werden, während dennoch eine hohe Produktionsausbeute auf Grund der verbesserten Verbindungsstruktur 210 erreicht wird, wobei das Leistungsverhalten des Bauelements 200 im Wesentlichen aufrecht erhalten wird im Vergleich zu einer Verbindungsstruktur, die mit zwischenliegenden Kontaktdurchführungsschichten mit kleinem ε ohne Material mit erhöhter mechanischer Stabilität aufgebaut ist.
  • Es gilt also: die vorliegende Erfindung stellt eine verbesserte Technik bereit, die die Herstellung moderner Verbindungsstrukturen erlaubt, insbesondere für Bauelemente mit kritischen Entwurfsabmessungen von 130 nm und kleiner, wobei das gute Leistungsverhalten in Bezug auf die Signalausbreitung erreicht werden kann, während die mechanische Stabilität der Verbindungsstruktur insbesondere während des Vorgangs des Einbringens in ein Gehäuse deutlich verbessert ist im Vergleich zu modernen konventionellen Bauelementen mit kleinem ε. Somit können kosteneffiziente organische Gehäusesubstrate effizient in Verbindung mit modernsten Bauelementen eingesetzt werden.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (28)

  1. Halbleiterbauelement mit: einem Substrat; einer ersten Metallleitungsschicht, die über dem Substrat ausgebildet ist, wobei die erste Metallleitungsschicht mehrere erste Metallleitungen aufweist, die lateral in ein erstes dielektrisches Material mit einer ersten relativen Permittivität eingebettet sind, wobei das erste dielektrische Material wasserstoffenthaltendes Siliziumoxykarbid (SiCOH) aufweist; einer zweiten Metallleitungsschicht, die über der ersten Metallleitungsschicht ausgebildet ist, wobei die zweite Metallleitungsschicht mehrere zweite Metallleitungen aufweist, die lateral in das erste dielektrische Material eingebettet sind; einer Kontaktdurchführung, die zumindest eine der ersten Metallleitungen mit zumindest einer der zweiten Metallleitungen verbindet, wobei die Kontaktdurchführung lateral in ein zweites dielektrisches Material mit einer zweiten relativen Permittivität, die größer als die erste relative Permittivität ist, eingebettet ist, wobei das zweite dielektrische Material Siliziumdioxid und/oder fluordotiertes Siliziumdioxid aufweist; und. eine Lothöckerschicht mit mehreren Lothöckern, wovon mindestens einige elektrisch mit mindestens der ersten und/oder der zweiten Metallleitungsschicht verbunden sind.
  2. Das Halbleiterbauelement nach Anspruch 1, wobei eine minimale kritische Entwurfsabmessung eines Bauteils, das auf dem Substrat ausgebildet ist, 130 nm oder weniger beträgt.
  3. Das Halbleiterbauelement nach Anspruch 1, wobei das Bauelement mehr als zwei Metallleitungsschichten aufweist, wobei jede mehrere darin ausgebildete Metallleitungen enthält, wobei benachbarte Metallleitungsschichten der mehr als zwei Metallleitungsschichten elektrisch durch eine oder mehrere Kontaktdurchführungen verbunden sind, und wobei jede Metallleitung in dem ersten dielektrischen Material und jede Kontaktdurchführung in dem zweiten dielektrischen Material eingebettet ist.
  4. Das Halbleiterbauelement nach Anspruch 1, wobei das Bauteil mindestens eine weitere Metallleitungsschicht mit mehreren darin ausgebildeten Metallleitungen aufweist; und wobei mindestens eine weitere Kontaktdurchführung, die eine Verbindung zu einer benachbarten Metallleitungsschicht herstellt, vorgesehen ist, und wobei jede Metallleitung der mindestens einen weiteren Metallleitungsschicht und die mindestens eine weitere Kontaktdurchführung jeweils lateral in das erste dielektrische Material eingebettet sind.
  5. Das Halbleiterbauelement nach Anspruch 1, wobei eine obere Oberfläche jeweils der ersten und der zweiten Metallleitungen teilweise von einem dritten dielektrischen Material bedeckt ist.
  6. Das Halbleiterbauelement nach Anspruch 5, wobei das dritte dielektrische Material stickstoffangereichertes Siliziumkarbid aufweist.
  7. Halbleiterbauelement mit: einem Substrat; mehreren gestapelten Metallleitungsschichten, die über dem Substrat ausgebildet sind, wobei jede Metallleitungsschicht ein dielektrisches Material mit kleinem ε mit mehreren darin ausgebildeten Metallleitungen aufweist; mindestens einer zwischenliegenden Kontaktdurchführungsschicht, die zwischen zwei der mehreren gestapelten Metallleitungsschichten angeordnet ist, wobei die mindestens eine zwischenliegende Kontaktdurchführungsschicht eine metallenthaltende Kontaktdurchführung aufweist, die in einem dielektrischen Material, wovon mindestens ein Bereich eine höhere relative Permittivität als das dielektrische Material mit kleinem ε aufweist, gebildet ist; und wobei die zwischenliegende Kontaktdurchführungsschicht eine erste Teilschicht, die aus einem ersten dielektrischen Stützmaterial mit höherer mechanischer Festigkeit aufgebaut ist, und eine zweite Teilschicht, die aus dem dielektrischen Material mit kleinem ε aufgebaut ist, aufweist.
  8. Das Halbleiterbauelement nach Anspruch 7, wobei der Bereich des dielektrischen Materials der zwischenliegenden Kontaktdurchführungsschicht fluordotiertes Siliziumdioxid und/oder Siliziumdioxid aufweist.
  9. Das Halbleiterbauelement nach Anspruch 7, wobei das dielektrische Material mit kleinem ε wasserstoffenthaltendes Siliziumoxykarbid (SiCOH) aufweist.
  10. Das Halbleiterbauelement nach Anspruch 7, wobei eine minimale kritische Entwurfsabmessung des Halbleiterbauelements 130 nm oder weniger beträgt.
  11. Das Halbleiterbauelement nach Anspruch 7, das ferner eine Deckschicht umfasst, die zwischen der zwischenliegenden Kontaktdurchführungsschicht und einer der beiden benachbarten Metallleitungsschichten angeordnet ist.
  12. Das Halbleiterbauelement nach Anspruch 11, wobei die Deckschicht stickstoffangereichertes Siliziumkarbid aufweist.
  13. Das Halbleiterbauelement nach Anspruch 7, das ferner umfasst: eine Lothöckerschicht mit mehreren Lothöckern, wovon zumindest einige elektrisch mit mindestens einer Metallleitungsschicht verbunden sind.
  14. Vorrichtung mit einem Halbleiterbauelement mit: einem Substrat, das ein Halbleitermaterial aufweist; einer Verbindungsstruktur mit kleinem ε, die über dem Substrat ausgebildet ist, wobei die Verbindungsstruktur mehrere Metallleitungsschichten, die jeweils ein dielektrisches Material mit kleinem ε aufweisen, und mehrere zwischenliegende Kontaktdurchführungsschichten umfasst, wobei mindestens einige der zwischenliegenden Kontaktdurchführungsschichten ein dielektrisches Material mit höherer relativer Permittivität und höherer mechanischer Stabilität im Vergleich zu dem dielektrischen Material mit kleinem ε aufweisen; einer Lothöckerschicht, die mit der Verbindungsstruktur mit kleinem ε mit erhöhter mechanischer Stabilität verbunden ist; und einem Gehäusesubstrat, das ein organisches Material aufweist und an der Lothöckerschicht befestigt ist.
  15. Die Vorrichtung nach Anspruch 14, wobei das dielektrische Material Siliziumdioxid und/oder fluordotiertes Siliziumdioxid umfasst.
  16. Das Halbleiterbauelement nach Anspruch 14, wobei alle zwischenliegenden Kontaktdurchführungsschichten das dielektrische Material zum Erhöhen der mechanischen Stabilität aufweisen.
  17. Die Vorrichtung nach Anspruch 14, das ferner mehrere Deckschichten umfasst, wovon jede einen Teil einer in Bezug auf das Substrat abgewandten Oberfläche von entsprechenden Metallleitungen von mindestens einigen der Metallleitungsschichten bedeckt.
  18. Die Vorrichtung nach Anspruch 14, wobei das dielektrische Material mit kleinem ε wasserstoffenthaltendes Siliziumoxykarbid aufweist.
  19. Die Vorrichtung nach Anspruch 14, wobei eine minimale kritische Entwurfsabmessung 130 nm oder weniger beträgt.
  20. Verfahren mit: Bilden einer dielektrischen Deckschicht über einem Substrat; Bilden einer ersten dielektrischen Schicht mit einer ersten relativen Permittivität über der dielektrischen Deckschicht, wobei das Bilden der ersten dielektrischen Schicht umfasst: Bilden einer ersten Teilschicht mit einem dielektrschen Material mit erhöhter mechanischer Stabilität im Vergleich zu dem dielektrischen Material mit kleinem ε; und Bilden einer zweiten Teilschicht, die das Material mit kleinem ε aufweist; Bilden einer zweiten dielektrischen Schicht mit einem dielektrischen Material mit kleinem ε, das eine zweite relative Permittivität, die kleiner als die erste relative Permittivität ist, über der ersten dielektrischen Schicht; Bilden eines Grabens in der zweiten dielektrischen Schicht; Bilden einer Kontaktdurchführung zumindest in der dielektrischen Deckschicht und der ersten dielektrschen Schicht; und Füllen der Kontaktdurchführung und des Grabens mit einem metallenthaltenden Material, um eine Verbindungsstruktur mit kleinem ε zu bilden.
  21. Das Verfahren nach Anspruch 20, wobei das Bilden der ersten dielektrischen Schicht das Abscheiden von fluordotiertem Siliziumdioxid und/oder Siliziumdioxid umfasst.
  22. Das Verfahren nach Anspruch 21, wobei das Abscheiden auf der Grundlage von Tetraethylorthosilikat (TEOS) ausgeführt wird.
  23. Das Verfahren nach Anspruch 20, wobei das Bilden des Grabens und der Kontaktdurchführung umfasst: Bilden der Kontaktdurchführung durch Ätzen durch die zweite dielektrische Schicht, die erste dielektrische Schicht und die Deckschicht; und Bilden des Grabens durch Ätzen durch die zweite dielektrische Schicht, während die erste dielektrische Schicht als eine Ätzindikatorschicht verwendet wird.
  24. Verfahren zum Einbringen eines Halbleiterbauelements, das eine Verbindungsstruktur mit kleinem ε aufweist, in ein Gehäuse, wobei das Verfahren umfasst: Bilden der Verbindungsstruktur über einem Substrat, das ein Halbleitermaterial aufweist, wobei die Verbindungsstruktur mehrere Metallleitungsschichten, die jeweils ein dielektrisches Material mit kleinem ε aufweisen und eine oder mehrere zwischenliegende dielektrische Kontaktdurchführungsschichten mit höherer relativer Permittivität und höherer mechanischer Stabilität im Vergleich zu dem Material mit kleinem ε umfasst; Bilden einer Lothöckerschicht über der Verbindungsstruktur; und Anbringen eines Gehäusesubstrats, das ein organisches Material aufweist, an der Lothöckerschicht, wobei die eine oder mehreren Kontaktdurchführungsschichten mit erhöhter mechanischer Stabilität die Rissbildung und Materialablösung in der Verbindungsstruktur mit kleinem ε verringern.
  25. Das Verfahren nach Anspruch 24, wobei das Bilden der einen oder mehreren zwischenliegenden Schichten das Abscheiden von fluordotiertem Siliziumdioxid und/oder Siliziumdioxid umfasst.
  26. Das Verfahren nach Anspruch 25, das ferner umfasst: Bestimmen einer Korrelation zwischen durch Materialablösung und Rissbildung hervorgerufenen Bauteilausfällen nach dem Anbringen des Gehäusesubstrats und einer Gesamtmenge an Siliziumdioxid in der einen oder mehreren Zwischenschichten; und Abscheiden von fluordotiertem Siliziumdioxid und/oder Siliziumdioxid auf der Grundlage der ermittelten Korrelation.
  27. Das Verfahren nach Anspruch 26, das ferner Bestimmen eines Sollwerts für eine Dicke einer Siliziumdioxidschicht, die in der einen oder mehreren Zwischenschichten abzuscheiden ist, umfasst.
  28. Das Verfahren nach Anspruch 26, das ferner Bestimmen eines Sollwertes für eine Anzahl von Zwischenschichten, die eine Siliziumdioxidschicht erhalten, umfasst.
DE102004021261A 2004-04-30 2004-04-30 Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse Expired - Fee Related DE102004021261B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102004021261A DE102004021261B4 (de) 2004-04-30 2004-04-30 Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse
US11/046,986 US8698312B2 (en) 2004-04-30 2005-01-31 Semiconductor device including a hybrid metallization layer stack for enhanced mechanical strength during and after packaging

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004021261A DE102004021261B4 (de) 2004-04-30 2004-04-30 Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse

Publications (2)

Publication Number Publication Date
DE102004021261A1 DE102004021261A1 (de) 2005-11-17
DE102004021261B4 true DE102004021261B4 (de) 2007-03-22

Family

ID=35160385

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004021261A Expired - Fee Related DE102004021261B4 (de) 2004-04-30 2004-04-30 Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse

Country Status (2)

Country Link
US (1) US8698312B2 (de)
DE (1) DE102004021261B4 (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005235860A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US20080157385A1 (en) * 2006-12-29 2008-07-03 Heping Yue IC package with integral vertical passive delay cells
US20110241125A1 (en) * 2010-03-31 2011-10-06 Semtech Corporation Power Semiconductor Device with Low Parasitic Metal and Package Resistance
DE102010030759B4 (de) * 2010-06-30 2018-10-18 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit Metallisierungsstapel mit sehr kleinem ε (ULK) mit reduzierter Wechselwirkung zwischen Chip und Gehäuse
KR20200029638A (ko) 2011-12-20 2020-03-18 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US9136166B2 (en) * 2013-03-08 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of making same
US20150325525A1 (en) * 2014-05-08 2015-11-12 Globalfoundries Inc. Forming interconnect structure with polymeric layer and resulting device
CN112582341B (zh) * 2020-12-15 2024-03-15 上海集成电路研发中心有限公司 一种互连结构及其制造方法
DE102022107732A1 (de) 2022-03-31 2023-10-05 scia Systems GmbH Verfahren zum Herstellen einer Schichtstruktur, eine Schichtstruktur und ein Akustische-Wellen-Bauelement

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448654B1 (en) * 2001-01-29 2002-09-10 Advanced Micro Devices, Inc. Ultra thin etch stop layer for damascene process
US6511908B2 (en) * 2000-06-27 2003-01-28 Sharp Kabushiki Kaisha Method of manufacturing a dual damascene structure using boron nitride as trench etching stop film
US20030020163A1 (en) * 2001-07-25 2003-01-30 Cheng-Yu Hung Bonding pad structure for copper/low-k dielectric material BEOL process
US6569767B1 (en) * 2000-01-21 2003-05-27 Sharp Kabushiki Kaisha Semiconductor device and its production process
US6690091B1 (en) * 1999-11-22 2004-02-10 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6747355B2 (en) * 2001-07-17 2004-06-08 Nec Electronics Corporation Semiconductor device and method for manufacturing the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7259090B2 (en) * 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6690091B1 (en) * 1999-11-22 2004-02-10 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6569767B1 (en) * 2000-01-21 2003-05-27 Sharp Kabushiki Kaisha Semiconductor device and its production process
US6511908B2 (en) * 2000-06-27 2003-01-28 Sharp Kabushiki Kaisha Method of manufacturing a dual damascene structure using boron nitride as trench etching stop film
US6448654B1 (en) * 2001-01-29 2002-09-10 Advanced Micro Devices, Inc. Ultra thin etch stop layer for damascene process
US6747355B2 (en) * 2001-07-17 2004-06-08 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20030020163A1 (en) * 2001-07-25 2003-01-30 Cheng-Yu Hung Bonding pad structure for copper/low-k dielectric material BEOL process

Also Published As

Publication number Publication date
US8698312B2 (en) 2014-04-15
DE102004021261A1 (de) 2005-11-17
US20050242435A1 (en) 2005-11-03

Similar Documents

Publication Publication Date Title
DE102016100270B4 (de) Bondstrukturen und verfahren zu ihrer herstellung
DE102008033395B3 (de) Verfahren zur Herstellung eines Halbleiterbauelementes und Halbleiterbauelement
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102014115955B4 (de) Struktur und Ausbildungsverfahren einer Damascene-Struktur
DE102009035437B4 (de) Halbleiterbauelement mit einem Verspannungspuffermaterial, das über einem Metallisierungssystem mit kleinem ε gebildet ist
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102016100012B4 (de) Verfahren zur herstellung von bondstrukturen
DE102005057076A1 (de) Technik zum Verbessern der Haftung von Metallisierungsschichten durch Vorsehen von Platzhalterkontaktdurchführungen
DE102008044984A1 (de) Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität
DE102019127076B4 (de) Filmstruktur für bondkontaktstelle und verfahren zu ihrer herstellung
DE102008063401A1 (de) Halbleiterbauelement mit einem kosteneffizienten Chipgehäuse, das auf der Grundlage von Metallsäuren angeschlossen ist
DE102016101089B4 (de) Mehrfachaufprallprozess zum Bonden
DE102008054054A1 (de) Halbleiterbauelement mit einem Aufbau für reduzierte Verspannung von Metallsäulen
DE102009010885B4 (de) Metallisierungssystem eines Halbleiterbauelements mit Metallsäulen mit einem kleineren Durchmesser an der Unterseite und Herstellungsverfahren dafür
DE102021103804A1 (de) Passive Struktur auf SoIC
DE102008045035B4 (de) Verbessern der Strukturintegrität von Dielektrika mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen unter Anwendung einer Risse verhindernden Materialschicht
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102008054069B4 (de) Reduzierte Scheibendurchbiegung in Halbleitern durch Verspannungstechniken im Metallisierungssystem
DE102004021261B4 (de) Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse
DE102010030759B4 (de) Halbleiterbauelement mit Metallisierungsstapel mit sehr kleinem ε (ULK) mit reduzierter Wechselwirkung zwischen Chip und Gehäuse
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
DE102004042168B4 (de) Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
DE19843624C1 (de) Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
DE102008049720B4 (de) Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee