DE102012103623A1 - Verfahren zum Bilden einer Oxidschicht und Verfahren zum Herstellen einer Halbleitervorrichtung mit der Oxidschicht - Google Patents

Verfahren zum Bilden einer Oxidschicht und Verfahren zum Herstellen einer Halbleitervorrichtung mit der Oxidschicht Download PDF

Info

Publication number
DE102012103623A1
DE102012103623A1 DE102012103623A DE102012103623A DE102012103623A1 DE 102012103623 A1 DE102012103623 A1 DE 102012103623A1 DE 102012103623 A DE102012103623 A DE 102012103623A DE 102012103623 A DE102012103623 A DE 102012103623A DE 102012103623 A1 DE102012103623 A1 DE 102012103623A1
Authority
DE
Germany
Prior art keywords
layer
reaction
forming
precursors
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102012103623A
Other languages
English (en)
Other versions
DE102012103623A8 (de
Inventor
Suk-Jin Chung
Youn-Soo Kim
Cha-young Yoo
Jong Cheol Lee
Sang-Yeol Kang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE102012103623A1 publication Critical patent/DE102012103623A1/de
Publication of DE102012103623A8 publication Critical patent/DE102012103623A8/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

Verfahren zum Bilden einer Oxidschicht (110, 112, 258). Das Verfahren weist auf: Bilden einer Schicht von reaktionshemmenden funkionellen Gruppen (-X) auf der Oberfläche eines Substrates (101, 210); Bilden einer Schicht von Vorstufen eines Metalls oder eines Halbleiters auf der Schicht der reaktionshemmenden funktionellen Gruppen (-X); und Oxidieren der Vorstufen des Metalls oder des Halbleiters, um eine Schicht eines Metalloxids oder eines Halbleiteroxids zu erhalten. Gemäß dem Verfahren kann eine Oxidschicht (110, 112, 258) mit einer hohen Stärkengleichmäßigkeit gebildet werden und eine Halbleitervorrichtung mit ausgezeichneten elektrischen Eigenschaften erzeugt werden.

Description

  • QUERVERWEIS AUD VERWANDTE ANMEDLUNGEN
  • Diese Patentanmeldung bezieht sich auf die bei dem Koreanischen Amt für geistiges Eigentum eingereichten koreanischen Patentanmeldungen Nr. 10-2011-0040329 , eingereicht am 28. April 2011 und Nr. 10-2012-0028397 , eingereicht am 20. März 2012, deren Offenlegung hier durch Bezugnahme in vollem Umfang mit aufgenommen wird.
  • HINTERGRUND
  • Die erfinderische Idee bezieht sich auf ein Verfahren zum Bilden einer Oxidschicht, eine Halbleitervorrichtung mit der Oxidschicht und ein Verfahren zur Herstellung der Halbleitervorrichtung, und insbesondere auf ein Verfahren zum Bilden einer Oxidschicht mit einer hohen Stärkengleichmäßigkeit, eine Halbleitervorrichtung mit der Oxidschicht und einem Verfahren zur Herstellung der Halbleitervorrichtung.
  • Heutzutage verlangen die Verbraucher leichte und preiswerte elektronische Geräte wie zum Beispiel Mobiltelefone und Laptop-Computer, die eine kompakte Form, eine hohe Geschwindigkeit, multifunktionelle Eigenschaften, eine hohe Leistungsfähigkeit und eine hohe Zuverlässigkeit aufweisen. Um den Anforderungen gerecht zu werden, muss die Integrationsdichte erhöht und die Zuverlässigkeit von Halbleiterspeichervorrichtungen verbessert werden.
  • Einen Versuch die Zuverlässigkeit von einer hochintegrierten Halbleiterspeichervorrichtung zu verbessern besteht darin, die Stärkengleichmäßigkeit einer Schicht zu verbessern, die aufgebracht wird, wenn die hochintegrierte Halbleiterspeichervorrichtung erzeugt wird. Da die Integrationsdichte einer Halbleitervorrichtung zunimmt, können sich die Baugrößen der Elemente, die die Halbleitervorrichtung ausmachen, verkleinern, ein Aspektverhältnis kann zunehmen und somit kann die Gleichförmigkeit einer auf die Elemente aufgebrachten Schicht vermindert werden. Dementsprechend sind eine Vielzahl von Studien bezüglich der Entwicklung von Herstellungsprozessen zur Aufbringung eine Schicht mit einer gleichmäßigen Stärke durchgeführt worden.
  • KURZFASSUNG
  • Die erfinderische Idee stellt ein Verfahren zum Bilden einer Oxidschicht mit einer hohen Stärkengleichmäßigkeit bereit.
  • Die erfinderische Idee stellt ebenso ein Herstellungsverfahren einer Halbleitervorrichtung mit ausgezeichneten elektrischen Eigenschaften durch die Verwendung einer Oxidschicht mit einer hohen Stärkengleichmäßigkeit bereit.
  • Die erfinderische Idee stellt ebenso eine Halbleitervorrichtung mit ausgezeichneten elektrischen Eigenschaften durch die Verwendung einer Oxidschicht mit einer hohen Stärkengleichmäßigkeit dar.
  • Gemäß einem Aspekt der erfinderischen Idee wird ein Verfahren zum Bilden einer Oxidschicht bereitgestellt, wobei das Verfahren aufweist: Bilden einer Schicht von reaktionshemmenden funktionellen Gruppen auf einer Oberfläche eines Substrates; Bilden einer Schicht von Vorstufen eines bestimmten Materials auf der Schicht der reaktionshemmenden funktionellen Gruppen; und Oxidieren der Vorstufen des bestimmten Materials, um eine Schicht eines Oxids des bestimmten Materials zu erhalten.
  • Das bestimmte Material kann ein Metall oder ein Halbleiter sein. Das Oxidieren der Vorstufen des bestimmten Materials kann das Entfernen der reaktionshemmenden funktionellen Gruppen von der Oberfläche des Substrates aufweisen. Ein Arbeitsablauf mit dem Bilden der reaktionshemmenden funktionellen Gruppen durch das Oxidieren der Vorstufen eines bestimmten Materials kann mindestens zweimal ausgeführt werden.
  • Eine Schicht aus reaktionsaktivierenden Elementen kann auf der Schicht des Oxids des bestimmten Materials demnach auf Grund des Oxidierens der Vorstufen des bestimmten Materials gebildet werden. In zweiten oder darauffolgenden Arbeitsabläufen kann die Schicht der reaktionshemmenden funktionellen Gruppen durch das Ersetzen der reaktionsaktivierenden Elemente gebildet werden.
  • Das Bilden der Schicht der reaktionshemmenden funktionellen Gruppen kann aufweisen: Zuführen eines ersten Reaktionsgases mit einer organischen Verbindung mit den reaktionshemmenden funktionellen Gruppen auf das Substrat; und Chemiesorbieren des ersten Reaktionsgases auf der Oberfläche des Substrates. Die organische Verbindung mit den reaktionshemmenden funktionellen Gruppen kann eine organische Verbindung mit Hydroxyl-Gruppen sein. Beispiele für die auf der Oberfläche des Substrates gebildeten reaktionshemmenden funktionellen Gruppen können Alkoxy-Gruppen mit 1 bis 4 Kohlenstoffatomen, Aryloxy-Gruppen mit 6 bis 10 Kohlenstoffatomen, Ester-Gruppen mit 1 bis 5 Kohlenstoffatomen oder Arylester-Gruppen mit 7 bis 10 Kohlenstoffatomen aufweisen.
  • Das Bilden der Schicht von Vorstufen des ersten Materials kann aufweisen: Zuführen eines zweiten Reaktionsgases mit den Vorstufen des besonderen Materials auf die Schicht von reaktionshemmenden funktionellen Gruppen; und Chemiesorbieren des zweiten Reaktionsgases auf der Schicht der reaktionshemmenden funktionellen Gruppen.
  • Das Oxidieren der Vorstufen des bestimmten Materials kann aufweisen: Zuführen eines dritten Reaktionsgases mit einem Oxidationsmittel auf die Schicht der Vorstufen des besonderen Materials; und Erzeugen der Schicht des Oxids des besonderen Materials durch Reagieren der Vorstufen des ersten Materials mit dem Oxidationsmittel.
  • Bei dem Bilden der Schicht von reaktionshemmenden funktionellen Gruppen können Sauerstoff-Radikale mit einem zentral angeordneten die Oberfläche des Substrates bildenden Metall verbunden werden und eine dritte Bindungsenergie zwischen dem zentral angeordneten Metall und den Sauerstoff-Radikalen kann schwächer als jede der ersten Bindungsenergien zwischen Silizium und den Sauerstoff-Radikalen und schwächer als eine zweite Bindungsenergie zwischen Aluminium und den Sauerstoff-Radikalen sein.
  • Das Verfahren kann ferner vor dem Bilden der Schicht von reaktionshemmenden funktionellen Gruppen das Bilden einer Schicht von reaktionsaktivierenden Elementen auf der Oberfläche des Substrates aufweisend. Eine Bindungskraft zwischen den reaktionsaktivierenden Elementen und dem Substrat kann schwächer sein als eine Bindungskraft zwischen den reaktionsaktivierenden Elementen und jedem Metall aus der dritten Periode des Periodensystems der chemischen Elemente und auch schwächer als eine Bindungskraft zwischen den reaktionsaktivierenden Elementen und irgendeinem Halbleiter in der dritten Periode. Eine Physisorption kann zwischen den reaktionshemmenden funktionellen Gruppen und den Vorstufen des ersten Materials auftreten.
  • Gemäß eines weiteren Aspekts der erfinderischen Idee wird ein Verfahren zum Bilden einer Oxidschicht bereitgestellt, das Verfahren weist auf: Bilden einer Schicht von reaktionsaktivierenden Elementen auf einer Oberfläche eines Substrates; Bilden einer ersten Oxidschicht eines ersten Materials auf der Schicht der reaktionsaktivierenden Elemente; und Bilden einer zweiten Oxidschicht eines zweiten Materials auf der ersten Oxidschicht, wobei das erste Material ein erstes Metall oder einen ersten Halbleiter aufweist, das Bilden der ersten Oxidschicht das Bilden einer Schicht von Vorstufen des ersten Materials aufweist und das Oxidieren der Schicht von Vorstufen des ersten Materials aufweist und das Bilden der zweiten Oxidschicht das Bilden einer Schicht von reaktionshemmenden funktionellen Gruppen auf der ersten Oxidschicht aufweist, das Bilden einer Schicht von Vorstufen auf dem zweiten Material auf der Schicht der reaktionshemmenden funktionellen Gruppen und das Oxidieren der Schicht der Vorstufen des zweiten Materials aufweist.
  • Die reaktionsaktivierenden Elemente können Sauerstoff, Sauerstoff-Radikale oder Hydroxy-Gruppen sein. Eine durch das Oxidieren der Schicht der Vorstufen des ersten Materials gebildete Oberfläche kann den Sauerstoff, die Sauerstoff-Radikale oder die Hydroxy-Gruppen aufweisen. Eine Bindungskraft zwischen dem ersten Material und den reaktionsaktivierenden Elementen kann schwächer als eine Bindungskraft zwischen jedem Metall aus der dritten Periode und den reaktionsaktivierenden Elementen sein und ebenso schwächer als eine Bindungskraft zwischen jedem Halbleiter aus der dritten Periode und die reaktionsaktivierenden Elementen sein.
  • Das Bilden der ersten Oxidschicht kann die Durchführung von mindestens zwei Arbeitsabläufen mit dem Bilden der Schicht von Vorstufen des ersten Materials und das Oxidieren der Schicht der Vorstufen des ersten Materials aufweisen. Das Bilden der ersten Oxidschicht kann das mindestens einmalige Durchführen des Bildens der Schicht der reaktionshemmenden funktionellen Gruppen auf der ersten Oxidschicht aufweisen. Das Bilden der ersten Oxidschicht kann ferner das Bilden der Schicht der reaktionshemmenden funktionellen Gruppen vor dem Bilden der Schicht von Vorstufen des ersten Materials aufweisen. Das zweite Material kann ein zweites Metall oder einen zweiten Halbleiter aufweisen. Das zweite Metall kann irgendein Metall aus der dritten Periode des Periodensystems der chemischen Elemente sein. Das zweite Metall kann Aluminium (Al) sein. Der Halbleiter, der dem zweiten Material entspricht, kann aus Silizium bestehen. Das erste Metall kann mindestens eines aus der Gruppe 5 bis 5-Gruppen-Metalle aus der vierten bis sechsten Periode des Periodensystems der chemischen Elemente oder Metall-Lanthanid sein.
  • Das erste Metall kann zum Beispiel mindestens eines aus Titan (Ti), Zirkon (Zr), Hafnium (Hf), Vanadium (V), Tantal (Ta), Niob (Nb), Scandium (Sc), Yttrium (Y), Lutetium (Lu), Kalzium (Ca), Strontium (Sr), Barium (Ba), Lanthan (La), Cer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm), Europium (Eu), Gadolinium (Gd), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), Erbium (Er), Thulium (Tm) oder Ytterbium (Yb) sein.
  • Eine Oberfläche, die durch das Oxidieren der Schicht der Vorstufen des zweiten Materials erhalten wird, kann Sauerstoff-Radikale aufweisen und das Bilden der ersten Oxidschicht und das Bilden der zweiten Oxidschicht kann abwechselnd wiederholt durchgeführt werden. Das Bilden der zweiten Oxidschicht zwischen der Ausbildung der ersten Oxidschichten kann nur einmal durchgeführt werden. Bei dem Bilden der Oxidschicht des ersten Materials können eine Mehrzahl von Materialarten des ersten Materials verwendet werden.
  • Bei dem Bilden der zweiten Oxidschicht kann die zweite Oxidschicht als eine Monoschicht ausgeführt werden.
  • Gemäß einem anderen Aspekt der erfinderischen Idee wird ein Verfahren zur Herstellung einer Halbleitervorrichtung bereitgestellt, das Verfahren weist auf: Bilden einer Schaltvorrichtung auf einem Substrat; Bilden erster Elektroden, die elektrisch mit der Schaltvorrichtung gekoppelt sind; Bilden einer Oxidschicht auf Oberflächen der ersten Elektroden durch die Verwendung des Verfahrens; und Bilden einer zweiten Elektrode auf der Oberfläche der Oxidschicht, die von den ersten Elektroden elektrisch isoliert ist.
  • Gemäß einem weiteren Aspekt der erfinderischen Idee wird eine Halbleitervorrichtung bereitgestellt, die aufweist: Eine Mehrzahl von ersten Elektroden, die auf einem Substrat gebildet werden; eine Oxidschicht, die auf Oberflächen der Mehrzahl der ersten Elektroden gebildet werden; und eine zweite Elektrode, die auf der Oxidschicht gebildet wird und elektrisch isoliert von der Mehrzahl von ersten Elektroden ist, wobei jede der Mehrzahl der ersten Elektroden eine zylindrische Form mit einem Aspektverhältnis (AR) beschrieben durch die Gleichung 1 aufweist und das AR von mindestens einem aus der Mehrzahl von ersten Elektroden mindestens 20 ist und ein Verhältnis von einer Minimalstärke der Oxidschicht zu einer Maximalstärke der Oxidschicht auf der Oberfläche von jeder der Mehrzahl von ersten Elektroden gleich oder größer als der Wert 0,85 ist,
    Figure 00060001
    wobei a ein Innendurchmesser von jeder einer Mehrzahl von ersten Elektroden, b ein Abstand zwischen der Mehrzahl von ersten Elektroden, c eine vertikale Höhe einer äußeren Oberfläche von jeder der Mehrzahl von ersten Elektroden und min(a, b) einen Minimalwert aus Innendurchmesser a und Abstand b darstellt.
  • Ein Verhältnis von einer Minimalstärke der Oxidschicht zu einer Maximalstärke der Oxidschicht auf der Oberfläche jeder der Mehrzahl von ersten Elektroden kann gleich oder größer als der Wert 0,9 oder 0,95 sein.
  • Die Oxidschicht kann ein Oxid von mindestens einem Material ausgewählt aus der Gruppe bestehend aus Silizium (Si), Aluminium (Al), Titan (Ti), Zirkon (Zr), Hafnium (Hf), Vanadium (V), Tantal (Ta), Niob (Nb), Scandium (Sc), Yttrium (Y), Lutetium (Lu), Kalzium (Ca), Strontium (Sr), Barium (Ba), Lanthan (La), Cer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm), Europium (Eu), Gadolinium (Gd), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), Erbium (Er), Thulium (Tm) und Ytterbium (Yb) aufweisen.
  • Ein Kristallinitätsgrad der auf einem oberen Endbereich jeder der Mehrzahl von ersten Elektroden gebildeten Oxidschicht und ein Kristallinitätsgrad der auf einem unteren Endbereich jeder der Mehrzahl von ersten Elektroden gebildeten Oxidschicht kann im Wesentlichen gleich sein, wenn er durch unter Verwendung eines Transmissions-Elektronen-Mikroskops (TEM) gemessen wird.
  • Gemäß einem weiteren Aspekt der erfinderischen Idee wird eine Halbleitervorrichtung bereitgestellt, die aufweist: Eine Mehrzahl von auf einem Substrat gebildeten ersten Elektroden; eine Oxidschicht, die auf Oberflächen der Mehrzahl von ersten Elektroden gebildet wird; und eine zweite Elektrode, die auf der Oxidschicht gebildet wird und elektrisch isoliert von der Mehrzahl von ersten Elektroden ist, wobei jede der Mehrzahl von ersten Elektroden eine säulenartige Form mit einem Aspektverhältnis AR' beschrieben durch Gleichung 2 aufweist, das Aspektverhältnis AR' von mindestens einer von der Mehrzahl von ersten Elektroden mindestens 20 ist und ein Verhältnis von einer Minimalstärke der Oxidschicht zu einer Maximalstärke der Oxidschicht auf der Oberfläche von jeder der Mehrzahl von ersten Elektroden gleich oder größer als der Wert 0,85 ist,
    Figure 00080001
    wobei a' einen Säulendurchmesser von jeder der Mehrzahl von ersten Elektroden, b ein Abstand zwischen der Mehrzahl der ersten Elektroden, c eine vertikale Höhe einer äußeren Oberfläche von jeder der Mehrzahl von ersten Elektroden und min(a', b) einen Minimalwert aus Säulendurchmesser a' und Abstand b darstellt.
  • Gemäß einem weiteren Aspekt der erfinderischen Idee wird eine Halbleitervorrichtung bereitgestellt, die aufweist: Aktive Bereiche, die auf einem Substrat durch Flachgräben ausgebildet werden; eine Flachgraben-Isolationsschicht, die die Flachgräben zwischen den aktiven Bereichen ausfüllt, um mindestens einen Bereich einer Seitenoberfläche und einer oberen Oberfläche von jedem der aktiven Bereiche freizulegen; eine Oxidschicht, die mindestens auf dem einen Bereich der Seitenoberfläche und der oberen Oberfläche jeder der freigelegten aktiven Bereiche ausgebildet wird; und eine Elektrode, die mindestens einen Bereich der Seitenoberfläche und der oberen Oberfläche von jedem der aktiven Bereiche mit der Oxidschicht dazwischen umgibt, wobei jeder der aktiven Bereiche ein Aspektverhältnis AR'' beschrieben durch Gleichung 3 aufweist, das Aspektverhältnis AR'' mindestens 3 ist und ein Verhältnis einer Minimalstärke der Oxidschicht zu einer Maximalstärke der Oxidschicht auf freigelegten Bereichen jedes der aktiven Bereiche gleich oder größer als der Wert 0,85 ist, AR''= c / b (3) wobei b ein Abstand zwischen den freigelegten Bereichen jedes der aktiven Bereiche und c eine Höhe von jedem der freigelegten Bereiche von jedem der aktiven Bereiche ist.
  • Bei einigen Ausführungsformen weist ein Verfahren zum Bilden einer Halbleitervorrichtung das Bilden von reaktionshemmenden funktionellen Gruppen über eine Elektrode auf; Bilden von Vorstufen eines ersten Materials über die reaktionshemmenden funktionellen Gruppen; und Oxidieren der Vorstufen des ersten Materials, um eine Oxidschicht des ersten Materials zu erreichen. In einigen Ausführungsformen weist das Verfahren ferner das Bilden einer weiteren Elektrode auf, die die Oxidschicht des ersten Materials überlagert.
  • Bei einigen Ausführungsformen weist das Verfahren das Bilden einer weiteren Oxidschicht eines zweiten Materials auf der Oxidschicht des ersten Materials auf.
  • KURZBESCHREIBUNG DER FIGUREN
  • Beispielhafte Ausführungsformen der erfinderischen Idee werden angesichts der folgenden ausführlichen Beschreibung in Verbindung mit den angefügten Figuren anschaulicher werden, in denen:
  • 1 ein Ablaufdiagramm ist, das ein Verfahren zum Bilden einer Oxidschicht gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht;
  • 2 eine Draufsicht ist, die eine Verarbeitungsvorrichtung eines Substrates zum Bilden einer Oxidschicht gemäß einer weiteren Ausführungsform der erfinderischen Idee veranschaulicht;
  • 3A bis 3F sind Seitenschnittansichten zur Erkläuterung des Verfahrens von 1;
  • 4 ist ein Ablaufdiagramm, das ein Verfahren zum Bilden eine Schicht von reaktionshemmenden funktionellen Gruppen auf einer Oberfläche eines Substrates nach dem Verfahren von 1 veranschaulicht;
  • 5 ist ein Ablaufdiagramm, das ein Verfahren zum Bilden eine Schicht von Vorstufen eines ersten Materials auf der Schicht der reaktionshemmenden funktionellen Gruppen nach dem Verfahren von 1 veranschaulicht;
  • 6 ein Ablaufdiagramm ist, das ein Verfahren zum Oxidieren der Vorstufen des ersten Materials veranschaulicht, um eine Schicht eines Oxids des ersten Materials nach dem Verfahren von 1 zu erhalten;
  • 7 ist ein Ablaufdiagramm, das ein Verfahren zum Bilden einer Halbleitervorrichtung gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht;
  • 8A bis 8H sind Seitenschnittansichten zur Erläuterung des Verfahrens von 7;
  • 9A bis 9C sind vergrößerte Ausschnittsdarstellungen, die einen oberen Endbereich, einen oberen Bereich und einen unteren Bereich von 8H veranschaulichen;
  • 10A bis 10C sind Transmissions-Elektronen-Mikroskop-(TEM)-Bilder eines Kondensators, die nahe dem oberen Endbereich, dem oberen Bereich und dem unteren Bereich aufgenommen wurden.
  • 11 bis 14 sind Seitenschnittansichten, die Halbleitervorrichtungen gemäß Ausführungsformen der erfinderischen Idee veranschaulichen;
  • 15 ist ein Diagramm, das elektrische Eigenschaften von dielektrischen Schichtkondensatoren, so wie sie in Beispiel 1 und vergleichbarem Beispiel 1 erzeugt werden, veranschaulicht;
  • 16 ist eine Draufsicht, die einen Speicherbaustein mit einer Halbleitervorrichtung gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht;
  • 17 ist ein Blockdiagramm, das eine Speicherkarte mit einer Halbleitervorrichtung gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht;
  • 18 ist ein Blockdiagramm, das eine Speichervorrichtung mit einer Halbleitervorrichtung veranschaulicht, die durch die Verwendung eines Verfahrens zum Bilden ein Oxidschicht gemäß einer Ausführungsform der erfinderischen Idee gebildet wird; und 19 ist ein Blockdiagramm, das ein elektronisches System mit einer Halbleitervorrichtung veranschaulicht, das durch die Verwendung eines Verfahrens zum Bilden einer Oxidschicht gemäß einer Ausführungsform der erfinderischen Idee gebildet wird.
  • AUSFÜHRLICHE BESCHREIBUNG DER AUSFÜHRUNGSFORMEN
  • Wie hier verwendet, beinhaltet der Begriff „und/oder” jede und alle Kombinationen von einem oder mehreren der in Verbindung gebrachten aufgelisteten Begriffe. Ausdrücke wie zum Beispiel ”mindestens einer/eine/eines von”, wenn sie einer Liste von Elementen vorangehen, verändern die gesamte Liste der Elemente und verändern nicht die einzelnen Elemente der Liste.
  • Jedoch kann die erfinderische Idee durch unterschiedliche Ausführungsformen verkörpert werden und sollte nicht als beschränkt auf die hierin festgelegten beschriebenen Ausführungsformen aufgefasst werden. Diese Ausführungsformen werden vielmehr derart bereitgestellt, dass diese Offenbarung durchgängig und vollständig erscheinen wird und den Umfang der erfinderischen Idee dem Durchschnittsfachmann vollständig zum Ausdruck bringen wird. Die Stärke von Schichten und Bereichen sowie die Größen von Komponenten können zur Verdeutlichung in den Figuren übertrieben dargestellt sein. Gleiche Bezugszeichen beziehen sich durchgängig auf gleiche Elemente. Darüber hinaus werden unterschiedliche Elemente und Bereiche in den Figuren schematisch dargestellt. Die erfinderische Idee ist dementsprechend nicht auf die jeweiligen Größen oder Intervalle in den beigefügten Figuren beschränkt.
  • Es ist selbstverständlich, dass, obwohl die Begriffe erster, zweiter, dritter, etc. hier verwendet werden, um unterschiedliche Elemente, Komponenten, Bereiche, Schichten und/oder Ausschnitte zu beschreiben, diese Elemente, Komponenten, Bereiche, Schichten und/oder Ausschnitte nicht durch diese Begriffe beschränkt werden sollen. Diese Begriffe werden nur zur Unterscheidung eines Elementes, Komponente, Bereichs, Schicht oder Ausschnitts von einem anderen Bereich, Schicht oder Ausschnitt verwendet. Folglich könnte ein erstes im Folgenden beschriebenes Element, Komponente, Bereich, Schicht oder Ausschnitt könnte als ein zweites Element, Komponente, Bereich, Schicht oder Ausschnitt bezeichnet werden, ohne von der Lehre der erfinderischen Idee abzuweichen.
  • Die hier verwendete Fachsprache hat nur das Ziel, bestimmte Ausführungsformen zu beschreiben und beabsichtigt nicht beschränkend auf die erfinderische Idee zu wirken. Wie hier verwendet, sollen die Singularformen ”einer/eine/eines” und ”der/die/das” auch die Pluralformen beinhalten, wenn der Zusammenhang nicht eindeutig auf anderes hinweist. Außerdem ist selbstverständlich, dass die Begriffe ”er/sie/es weist auf” und/oder ”aufweisend”, wenn sie hier verwendet werden, das Vorhandensein von bestimmten Eigenschaften, Ganzzahlen, Schritten, Betriebsabläufen, Elementen und oder Komponenten angeben, aber nicht das Vorhandensein oder das Hinzufügen von einem oder mehreren anderen Eigenschaften, Ganzzahlen, Schritten, Betriebsabläufen, Elementen, Komponenten und/oder Gruppen hiervon ausschließen.
  • Wenn nicht anders definiert, haben alle Begriffe (beinhaltend technische und wissenschaftliche Begriffe), die hier verwendet werden, die gleiche Bedeutung wie gewöhnlich von einem Durchschnittsfachmann verstanden, auf den sich diese erfinderischen Konzepte beziehen. Weiterhin ist selbstverständlich, dass Begriffe wie zum Beispiel in üblichen Wörterbüchern definierten, als eine Bedeutung habend interpretiert werden sollten, die einheitlich mit ihrer Bedeutung im Zusammenhang mit der relevanten Technik ist und sie wird nicht in einem idealisierten und übermäßig formalen Sinn aufgefasst, sofern hier nicht explizit so definiert.
  • Die erfinderische Idee stellt ein Verfahren zum Bilden einer Oxidschicht bereit, das Verfahren weist auf: Bilden eine Schicht von reaktionshemmenden funktionellen Gruppen auf einer Oberfläche eines Substrates; Bilden einer Schicht von Vorstufen eines ersten Materials auf der Oberfläche der reaktionshemmenden funktionellen Gruppen; und Oxidieren der Vorstufen des ersten Materials, um eine Schicht eines Oxids des ersten Materials zu erhalten.
  • 1 ist ein Ablaufdiagramm, das ein Verfahren zum Bilden einer Oxidschicht gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht. 2 ist eine Draufsicht, die eine Herstellungsvorrichtung eines Substrates 10 zum Bilden einer Oxidschicht gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht. 3A bis 3F sind Seitenschnittansichten zur Veranschaulichung des Verfahrens von 1. 4 ist ein Ablaufdiagramm, das einen Ablauf zum Bilden einer Schicht von reaktionshemmenden funktionellen Gruppen auf der Oberfläche eines Substrates bei dem Verfahren von 1 veranschaulicht.
  • Bezug nehmend auf die 1 und 2 kann eine Beschichtungskammer 20 und eine Vakuum-Schleusenkammer 20' sowie eine Mehrzahl von Reaktionskammern 12 um eine Transferkammer 18 angeordnet werden, die im Zentrum der Herstellungsvorrichtung eines Substrates 10 angeordnet ist und eine Vakuum beinhaltet. Im Arbeitsablauf S10 kann eine Substrat-Tägervorrichtung 16 ein Substrat 101 in jede der Reaktionskammern 12 aus den Beschichtungskammern 20 einbringen, wenn eine Substrateskassette, in der eine Mehrzahl von Substraten aufgenommen ist, in die Beschichtungskammer 20 gebracht wird.
  • Bezug nehmend auf die 1, 3A und 4 wird in Arbeitsablauf S11 eine Schicht von reaktionshemmenden funktionellen Gruppen -X auf einer Oberfläche des Substrates 101 gebildet. Der Arbeitsablauf S11, indem die Schicht der reaktionshemmenden funktionellen Gruppen -X auf der Oberfläche des Substrates 101 gebildet wird, kann Arbeitsablauf S111 (4) aufweisen, indem ein erstes Reaktionsgas mit einer organischen Verbindung mit den reaktionshemmenden funktionellen Gruppen -X auf dem Substrat 101 bereitgestellt wird, Arbeitsablauf S112, indem das erste Reaktionsgas auf die Oberfläche des Substrates 101 chemisorbiert wird und Arbeitsablauf S113, in dem ein verbleibender Teil des ersten Gases abgeführt wird.
  • Das Substrat 101 kann jedes Substrat sein, solange das Substrat eine Oberfläche aufweist, auf der die reaktionshemmenden funktionellen Gruppen -X gebildet werden können. Das Substrat 101 kann zum Beispiel ein Halbleitermaterial, zum Beispiel einen Halbleiter aus Gruppe IV, einen Verbindungs-Halbleiter aus Gruppe III–V oder einen Oxid-Halbleiter aus Gruppe II–VI aufweisen. Beispiele von Halbleitern aus der Gruppe IV können zum Beispiel Silizium, Germanium und Silizium-Germanium aufweisen.
  • Ersatzweise kann das Substrat 101 ein Silizium-auf-Isolator-Substrat (SOI); ein isolierendes Substrat, das zum Beispiel aus SiO2 oder einem Metalloxid besteht; ein Substrat mit einem metallischen Leiter oder einem Metall-Nitrid-Leiter wie zum Beispiel Titan (Ti), Titan-Nitrid (TiN), Wolfram (W), Wolfram-Nitrid (WN), Kupfer (Cu), Aluminium (Al), Nickel (Ni), Tantal (Ta) oder Tantal-Nitrid (TaN); oder ein Glassubstrat sein. Das Substrat 101 kann ein aus einem einzigen Material oder unterschiedlichen Materialien erzeugtes Substrat sein.
  • Insbesondere kann die Oberfläche des Substrates 101, auf die die reaktionshemmenden funktionellen Gruppen -X aufgebracht werden, eine Eigenschaft von einem Aspektverhältnis von 20 oder größer aufweisen.
  • Hier kann sich der Begriff ”Aspektverhältnis” auf ein Verhältnis einer Höhe von jedem von zwei benachbarten Stufen zu einem Abstand zwischen den beiden benachbarten Stufen beziehen. In 3A ist das Aspektverhältnis A2/A1.
  • Beispiele der reaktionshemmenden funktionellen Gruppen -X können Alkoxy-Gruppen mit 1 bis 4 Kohlenstoffatomen, Aryloxy-Gruppen mit 6 bis 10 Kohlenstoffatomen, Ester-Gruppen mit 1 bis 5 Kohlenstoffatomen und/oder Arylester-Gruppen mit 7 bis 10 Kohlenstoffatomen aufweisen. Die reaktionshemmenden funktionellen Gruppen -X können durch Chemiesorbieren einer beliebigen Verbindung mit reaktionshemmenden funktionellen Gruppen -X auf der Oberfläche des Substrates 101 erhalten werden. Die Verbindung mit den reaktionshemmenden funktionellen Gruppen -X kann zum Beispiel eine beliebige organische Verbindung mit Hydroxy-Gruppen (-OH) sein. Im Einzelnen können Beispiele der organischen Verbindung Methanol (CH3OH), Ethanol (C2H5OH), Propanol (C3H7OH), Butanol (C4H9OH), Ameisensäure (HCOOH), Essigsäure (CH3COOH), Propansäure (C2H5COOH), Buttersäure (C3H7COOH), Pentan-Säure (C4H9COOH), Phenol (C6H5OH) und Benzoesäure (C6H5COOH) aufweisend.
  • Die reaktionshemmenden funktionellen Gruppen -X können als Monoschicht auf der Oberfläche des Substrates 101 gebildet werden. Ein verbleibender Teil der organischen Verbindung mit den reaktionshemmenden funktionellen Gruppen -X kann ferner auf die als Monoschicht gebildeten reaktionshemmenden funktionellen Gruppen -X physisorbiert werden. Der verbleibende Teil der physisorbierten organischen Verbindung kann durch den Einsatz eines Inertgases wie zum Beispiel Helium (He), Neon (Ne), Argon (Ar) oder Nitrogen (N2) gereinigt werden. Ein verbleibender Teil der noch nicht absorbierten organischen Verbindung mit den reaktionshemmenden funktionellen Gruppen -X kann auch durch Abführen aus jeder der Reaktionskammern 12 nach außen gereinigt werden. Eine ungewollte Reaktion zwischen dem verbleibenden Teil der organischen Verbindung und anderen Gasen, die im Weiteren in die Reaktionskammern 12 eingebracht werden, kann durch Abführung verhindert oder minimiert werden.
  • Wahlweise kann eine Schicht von reaktionsaktivierenden Elementen (nicht dargestellt) auf der Oberfläche des Substrates 101 aufgebracht werden, bevor die reaktionshemmenden funktionellen Gruppen -X auf der Oberfläche des Substrates 101 aufgebracht werden. Die reaktionsaktivierenden Elemente können Sauerstoff aufweisende Atome oder funktionellen Gruppen mit einer offenen Bindung darin aufweisen. Die reaktionsaktivierenden Elemente können zum Beispiel Sauerstoff-Radikale oder Hydroxy-Gruppen sein. Die reaktionsaktivierenden Elemente können durch Aufbereitung der Oberfläche des Substrates des 101 mit einem Oxidationsmittel wie zum Beispiel Ozon (O3), Sauerstoff (O2), Wasser (H2O), Wasserstoffperoxid (H2O2) oder Lachgas (N2) erhalten werden. Als Ergebnis kann die Oberfläche des Substrates 101 die reaktionsaktivierenden Elemente aufweisen, die mit einem zentralen Metall verbunden sind, das die Oberfläche des Substrates 101 oder eines Halbleiterelementes bedeckt, was im Detail im Folgenden beschrieben wird.
  • Bezug nehmend auf die 1 und 3B kann in Arbeitsablauf S12 eine Schicht von Vorstufen eines ersten Materials auf der Schicht der reaktionshemmenden funktionellen Gruppen -X gebildet werden.
  • Beispiele des ersten Materials können ein Metall und/oder eine Halbleiterschicht sein. In 3B, steht ML für Vorstufen von einem Metall und/oder von einem Halbleiter, die die Vorstufen des ersten Materials sind. Der Arbeitsablauf S12, in dem die Schicht der Vorstufen des ersten Materials auf der Schicht der reaktionshemmenden funktionellen Gruppen -X gebildet wird, kann den Arbeitsablauf S121 aufweisen, in dem ein zweites Reaktionsgas mit den Vorstufen des ersten Materials der Schicht der reaktionshemmenden funktionellen Gruppen -X bereitgestellt wird, kann den Arbeitsschitt S122 aufweisen, in dem das zweite Reaktionsgas auf die Schicht der reaktionshemmenden funktionellen Gruppen -X physisorbiert wird und kann den Arbeitsablauf S123 aufweisen, in dem ein verbleibender Teil des zweiten Reaktionsgases wie in 5 dargestellt abgeführt wird.
  • Obwohl einige der reaktionshemmenden funktionellen Gruppen -X und die Vorstufen ML in der 3B an den Ecken, bei denen eine untere Oberfläche des Substrates 101 und senkrechte Wände des Substrates 101 zusammentreffen, nicht dargestellt werden, um zu verhindern, dass sie in einer überlappenden Art und Weise veranschaulicht werden, sollte klargestellt werden, dass dies nicht bedeutet, dass einige funktionelle Gruppen chemisch abgetrennt sind oder verschwinden. Das Gleiche gilbt für die 3C bis 3F.
  • Die Vorstufen des Metalls können mindestens eines ausgewählt aus der Gruppe bestehend aus Vorstufen von Aluminium (Al), Titan (Ti), Zirkon (Zr), Hafnium (Hf), Vanadium (V), Tantal (Ta), Niob (Nb), Scandium (Sc), Yttrium (Y), Lutetium (Lu), Kalzium (Ca), Strontium (Sr), Barium (Ba), Lanthan (La), Cer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm), Europium (Eu), Gadolinium (Gd), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), Erbium (Er), Thulium (Tm) und Ytterbium (Yb) sein.
  • Die Vorstufen von Aluminium können mindest eines ausgewählt aus der Gruppe bestehend aus Trimethyl-Aluminium (TMA), Triethyl-Aluminium (TEA), 1-Methylpyrrolidin-Alan (MPA), Dimethylethylamin-Alan (DMEAA), Dimethyl-Aluminium-Hydrid (DMAH) und Trimethylaminealan-Boran (TMAAB) sein.
  • Die Vorstufen von Titan können mindest eines ausgewählt zum Beispiel aus der Gruppe bestehend aus Titan-Tetrakis-Isopropoxide (Ti(O-iProp)4), Titan-Halid, Cyclopentadienyl-Titan, Titan-Bis(isopropoxide)bis(2,2,6,6-Tetramethyl-3,5-Heptanedionate) (Pi(O-iProp)2(thd)2), Titan-Bis(4-(2-Methylethoxy)imino-2-Pentanoate) (Ti(2meip)2), Titan-Bis[4-(Ethoxy)imino-2-Pentanoate] (Ti(eip)2) und Titan-Bis [2,2-Dimethyl-5-(2-Methylethoxy)imino-3-Heptanoate] (Ti(22dm2meih)2) sein.
  • Die Vorstufen von Zirkon können mindest eines ausgewählt zum Beispiel aus der Gruppe bestehend aus Zirkon Tertiary Butoxid (ZTB) (Zr(OtBu)4, Tetrakis(diethylamido)zirkon, (TDEAZ) (Zr(NEt2)4, Tetrakis(ethylmethylamido)zirkon (TEMAZ) Zr(NEtMe)4, Tetrakis(dimethylamido)zirkon, (TDMAZ) (Zr(NMe2)4, Tetrakis(1-Methoxy-2-Methyl-2-Propoxy) Hafnium (Hf(mmp)4), Tetrakis(1-methoxy-2-methyl-2-propoxy) Zirkon (Zr(mmp)4), Hafnium-Tetrachloride (HfCl4), Zirkon-Tetrachloride (ZrCl4), ZrCp2Me2, Zr(tBuCp)2Me2 und Zr(NiProp2)4 sein.
  • Die Vorstufen von Hafnium können zumindest eines ausgewählt aus zum Beispiel der Gruppe bestehend aus zum Beispiel Hafnium t-Butoxide, (HTB) (Hf(OtBu)4, Tetrakis(diethylamido)-Hafnium (TDEAH) (Hf(NEt2)4, Tetrakis(Ethylmethylamido)-Hafnium (TEMAH) (Hf(NEtMe)4) und Tetrakis(Dimethylamido)-Hafnium (TDMAH) (Hf(NMe2)4 sein.
  • Die Vorstufen weiterer Metalle können die folgende chemische Formel aufweisen. M L1L2L3Dx (1), wobei M zumindest eines ausgewählt aus der Gruppe bestehend aus Vanadium (V), Tantal (Ta), Niob (Nb), Scandium (Sc), Yttrium (Y), Lutetium (Lu), Kalzium (Ca), Strontium (Sr), Barium (Ba), Lanthan (La), Cer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm), Europium (Eu), Gadolinium (Gd), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), Erbium (Er), Thulium (Tm) und Ytterbium (Yb) sein kann, L1, L2 und L3 können unabhängige anionische Liganden sein, D kann ein neutraler Donor-Ligand sein und x kann eine Ganzzahl von 0, 1, 2 oder 3 sein. Wenn die Ganzzahl gleich dem Wert 0 ist, bedeutet dies, dass es keinen neutralen Donor-Ligand D gibt.
  • Im Einzelnen kann zum Beispiel jeder der unabhängigen anionischen Liganden L1, L2 und L3 mindestens einer aus Alkoxid, Halid, Aryloxid, Amid, Cyclopentadienyl (Cp), Alkyl, Sillyl, Amidinate, β-Diketonate, Ketoiminate, Silanoate oder Carboxylate sein.
  • Außerdem kann der neutrale Donor-Ligand D zum Beispiel mindestens einer von Furan, Pyridin, Pyrrol, Pyrrolidin, Amin, Crown-Äther, Glyme oder Nitril sein.
  • Das Alkoxid kann zum Beispiel mindestens eines von Tertiary-Gutoxid, Isopropoxid, Ethoxid, 1-Methoxy-2,2-Dimethyl-2-Propionate (mmp), 1-Dimethylamino-2,2'-Dimethyl-Propionate, Amyloxid oder Neo-Pentoxid sein. Das Halid kann zum Beispiel mindestens eines aus Fluorid, Chlorid, Iodid oder Bromid sein.
  • Das Aryloxid kann zum Beispiel Phenoxid oder 2,4,6-Trimethylphenoxid sein.
  • Das Amid kann zum Beispiel Bis(trimethylsilyl)Amide, Di-Tertiary-Butylamid oder 2,2,6,6-Tetramethyl Piperidid sein.
  • Das Cyclopentadienyl kann zum Beispiel aus der Gruppe bestehend aus Chloropentadienyl, 1-Methylcyclopentadienyl, 1,2,3,4-Tetramethylcyclopentadienyl, 1-Ethylcyclopentadienyl, Pentamethylcyclopentadienyl, 1-Iso-Propylcyclopentadienyl, 1-n-Propylcyclopentadienyl und 1-n-Butylcyclopentadienyl sein.
  • Das Alkyl kann zum Beispiel aus der Gruppe bestehend aus Bis(trimethylsilyl)methyl, Tris(trimethylsilyl)methyl und Trimethylsilylmethyl sein. Das Silyl kann zum Beispiel Trimethylsilyl sein.
  • Das Amidinat kann zum Beispiel aus der Gruppe bestehend aus N,N-di-tertiarybutylacetamidinate, N,N'-di-iso-propylacetamidinate, N,N'-di-isopropyl-2-tertiary-butylamidinate und N,N'-di-tertiary-butyl-2-tertiary-butylamidinate sein.
  • Das β-Diketonat kann zum Beispiel aus der Gruppe bestehend aus 2,2,6,6-Tetramethyl-3.5-Heptanedionat, Hexafluoro-2,4-Pentandionat und 6,6,7,7,8,8,8-Heptafluoro-2,2-Dimethyl-3,5-Octanedionat sein.
  • Das Ketoiminat kann zum Beispiel 2-Isopropylimino-4-Pentanonate sein.
  • Das Silanoat kann zum Beispiel Tri-Tertiary-Butylsil-Oxid oder Triethylsil-Oxid sein.
  • Das Carboxylat kann zum Beispiel 2-Ethylhexanoat sein.
  • Der neutrale Donor-Ligand D kann zum Beispiel ausgewählt aus der Gruppe bestehend aus Tetrahydrofuran (THF), Diethyläther, 1,2-Dimethoxyethan, Diglym, Triglym, Tetraglym, 12-crown-6, 10-crown-4, Pyridin, N-Methylpyrrolidin, Triethylamin, Trimethylamin, Acetonitril und 2,2-Dimethylpropionitril sein.
  • Die Vorstufen von Yttrium (Y) können zum Beispiel mindestens eines ausgewählt aus der Gruppe bestehend aus Y(N(SiMe3)2)3, Y(N(i-Prop)2)3, Y(N(t-Bu)SiMe3)3, Y(TMPD)3, Cp3Y, (MeCp)3Y, ((n-Prop)Cp)3Y, ((n-Bu)Cp)3Y, Y(THD)3, Y(OCMe2CH2NMe2)3, Y[OOCCH(C2H5)C4H9]3, Y(C11H19O2)3CH3(OCH2CH2)3OCH3, Y(CF3COOHCOCF3)3, Y(OOCC10H7)3, Y(OOC10H19)3 und Y(O(i-Prop))3 sein.
  • Die Vorstufen von Lanthan (La) können zum Beispiel mindestens eines von La(N(SiMe3)2)3, La(N(i-Prop)2)3, La(N(t-Bu)SiMe3)3, La(TMPD)3, ((i-Prop)Cp)3La, Cp3La, Cp3La(NCCH3)2, La(Me2NC2H4Cp)3, La(THD)3, La[OOCCH(C2H5)C4H9]3, La(C11H19O2)3 CH (OCH2CH2)3OCH3, La(C11H19O2)3·CH3(OCH2CH2)4OCH3, La(O(i-Prop))3, La(OEt)3, La(acac)3, La(((t-Bu)2N)2CMe)3, La(((i-Prop)2N)2CMe)3, La(((i-Prop)2N)2CH)3, La(((t-Bu)2N)2C(t-Bu))3, La(((i-Prop)2N)2C(t-Bu))3 oder La(FOD)3 sein.
  • Die Vorstufen von Cer (Ce) können zum Beispiel mindestens eines von Ce(N(SiMe3)2)3, Ce(N(i-Prop)2)3, Ce(N(t-Bu)SiMe3)3, Ce(TMPD)3, Ce(FOD)3, ((i-Prop)Cp)3Ce, Cp3Ce, Ce(Me4Cp)3, Ce(OCMeCH2NMe2)3, Ce(THD)3, Ce[OOCCH(C2H5)C4H9]3, Ce(C11H19O2)3·CH3(OCH2CH2)3OCH3, Ce(C11H19O2)3·CH3(OCH2CH2)4OCH3, Ce(O(i-Prop))3 oder Ce(acac)3 sein.
  • Die Vorstufen von Praseodym (Pr) können zum Beispiel mindestens eines aus Pr(N(SiMe3)2)3, ((i-Prop)Cp)3Pr, Cp3Pr, Pr(THD)3, Pr(FOD)3, (C5Me4H)3Pr, Pr[OOCCH(C2H5)C4H9]3, Pr(C11H19O2)3·CH3(OCH2CH2)3OCH3, Pr(O(i-Prop))3, Pr(acac)3, Pr(hfac)3, Pr(((t-Bu)2N)2CMe)3, Pr(((i-Prop)2N)2CMe)3, Pr(((t-Bu)2N)2C(t-Bu))3 oder Pr(((i-Prop)2N)2C(t-Bu))3 sein.
  • Die Vorstufen von Neodym (Nd) können zum Beispiel mindestens eines aus Nd(N(SiMe3)2)3, Nd(N(i-Prop)2)3, ((i-Prop)Cp)3Nd, Cp3Nd, (C5Me4H)3Nd, Nd(THD)3, Nd[OOCCH(C2H5)C4H9]3, Nd(O(i-Prop))3, Nd(acac)3, Nd(hfac)3, Nd(F3CC(O)CHC(O)CH3)3, or Nd(FOD)3 sein.
  • Die Vorstufen von Samarium (Sm) können zum Beispiel mindestens eines aus Sm(N(SiMe3)2)3, ((i-Prop)Cp)3Sm, Cp3Sm, Sm(THD)3, Sm[OOCCH(C2H5)C4H9]3, Sm(O(i-Prop))3, Sm(acac)3 oder (C5Me5)2Sm sein.
  • Die Vorstufen von Europium (Eu) können zum Beispiel mindestens eines aus Eu(N(SiMe3)2)3, ((i-Prop)Cp)3Eu, Cp3Eu, (Me4Cp)3Eu, Eu(THD)3, Eu[OOCCH(C2H5)C4H9]3, Eu(O(i-Prop))3, Eu(acac)3 und (C5Me5)2Eu sein.
  • Die Vorstufen von Gadolinium (Gd) können zum Beispiel mindestens eines aus Gd(N(SiMe3)2)3, ((i-Prop)Cp)3Gd, Cp3Gd, Gd(THD)3, Gd[OOCCH(C2H5)C4H9]3, Gd(O(i-Prop))3, Gd(acac)3 oder (C5Me5)2Gd sein.
  • Die Vorstufen von Terbium (Tb) können zum Beispiel mindestens eines aus Tb(N(SiMe3)2)3, ((i-Prop)Cp)3Tb, Cp3Tb, Tb(THD)3, Tb[OOCCH(C2H5)C4H9]3, Tb(O(i-Prop))3, Tb(acac)3 oder (C5Me5)2Tb sein.
  • Die Vorstufen von Dysprosium (Dy) können zum Beispiel mindestens eines aus Dy(N(SiMe3)2)3, ((i-Prop)Cp)3Dy, Cp3Dy, Dy(THD)3, Dy[OOCCH(C2H5)C4H9]3, Dy(O(i-Prop))3, Dy(acac)3, (C5Me5)2Dy oder Dy(O2C(CH2)6CH3)3 sein.
  • Die Vorstufen von Holmium (Ho) können zum Beispiel mindestens eines aus Ho(N(SiMe3)2)3, ((i-Prop)Cp)3Ho, Cp3Ho, Ho(THD)3, Ho[OOCCH(C2H5)C4H9]3, Ho(O(i-Prop))3, Ho(acac)3 oder (C5Me5)2Ho sein.
  • Die Vorstufen von Erbium (Er) können zum Beispiel mindestens eines aus Er(N(SiMe3)2)3, ((i-Prop)Cp)3Er, ((n-Bu)Cp)3Er, Cp3Er, Er(THD)3, Er[OOCCH(C2H5)C4H9]3, Er(O(i-Prop))3, Er(acac)3 oder (C5Me5)2Er sein.
  • Die Vorstufen von Thulium (Tm) können zum Beispiel mindestens eines aus Tm(N(SiMe3)2)3, ((i-Prop)Cp)3Tm, Cp3Tm, Tm(THD)3, Tm[OOCCH(C2H5)C4H9]3, Tm(O(i-Prop))3, Tm(acac)3 oder (C5Me5)2Tm sein.
  • Die Vorstufen von Ytterbium (Yb) können zum Beispiel mindestens eines aus Yb(N(SiMe3)2)3, Yb(N(i-Prop)2)3, ((i-Prop)Cp)3Yb, Cp3Yb, Yb(THD)3, Yb[OOCCH(C2H5)C4H9]3, Yb(O(i-Prop))3, Yb(acac)3, (C5Me5)2Yb, Yb(hfac)3 oder Yb(FOD)3 sein.
  • Die Vorstufen von Lutetium (Lu) können zum Beispiel mindestens eines aus Lu(N(SiMe3)2)3, ((i-Prop)Cp)3Lu, Cp3Lu, Lu(THD)3, Lu[OOCCH(C2H5)C4H9]3, Lu(O(i-Prop))3 und Lu(acac)3 sein.
  • Die Vorstufen des Halbleiters können Vorstufen von Silizium sein.
  • Die Vorstufen von Silizium können zum Beispiel Silan (SiH4), Disilan (Si2H6), Monochlorosilan (SiClH3), Dichlorosilan (SiCl2H2), Trichlorosilan (SiCl3H), Hexachlorodisilan (Si2Cl6), Diethylsilan (Et2SiH2), Tetraethyl-Orthosilikat (Si(OCH2CH3)4, TEOS) oder Alkyl-Aminosilan-basierte Verbindungen sein. Beispiele der Alkyl- Aminosilan-basierten Verbindungen können zum Beispiel Diisopropylaminosilan (H3Si(N(i-Prop)2)), Bis(tertiary-butylamino)silan ((C4H9(H)N)2SiH2), Tetrakis(dimethylamino)silan (Si(NMe2)4), Tetrakis(ethylmethylamino)silan (Si(NEtMe)4), Tetrakis(diethylamino)silan (Si(NEt2)4), Tris(dimethylamino)silan (HSi(NMe2)3), Tris(ethylmethylamino)silan (HSi(NEtMe)3), Tris(diethylamino)silan (HSi(NEt2)3), Tris(dimethylhydrazino)silan (HSi(N(H)NMe2)3), Bis(diethylamino)silan (H2Si(NEt2)2), Bis(diisopropylamino)silan (H2Si(N(i-Prop)2)2), Tris(isopropylamino)silan (HSi(N(i-Prop)2)3) und (diisopropylamino)silan (H3Si(N(i-Prop)2) aufweisen, sind aber nicht darauf limitiert.
  • Hierbei bezeichnet Me eine Methyl-Gruppe, Et bezeichnet eine Ethyl-Gruppe, i-Prop bezeichnet eine Isopropyl-Gruppen, n-Prop bezeichnet eine n-Propyl-Gruppe, Bu bezeichnet eine Buthyl-Gruppe, n-Bu bezeichnet eine n-Buthyl-Gruppe, Cp bezeichnet eine Zyklopentadienyl-Gruppe, THD bezeichnet 2,2,6,6-Tetramethyl-3,5-Heptanedionat, TMPD bezeichnet 2,2,6,6-Tetramethylpiperidid, Acac bezeichnet Acetylacetonat, Hfac bezeichnet Hexafluoroacetylacetonat und FOD bezeichnet 6,6,7,7,8,8,8-Heptafluoro-2,2-Dimethyl-3,5-octanedionat.
  • Die Vorstufen des Metalls und/oder des Halbleiters ML können in Form einer Monoschicht oder Mehrschichten auf der Schicht der reaktionshemmenden funktionellen Gruppen -X physisorbiert werden.
  • Die Bindungsenergie zwischen den Vorstufen des Metalls und/oder des Halbleiters ML und den reaktionshemmenden funktionellen Gruppen -X aufgrund der Physisoption ist schwächer als die Bindungsenergie zwischen den Vorstufen des Metalls und/oder des Halbleiters ML und den reaktionsaktivierenden Elementen (zum Beispiel Sauerstoff-Radikalen oder Hydroxy-Gruppen), die auf der Oberfläche des Substrates 101 gebildet werden.
  • Da die Vorstufen des Metalls und/oder des Halbleiters ML auf die reaktionshemmenden funktionellen Gruppen -X physisorbiert werden, wird eine Möglichkeit reduziert, dass eine zweite Schicht durch Physisorption der Vorstufen des Metalls und/oder des Halbleiters ML auf der ersten Schicht gebildet wird, die durch Physisorption der Vorstufen des Metalls und/oder des Halbleiters ML gebildet wird.
  • Aufgrund sehr schwacher Bindungsenergie können die Vorstufen des Metalls und/oder des Halbleiters ML, die als zweite Schicht physisorbiert werden, durch den Einsatz eines Edelgases wie zum Beispiel Helium (He), Neon (Ne), Argon (Ar) oder Nitrogen (N2) abgeführt werden.
  • Ebenso können Reste der Vorstufen des Metalls und/oder des Halbleiters ML, die noch nicht absorbiert wurden, abgeführt werden, um an der Außenseite von jeder der Reaktionskammern 12 abgeführt zu werden. Eine ungewollte Reaktion zwischen dem Rest der Vorstufen des Metalls und/oder des Halbleiters ML und anderen Gasen, die im Weiteren in die Reaktionskammern 12 zugeführt werden, kann verhindert werden oder durch Abführen erheblich reduziert werden.
  • In Bezug auf die 1 und 3C werden in Arbeitsablauf S13 die Vorstufen des Metalls und/oder Halbleiters ML oxidiert, um eine Schicht eines Metalloxids und/oder eines Halbleiteroxids MO zu erhalten. Der Arbeitsablauf S13, indem die Vorstufen des Metalls und/oder des Halbleiters ML oxidiert werden, kann den Arbeitsablauf S131, in dem ein drittes Reaktionsgas mit einem Oxidationsmittel auf die Vorstufen des Metalls und/oder des Halbleiters ML zugeführt werden, den Arbeitsablauf S132, indem eine Schicht von Metalloxid und/oder eine Halbleiteroxidschicht MO durch eine Reaktion der Vorstufen des Metalls und/oder des Halbleiters ML mit dem Oxidationsmittel gebildet wird und den Arbeitsablauf S133, indem das dritte Reaktionsgas mit dem Oxidationsmittel abgeführt wird, sowie in 6 veranschaulicht, enthalten.
  • Um die Vorstufen des Metalls und/oder des Halbleiters ML zu oxidieren, kann ein Oxidationsmittel auf die Oberfläche aufgebracht werden, auf der die Vorstufen des Metalls und/oder des Halbleiters ML absorbiert werden. Das Oxidationsmittel kann zum Beispiel Ozon (O3), Sauerstoff (O2), Wasser (H2O), Wasserstoffperoxid (H2O2) oder Lachgas (N2O) sein. Aufgrund des Oxidierens mit einem Oxidationsmittel kann die Schicht des Metalloxids und/oder des Halbleiteroxids MO gebildet werden.
  • Falls nötig kann eine Oberfläche der Schicht des Metalloxids und/oder des Halbleiteroxids MO reaktionsaktivierende Elemente -R aufweisen. Beispiele der reaktionsaktivierenden Elemente -R können zum Beispiel Sauerstoff, Sauerstoff-Radikale und/oder Hydroxy-Gruppen (-OH) umfassen. Wenn zum Beispiel Ozon oder Sauerstoff als das Oxidationsmittel verwendet wird, können die reaktionsaktivierenden Elemente -R Sauerstoff oder Sauerstoff-Radikale sein. Ferner können die reaktionsaktivierenden Elemente -R Sauerstoff, Sauerstoff-Radikale oder Hydroxy-Gruppen (-OH) sein, wenn Wasser oder Wasserstoffperoxid als das Oxidationsmittel verwendet wird.
  • Um die Vorstufen des Metalls und/oder des Halbleiters ML zu oxidieren, kann das Oxidationsmittel in Form eines Gases oder Plasmas bereitgestellt werden.
  • Wahlweise können die reaktionshemmenden funktionellen Gruppen -X, auf denen die Vorstufen des Metalls und/oder des Halbleiters ML adsorbiert werden, entfernt werden, wenn die Vorstufen des Metalls und/oder des Halbleiters ML oxidiert werden.
  • Da die Vorstufen des Metalls und/oder des Halbleiters ML auf der Oberfläche des Substrates 101 mit einer hohen Stärkengleichmäßigkeit gebildet werden und mit dem in Bezug auf 3B beschriebenen Oxidationsmittel oxidiert werden, kann das Metalloxid und/oder das Halbleiteroxid MO mit einer starken Stärkengleichmäßigkeit erreicht werden.
  • Gemäß einiger Ausführungsformen der erfinderischen Idee kann das Oxidationsmittel eine anorganische Verbindung ohne Kohlenstoff sein.
  • Dementsprechend kann die Menge an in dem Metalloxid und/oder dem Halbleiteroxid MO enthaltenen Kohlenstoff minimiert sein und somit können die physikalischen Eigenschaften und die Zuverlässigkeit des Metalloxid und/oder des Halbleiteroxids MO verbessert werden.
  • Nachdem die Vorstufen des Metalls und/oder des Halbeiters ML oxidiert sind, kann ein Rest des Oxidationsmittels aus jeder der Reaktionskammern 12 abgeführt werden. Eine ungewünschte Reaktion zwischen dem verbleibenden Teil des Oxidationsmittels und anderen Gasen, die im Weiteren in die Reaktionskammern 12 gebracht werden, kann verhindert oder durch das Abführen erheblich reduziert werden.
  • Der Arbeitsablauf S14t wird Bezug nehmend wiederum auf 1 durchgeführt, um festzustellen, ob eine Oxidschicht 110 gebildet worden ist. Die Bildung einer Oxidschicht 110 kann durch die Untersuchung von Einflussfaktoren, zum Beispiel eines Materials der Oxidschicht 110, einer Stärke der Oxidschicht 110 und einer dielektrischen Konstante der Oxidschicht 110 festgestellt werden.
  • Falls die Oxidschicht 110 zusätzlich ausgebildet werden muss, können die Operationsschritte S11 bis S13 ferner einmal oder mehrmals durchgeführt werden. Falls festgestellt wird, dass die Oxidschicht 110 gebildet worden ist und nicht zusätzlich gebildet werden muss, kann ein Vorgang zum Bilden der Oxidschicht 110 beendet werden.
  • Bezug nehmend auf die 1 und 3D wird der Arbeitsablauf S11 wiederholt durchgeführt, wenn in Arbeitsablauf S14 festgestellt wird, dass die Oxidschicht 110 zusätzlich gebildet werden muss.
  • Demgemäß können die reaktionshemmenden funktionellen Gruppen -X auf dem Metalloxid und/oder dem Halbleiteroxid MO gebildet werden. Nach dem Bilden der reaktionshemmenden funktionellen Gruppen -X, können die verbleibenden Teile des ersten Reaktionsgases aus jeder der Reaktionskammern 12 abgeführt werden.
  • Bezug nehmend auf die 1 bis 3E wird der Arbeitsablauf S12 derart durchgeführt, dass die Vorstufen des Metalls und/oder des Halbleiters ML auf den reaktionshemmenden funktionellen Gruppen-X physisorbiert werden. So wie Bezug nehmend auf 3C beschrieben wurde, können die Vorstufen des Metalls und/oder des Halbleiters ML als eine Monoschicht oder Mehrfachschichten auf die Schicht der reaktionshemmenden funktionellen Gruppen -X physisorbiert werden. Wenn die Vorstufen des Metalls und/oder des Halbleiters ML aus einer Mehrzahl von Schichten aufgebaut werden, wird eine direkt auf den reaktionshemmenden funktionellen Gruppen -X absorbierte Schicht physisorbiert, um eine verhältnismäßig schwache Bindungsenergie zu erreichen. Währenddessen weist eine zweite Schicht der Vorstufen des Metalls und/oder des Halbleiters ML, die zusätzlich auf eine erste Schicht der Vorstufen des Metalls und/oder des Halbleiters ML physisorbiert wird, eine schwächere Bindungsenergie mit der ersten Schicht auf.
  • Wenn keine reaktionshemmenden funktionellen Gruppen -X vorhanden sind, kann die erste Schicht auf die reaktionshemmenden funktionellen Elemente -R chemiesortiert werden. In diesem Fall können die zweite Schicht und weitere Schichten physisorbiert werden, um eine höhere Bindungsenergie aufzuweisen als in dem Fall, indem die reaktionshemmenden funktionellen Gruppen -X existieren und dadurch eine Stärkengleichmäßigkeit reduzieren.
  • Ebenso kann eine Geschwindigkeit, mit der die Vorstufen des Metalls und/oder des Halbleiters ML physisorbiert werden, geringer sein als eine Geschwindigkeit, mit der die Vorstufen des Metalls und/oder Halbleiters ML in einen Gegenstand diffundieren. In diesem Fall können die Vorstufen des Metalls und/oder des Halbleiters ML in den Gegenstand oder in eine Anordnung mit einem hohen Aspektverhältnis diffundiert werden, bevor die Vorstufen des Metalls und/oder des Halbleiters ML erheblich physisorbiert werden. Dementsprechend kann eine Schicht der Vorstufen des Metalls und/oder des Halbleiters ML mit einer hohen Stärkengleichmäßigkeit gebildet werden. Jedoch ist die erfinderische Idee nicht durch eine bestimmte Theorie beschränkt.
  • Die Vorstufen des Metalls und/oder des Halbleiters ML, die in 3E physisorbiert werden, können aus demselben Material oder einem anderen Material wie das der Vorstufen des Metalls und/oder des Halbleiters ML, die in 3B physisorbiert werden, gebildet werden.
  • D. h., dass sich die Vorstufen des Metalls und/oder des Halbleiters ML, die in Zyklen bestehend aus den Arbeitsabläufen S11 bis S13 verwendet werden, von einander unterscheiden. Ersatzweise kann eine Art von Vorstufen eines Metalls oder/und eines Halbleiters in vorgegebenen Zyklen verwendet werden und dann eine andere Art von Vorstufen eines Metalls und/oder eines Halbleiters in darauf folgenden Zyklen verwendet werden.
  • Wahlweise kann ein Oxid eines ersten Materials gebildet werden und ein Oxid eines zweiten Materials kann auf dem Oxid des ersten Materials geschichtet werden. Insbesondere können das Oxid des ersten Materials und das Oxid des zweiten Materials abwechselnd wiederholt geschichtet werden. Um das Oxid des ersten Materials zu bilden, kann ein die Arbeitsabläufe S11 bis S13 aufweisender Zyklus einmalig oder mehrmals durch den Einsatz von Vorstufen eines ersten Metalls und/oder Vorstufen eines ersten Halbleiters durchlaufen werden. Als Nächstes kann ein die Arbeitsabläufe S11 bis S13 aufweisender Zyklus einmal oder mehrmals durch die Verwendung von Vorstufen eines zweiten Metalls und Vorstufen eines zweiten Halbleiters durchlaufen werden, um das Oxid des zweiten Materials zu Bilden.
  • In diesem Fall kann in jedem Zyklus eine Bindungskraft zwischen den reaktionsaktivierenden Elementen -R und einem Metall oder einem Halbleiter, der ein Teil des unter den reaktionsaktivierenden Elementen -R angeordneten Substrates 101 geworden ist, abhängig von einer Art des Metalls oder des Halbleiters variieren. Das erste Metall kann derart ausgewählt werden, das eine Bindungskraft zwischen dem ersten Metall und den reaktionsaktivierenden Elementen -R schwächer ist als eine Bindungskraft zwischen einem Metall aus der dritten Periode und den reaktionsaktivierenden Elementen -R. Das Metall aus der dritten Periode kann zum Beispiel Aluminium (Al) sein. Ersatzweise kann das erste Metall derart ausgewählt werden, dass eine Bindungskraft zwischen dem ersten Metall und den reaktionsaktivierenden Elementen -R schwächer ist als eine Bindungskraft zwischen einem Halbleiter -R aus der dritten Periode und den reaktionsaktivierenden Elementen -R. Der Halbleiter aus der dritten Periode kann Silizium sein.
  • Das erste Metall kann zum Beispiel mindestens eines aus Titan (Ti), Zirkon (Zr), Hafnium (Hf), Vanadium (V), Tantal (Ta), Niob (Nb), Scandium (Sc), Yttrium (Y), Lutetium (Lu), Kalzium (Ca), Strontium (Sr), Barium (Ba), Lanthan (La), Cer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm), Europium (Eu), Gadolinium (Gd), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), Erbium (Er), Thulium (Tm) oder Ytterbium (Yb) sein.
  • Wenngleich nicht durch eine bestimmte Theorie beschränkt, kann eine Schicht der reaktionshemmenden funktionellen Gruppe -X über eine Gesamtoberfläche gebildet werden, wenn das erste Metall auf diese Weise ausgewählt wird, da eine Bindungskraft zwischen dem ersten Metall und den reaktionsaktivierenden Elementen -R etwas gering ist. Infolgedessen kann verhindert werden, dass die Vorstufen eines Metalls und/oder eines Halbleiters chemiesorbiert werden, da die reaktionshemmenden funktionellen Gruppen -X im Wesentlichen über der Gesamtoberfläche gebildet werden. Somit kann das Oxid des zweiten Materials mit einer hohen Stärkengleichmäßigkeit trotzdem beibehalten werden, selbst wenn ein Oxid eines zweiten auf den Vorstufen des Metalls und/oder des Halbleiters gebildeten Materials ein Siliziumoxid und/oder ein Aluminiumoxid ist.
  • Gegebenenfalls kann, falls das Oxid des zweiten auf dem Oxid des ersten Material gebildeten Materials ein Siliziumoxid oder ein Aluminiumoxid ist, ein die Arbeitsabläufe S11 bis S13 aufweisender Zyklus nur einmal durchlaufen werden, um das Oxid des zweiten Materials zu bilden und danach kann ein Zyklus zum Bilden des Oxids eines ersten Materials durchlaufen werden.
  • Ersatzweise kann ein die Arbeitsabläufe S11 bis S13 aufweisender Zyklus einmalig oder mehrmals durchlaufen werden, um eine Monoschicht des Siliziumsoxids oder des Aluminiumoxids zu bilden und danach kann im Weiteren ein Zyklus zum Bilden des Oxids des ersten Materials durchlaufen werden, wenn das Oxid des zweiten auf dem Oxid des ersten Materials gebildeten Material ein Siliziumoxid oder ein Aluminiumoxid ist.
  • Bezug nehmend auf die 1 und 3F wird der Arbeitsablauf S13 durchgeführt. Demgemäß kann eine Schicht eines Metalloxids und/oder eines Halbleiteroxids durch Oxidieren der Vorstufen des Metalls und/oder des Halbleiters ML erhalten werden. Als Nächstes kann in Arbeitsablauf S14 festgestellt werden, ob die Oxidationsschicht 112 wie gewünscht gebildet worden ist. Falls es nötig ist die Oxidschicht 112 zusätzlich auszubilden, können die Arbeitsabläufe S11 bis S13 einmalig oder mehrmals durchgeführt werden. Falls festgestellt werden kann, dass die Oxidschicht 110 gebildet worden ist und die Oxidschicht 110 nicht zusätzlich weitergebildet werden muss, kann ein Vorgang zum Bilden der Oxidschicht 110 enden.
  • Da eine Monoschicht eines Oxids mit einer hohen Stärkengleichmäßigkeit wie oben beschrieben in jedem Zyklus erhalten wird, sogar wenn ein die Arbeitsabläufe S11 bis S13 aufweisender Zyklus wiederholt einige 100 mal durchgeführt wird, hat die zum Schluss erhaltene Oxidschicht 110 eine hohe Stärkengleichmäßigkeit.
  • Ein Verfahren zur Herstellung einer Halbleitervorrichtung wird im Folgenden beschrieben. 7 ist ein Ablaufdiagramm, das ein Verfahren zum Bilden einer Halbleitervorrichtung gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht. 8A bis 8H sind Seitenschnittansichten zur Erkläuterung des Verfahrens von 7.
  • Bezug nehmend auf die 7 und 8A können in Arbeitsablauf S20 eine Zwischenisolationsschicht 211, Kontaktstecker 212 und eine Etch-Stop-Schicht 213 auf einem Substrat 210 gebildet werden und es kann eine erste Auftragschicht 214 zum Bilden eines Kondensators auf der Etch-Stop-Schicht 213 gebildet werden. Eine Trägerschicht 232L kann eine Stärke von ungefähr 10 nm bis ungefähr 500 nm aufweisen. Eine Strukturmaske 240 kann auf der Trägerschicht 232L gebildet werden, um auf die Trägerschicht 232L eine Struktur aufzubringen. Die Strukturmaske 240 kann gebildet werden, um einer zu erzeugenden Trägerstruktur zu entsprechen. Die Strukturmaske 240 kann zum Beispiel eine photoresistente Struktur sein.
  • Das Substrat 210 kann zum Beispiel ein Silizium-Substrat, ein Germanium-Substrat oder ein Silizium-Germanium-Substrat sein. Jedoch ist das Substrat 210 nicht darauf beschränkt und es kann jedes der in Bezug auf 3A beschriebenen Substrate sein.
  • Die Isolations-Zwischenschicht 211 kann ein dielektrisches Material aufweisen. Die Isolations-Zwischenschicht 211 kann zum Beispiel ein Oxid, ein Nitrid und/oder ein Oxynitrid aufweisen. Die Isolations-Zwischenschicht 211 kann aus einer Einzelschicht oder einem Stapel von zwei oder mehreren Schichten bestehen. Ebenso kann die Zwischen-Isolationsschicht 211 auch ein mit Kohlenstoff dotiertes Oxid sein. Die durch die Isolations-Zwischenschicht 211 durchdringenden Kontaktstecker 212 können auf dem Substrat 210 gebildet werden. Die Kontaktstecker 212 können mindestens aus einem ausgewählt aus einer Gruppe bestehend aus einem Halbleitermaterial wie zum Beispiel dotiertem Poly-Silizium; einem Metall wie zum Beispiel Wolfram (W), Titan (Ti), Tantal (Ta), Kupfer (Cu) oder Aluminium (Al); einem Metall-Nitrid wie zum Beispiel Wolfram-Nitrid (WN), Titan-Nitrid (TiN) oder Tantal-Nitrid (TaN); einem Metall-Silizium-Nitrid wie zum Beispiel Titan-Silizium-Nitrid (TiSiN) oder Wolfram-Silizium-Nitrid (WSiN); und einem Metall-Silizid wie zum Beispiel Wolfram-Silizid (WSi) bestehen.
  • Obwohl nicht in 8A abgebildet, kann eine Mehrzahl von Wortleitungen und eine Mehrzahl von Bitleitungen, die sich kreuzen, auf dem Substrat 210 gebildet werden und können durch die Isolations-Zwischenschicht 211 bedeckt werden. Dotierte Bereiche können in dem Substrat 210 auf beiden Seiten von jeder der Wortleitungen gebildet werden und jeder der Kontaktstecker 212 kann mit einem der dotierten Bereiche verbunden werden. Ebenso können die Kontaktstecker 212 elektrisch mit einer entsprechenden Schaltvorrichtung verbunden werden. Die Schaltvorrichtung kann ein aktives Bauelement wie zum Beispiel ein Transistor sein. Ebenso kann eine Diode als Schaltvorrichtung verwendet werden. (Einige Leute betrachten eine Diode als ein passives Bauelement).
  • In dem Arbeitsablauf S20 kann die erste Auftragschicht 214 auf der Etch-Stop-Schicht 213 gebildet werden. Die erste Auftragschicht 214 kann zum Beispiel mindestens eine von einer Oxidschicht, einer Nitridschicht und einer Oxynitridschicht aufweisen.
  • Obwohl nicht in 8A abgebildet, kann eine Pufferschicht (nicht abgebildet) ferner zwischen der ersten Auftragschicht 214 und der Etch-Stop-Schicht 213 gebildet werden. Die Pufferschicht kann mindestens eine von einer Oxidschicht oder einer Nitridschicht aufweisen.
  • Die Trägerschicht 232L kann aus einem Material mit einer Ätz-Selektivität in Bezug auf die erste Auftragschicht 214 gebildet werden. Wenn zum Beispiel Limulus Amebocyt-Lysat (LAL)-Lift-Off verwendet wird, um vollständig oder teilweise die erste Auftragschicht 214 zu entfernen, kann die Trägerschicht 232L aus einem Material mit einer geringen Ätzgeschwindigkeit während des LAL-Lift-Offs und mit dielektrischen Eigenschaften gebildet werden.
  • Wenn die erste Auftragschicht 214 aus mindestens einem Material ausgewählt aus der Gruppe bestehend aus SiO2, SiGe, Si und einem Kohlenstoff-basierten Material gebildet wird, kann die Trägerschicht 232L aus irgendeinem von zum Beispiel der Gruppe bestehend aus SiN, SiCN, TaO und TiO2 gebildet werden.
  • Bezug nehmend auf 8B kann eine Trägerschichtstruktur 232P durch das Durchführen eines anisotropen Ätzenverfahrens auf einem freigelegten Bereich der Trägerschicht 232L durch die Verwendung der Strukturmaske 240 als eine Ätzmaske gebildet werden. Aufgrund des anisotropen Ätzenverfahrens kann ein Teil der ersten Auftragschicht 214 durch die Trägerschichtstruktur 232P frei gelegt werden.
  • Bezug nehmend auf 8C wird eine zweite Auftragschicht 215 auf der ersten Auftragschicht 214 und der Trägerschichtstruktur 232P gebildet. Die zweite Auftragschicht 215 kann aus ähnlichem oder dem gleichen Material wie das der ersten Auftragschicht 214 gebildet sein. Ersatzweise kann ein Material der zweiten Auftragschicht 215 derart festgelegt werden, dass eine Ätzgeschwindigkeit der zweiten Auftragschicht 215 während des LAL-Lift-Offs 10% oder wenig niederer als eine Ätzgeschwindigkeit der ersten Auftragschicht 214 während des LAL-Lift-Offs ist, wenn die erste Auftragschicht 214 und die zweite Auftragschicht 215 zum Beispiel durch die Verwendung des LAL-Lift-Off-Verfahrens entfernt werden. Die zweite Auftragschicht 215 kann mit einer Stärke ausgebildet sein, die stark genug ist, um die Trägerschichtstruktur 232P abzudecken. Die zweite Auftragschicht 215 kann zum Beispiel mit einer Stärke von mindestens 50 nm erzeugt werden. Ebenso kann eine Stärkensumme der ersten Auftragschicht 214 und der zweiten Auftragschicht 215 in einem Bereich von ungefähr 1000 Å bis ungefähr 4000 Å liegen.
  • Bezug nehmend auf die 7 und 8D werden in Arbeitsablauf S21 eine Mehrzahl von Öffnungen H an den Stellen gebildet, an denen die ersten Elektroden mit zylindrischen Formen durch Ätzen der zweiten Auftragschicht 215, der Trägerschichtstruktur 232P, der ersten Auftragschicht 214 und der Ätz-Stop-Schicht 213 gebildet werden, bis die Kontaktstecker 212 freigelegt sind. Eine Öffnung H kann zum Beispiel mindestens mit einer anderen Öffnung H über die Trägerstruktur 232 verbunden sein.
  • Die Öffnungen H können hierdurch Oberseiten der Kontaktstecker 212 freilegen. Die Öffnungen H können durch Bilden einer Maskenstruktur zur Festlegung der Öffnungen H auf der zweiten Auftragschicht 215 und durch Ätzen der ersten Auftragschicht 214, der zweiten Auftragsschicht 215 und der Trägerschichtstruktur 232P unter Verwendung der Maskenstruktur als eine Ätzmaske gebildet werden. Die Öffnungen H können derart gebildet werden, dass sie eine Lochförmige Gestalt aufweisen.
  • Bezug nehmend auf 7 und 8E wird in Arbeitsablauf S22 ein leitfähiges Material über die resultierende Struktur des Substrates 210 aufgebracht, zum Beispiel auf die Innenseiten der Öffnungen H und auf die zweite Auftragschicht 215 und danach werden eine Mehrzahl von ersten Elektroden 220 durch Teilen des leitfähigen Materials der Innenseiten der Öffnungen H gebildet. Die ersten Elektroden 220 können durch angemessenes Bilden eines leitfähigen Materials, Bilden einer Einbettungsschicht (nicht dargestellt) auf im Wesentlichen der gesamten Oberfläche der erzeugten Struktur des Substrates 110 gebildet werden, um die Öffnungen H zu bedecken, und durch Durchführen einer Einebnung, um die Einbettungsschicht und das leitfähige Material zu beseitigen bis die zweite Auftragschicht 215 durch die Verwendung von Rückätzen und/oder chemisch-mechanischen Polieren (CMP) freigelegt wird. Die ersten Elektroden 220 können mit den Kontaktsteckern 212 elektrisch verbunden werden. Von den ersten Elektroden 220 kann jede einen flachen Bereich aufweisen, der mit jedem der Kontaktstecker 212 verbunden ist, und Seitenwandbereiche aufweisen, die sich senkrecht von den Ecken des flachen Bereich erstreckt. Dementsprechend kann jede der ersten Elektroden 220 einen Leerraum aufweisen, der durch den flachen Bereich und die Seitenwandbereiche gebildet wird. Die ersten Elektroden 220 können zum Beispiel Elektroden mit zylindrischer Form sein.
  • Die ersten Elektroden 220 können aus einem Halbleitermaterial wie zum Beispiel dotiertem Polysilizium; einem Metall wie zum Beispiel Ruthenium (Ru), Iridium (Ir), Titan (Ti) und/oder Tantal (Ta), einer leitfähigen Metall-Nitrid-Schicht wie zum Beispiel Titan-Nitrid (TiN), Tantal-Nitrid (TaN) oder Wolfram-Nitrid (WN), einem leitfähigen Metalloxid wie zum Beispiel Iridiumoxid (IrO); und/oder einer Kombination davon gebildet werden. Die ersten Elektroden 220 können als Monoschicht oder als Stapel von zwei oder mehreren Schichten aufgebaut sein.
  • Das leitfähige Material auf der zweiten Auftragschicht 215 kann durch die Verwendung von CMP entfernt werden und die Einbettungsschicht auf der zweiten Auftragschicht 215 kann durch die Verwendung von Rück-Ätzen entfernt werden. Die Einbettungsschicht kann aus demselben Material wie das der ersten Auftragschicht 214 und/oder der zweiten Auftragschicht 215, oder einem Material mit einer ähnlichen Ätzgeschwindigkeit wie die ersten Auftragschicht 214 und/oder der zweiten Auftragschicht 215 gebildet werden. Die Auftragschicht kann zum Beispiel eine Oxidschicht sein. Bezug nehmend auf die 7 und 8F geht das Verfahren mit Arbeitsablauf S23 weiter, nachdem die ersten Elektroden 220 gebildet wurden. In Arbeitsablauf S23 werden die erste Auftragschicht 214 und die zweite Auftragschicht 215 entfernt. Ebenso kann die Einbettungsschicht zusammen mit oder getrennt von der ersten Auftragschicht 214 und der zweiten Auftragschicht 215 entfernt werden. Die erste Auftragschicht 214, die zweite Auftragschicht 215 und die Einbettungsschicht können zum Beispiel durch die Verwendung von Lift-Off mit einer Flursäure oder ein LAL mit Ammonium-Fluorid (NH4F), Fluorwasserstoffsäure (HF) und Wasser entfernt werden. Dementsprechend kann ein Material der Trägerschichtstruktur 232P derart festgelegt werden, dass die Trägerschichtstruktur 232P eine Ätzgeschwindigkeit aufweist, die langsamer ja ist als jede Ätzgeschwindigkeit der ersten Auftragschicht 214 und der zweiten Auftragschicht 215 während der Verwendung des wie oben beschriebenen Lift-Offs mit LAL. Mindestens einige der ersten Elektroden 220 können durch die Trägerstruktur 232 abgestützt werden. In 8F ist die Trägerstruktur 232 in einer niedrigeren Höhe als die der zylindrischen Struktur gebildet. Anders ausgedrückt ist die Trägerstruktur 232 niederer angebracht als ein Endstück jeder der ersten Elektroden 220 mit zylindrischer Form.
  • Jedoch kann, obwohl nicht veranschaulicht, die Trägerstruktur 232 auf der gleichen Höhe wie das Endstück von jeder der ersten Elektroden 220 gebildet werden.
  • Bezug nehmend auf die 7 und 8G geht das Verfahren bei Arbeitsablauf S24 weiter, nachdem die erste Auftragschicht 214, die zweite Auftragschicht 215 und die Einbettungsschicht entfernt wurden. In Arbeitsablauf S24 wird eine dielektrische Kondensatorschicht 222 gleichmäßig auf die ersten Elektroden 220 aufgetragen. Die dielektrische Kondensatorschicht 222 kann ein Oxid, zum Beispiel ein Metalloxid und/oder einen Halbleiteroxid aufweisen, das zum Beispiel durch das in Bezug auf 1 und die 3A bis 3F beschriebenen Verfahren gebildet wird. Ein Herstellungsverfahren einer dielektrischen Kondensatorschicht 222 wird im Folgenden im Detail beschrieben.
  • Zuerst wird das Substrat 210, auf dem die ersten Elektroden 220 gebildet worden sind, in die Reaktionskammer gebracht. Um zum Beispiel die dielektrische Kondensatorschicht 222 mit zum Beispiel dem Metalloxid und/oder dem Halbleiteroxid auf der Oberfläche der ersten Elektroden 220 zu bilden, kann eine Schicht aus reaktionsaktivierenden Elementen auf einer Oberfläche des Substrates 210 gebildet werden. Als Nächstes kann eine Oxidschicht des ersten Materials auf der Schicht der reaktionsaktivierenden Elemente aufgebracht werden.
  • Um die Oxidschicht des ersten Materials zu Bilden, kann eine Schicht von Vorstufen des ersten Materials gebildet werden und danach kann die Schicht der Vorstufen des ersten Materials oxidiert werden. Das erste Material kann ein erstes Metall oder ein Halbleiter sein. Das erste Metall kann mindestens eines ausgewählt aus der Gruppe bestehend aus Gruppe 2 bis Gruppe 5 Metallen aus der vierten bis sechsten Periode und Lanthanid-Metallen sein. Das erste Metall kann zum Beispiel eines ausgewählt aus einer Gruppe bestehend aus Titan (Ti), Zirkon (Zr), Hafnium (Hf), Vanadium (V), Tantal (Ta), Niob (Nb), Scandium (Sc), Yttrium (Y), Lutetium (Lu), Kalzium (Ca), Strontium (Sr), Barium (Ba), Lanthan (La), Cer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm), Europium (Eu), Gadolinium (Gd), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), Erbium (Er), Thulium (Tm) und Ytterbium (Yb) sein. Die Vorstufen des Metalls sind weiter oben beschrieben worden und daher wird auf eine detaillierte Erläuterung hiervon verzichtet.
  • Ebenso kann eine Oberfläche, die durch Oxidieren der Schicht der Vorstufen des ersten Materials erhalten wird, Sauerstoff, Sauerstoff-Radikale oder Hyroxy-Gruppen aufweisen, die wiederum als reaktionsaktivierende Elemente agieren können.
  • Wahlweise kann ein Zyklus mit einem Arbeitsablauf des Bildens der Schicht von Vorstufen des ersten Materials und ein Arbeitsablauf des Oxidierens der Schicht von Vorstufen des ersten Materials zweimal oder mehrmals wiederholt durchgeführt werden, um die Oxidschicht des ersten Materials zu bilden. Der Zyklus kann wiederholt durchgeführt werden, bis die Oxidschicht des ersten Materials mit einer gewünschten Stärke erhalten wird. Ebenso kann ein Arbeitsablauf zum Bilden der Schicht von reaktionshemmenden funktionellen Gruppen auf dem Oxid des ersten Materials einmal oder mehrmals durchgeführt werden, wenn die Oxidschicht des ersten Materials gebildet wurde. In diesem Fall können die reaktionshemmenden funktionellen Gruppen in einigen Zyklen verwendet werden und die reaktionshemmenden funktionellen Gruppen können nicht in anderen Zyklen gewendet werden. Ersatzweise kann der Zyklus zum Bilden einer Oxidschicht auf dem ersten Material ferner einen Arbeitsablauf zum Bilden der Schicht von reaktionshemmenden funktionellen Gruppen vor einem Arbeitsablauf zum Bilden der Schicht der Vorstufen des ersten Materials aufweisen. In diesem Fall weist jeder Zyklus einen Arbeitsablauf zum Bilden der Schicht der reaktionshemmenden funktionellen Gruppen auf.
  • Durch die Verwendung des Verfahrens kann eine Oxidschicht des zweiten Materials auf der Oxidschicht des ersten Materials gebildet werden, nachdem die Oxidschicht des ersten Materials gebildet wurde. Insbesondere kann ein Arbeitsablauf zum Bilden der Oxidschicht des zweiten Materials ein Arbeitsablauf zum Bilden einer Schicht von reaktionshemmenden funktionellen Gruppen auf der Oxidschicht des ersten Materials; einen Arbeitsablauf zum Bilden einer Schicht von Vorstufen des zweiten Materials auf der Schicht der reaktionshemmenden funktionellen Gruppen; und eine Arbeitsablauf zum Oxidieren der Schicht von Vorstufen des zweiten Materials aufweisen.
  • Das zweite Material kann ein zweites Metall oder ein Halbleiter sein. Das zweite Material kann jedes Metall der dritten Periode, zum Beispiel Aluminium (Al) sein. Wenn das zweite Material ein Halbleiter ist, kann der Halbleiter aus Silizium sein.
  • Die Oxidschicht des zweiten Materials kann als eine Monoschicht gebildet werden. Wahlweise kann ein Zyklus mit dem Arbeitsablauf zum Bilden der Schicht der reaktionshemmenden funktionellen Gruppen, dem Arbeitsablauf zum Bilden einer Schicht der Vorstufen des zweiten Materials und dem Arbeitsablauf zum Oxidieren der Schicht der Vorstufen des zweiten Materials, um die Oxidschicht des zweiten Materials zu bilden, nur einmal durchgeführt werden, bevor eine andere Oxidschicht darauf gebildet wird.
  • Der Arbeitsablauf zum Bilden der Oxidschicht des ersten Materials und der Arbeitsablauf zum Bilden der Oxidschicht des zweiten Materials können abwechselnd wiederholt durchgeführt werden. Dazu kann eine durch das Oxidieren der Schicht der Vorstufen des zweiten Materials erhaltene Oberfläche mit Sauerstoff-Radikalen enden. Die Sauerstoff-Radikale können als reaktionsaktivierende Elemente so wie oben beschrieben agieren.
  • Bei jedem Zyklus zum Bilden der Oxidschicht des ersten Materials muss das erste verwendete Material nicht aus einem einzigen Element sein. Unterschiedliche Materialien aus einer Mehrzahl von weiter oben beschriebenen ersten Materialien können in den Zyklen verwendet werden. D. h., dass Zirkon als das erste Material in einem Zyklus verwendet werden kann und Hafnium als erstes Material in einem anderen Zyklus verwendet werden kann.
  • Da die physikalischen Eigenschaften einer Oxidschicht stark abhängig von den Arten und Dichten des ersten Materials und des zweiten Materials variieren, können die Arten des ersten Materials und des zweiten Materials angemessen abhängig von der gewünschten Verwendung der Oxidschicht festgelegt werden.
  • Die Oxidschicht kann zum Beispiel Zirkon-Hafnium-Silikat (ZrHf(SiO2)) aufweisen.
  • Bezug nehmend auf die 7 und 8H wird in Arbeitsablauf S25 eine zweite Elektrode 224 auf der dielektrischen Kondensatorschicht 222 aufgebracht und dadurch ein Kondensator vollendet. Die zweite Elektrode 224 kann zum Beispiel aus einem Halbleitermaterial wie zum Beispiel dotiertem Polysilizium; einem Metall wie zum Beispiel Ruthenium (Ru), Iridium (Ir), Titan (Ti), und/oder Tantal (Ta); einer leitfähigen Metallnitrid-Schicht wie zum Beispiel Titannitrid (TiN), Tantalnitrid (TaN) oder Wolframnitrid (WN); einem leitfähigen Metalloxid wie zum Beispiel Iridiumoxid (IrO); und/oder aus einer Kombination davon gebildet sein. Die zweite Elektrode 224 kann zum Beispiel als Monoschicht oder aus einem Stapel bestehend aus zwei oder mehreren Schichten aufgebaut sein.
  • Ein Aspektverhältnis AR jeder der ersten Elektroden 220 kann durch die Gleichung 1 angegeben werden.
  • Figure 00370001
  • wobei a ein Innendurchmesser jeder der ersten Elektroden 220, b ein Abstand zwischen den ersten Elektroden 220, c eine vertikale Höhe einer Außenoberfläche jeder der ersten Elektroden 220 und min(a, b) einen Minimalwert aus Innendurchmesser a und Abstand b darstellt.
  • Das Aspektverhältnis AR jeder der ersten Elektroden 220 kann gleich oder größer als der Wert 20 oder 30 sein. Eine Stärke der auf jeder der ersten Elektroden 220 gebildeten dielektrischen Kondensatorschicht 222 kann auf die Gesamtoberfläche der ersten Elektroden 220 bezogen nicht vollständig gleichmäßig sein. Ein Verhältnis einer Minimalstärke der dielektrischen Kondensatorschicht 222 zu einer Maximalstärke der dielektrischen Kondensatorschicht 222, die auf der Oberfläche jeder der ersten Elektroden 220 gebildet wird, kann gleich oder größer als ungefähr 0,85, 0,9 oder 0,95 sein.
  • Die 9A bis 9C sind teilvergrößerte Ansichten, die einen oberen Endbereich A, einen oberen Bereich B und einen unteren Bereich C der 8H veranschaulichen. 9A veranschaulicht den oberen Endbereich A aus 8H, 9B veranschaulicht den oberen Bereich B aus 8H und 9C veranschaulicht den unteren Bereich C aus 8H. Eine Stärke der dielektrischen Kondensatorschicht 222 kann abhängig vom Ort variieren und ein kristalliner Zustand der dielektrischen Kondensatorschicht 222 kann ebenso abhängig vom Ort variieren.
  • Eine Stärke der dielektrischen Kondensatorschicht 222 kann an einer Stelle nahe des oberen Endbereichs A (siehe 9A) eine Maximalstärke aufweisen. In diesem Fall kann eine Stärke der dielektrischen Kondensatorschicht 222 hin zu dem Kontaktstecker 212 abnehmen. Unterdessen kann, so wie es in 9C dargestellt ist, die dielektrische Kondensatorschicht 222 im Bereich der Kanten des unteren Bereichs C eine Minimalstärke aufweisen und eine Stärke der dielektrischen Kondensatorschicht 222 kann an einer Stelle nahe des unteren Bereichs C hin zur Mitte des oberen Bereichs C zunehmen. Im Bereich der Kanten kann in jeder einer horizontalen Richtung, einer senkrechten Richtung und anderen Richtungen, ein kleinster Abstand zwischen jeder der ersten Elektroden 220 und einer Oberfläche der dielektrischen Kondensatorschicht 222 als eine Stärke der dielektrischen Kondensatorschicht 222 aufgefasst werden. Ebenso kann ein Verhältnis einer Minimalstärke der dielektrischen Kondensatorschicht 222 zu einer Maximalstärke der dielektrischen Kondensatorschicht 222 durch die Verwendung der weiter oben erhaltenen Stärke berechnet werden.
  • Ein kristalliner Zustand der dielektrischen Kondensatorschicht 222 kann im Wesentlichen an den Stellen nahe des oberen Endbereichs A (siehe 9A), des oberen Bereichs B (siehe 9B) und des unteren Bereichs C (siehe 9C) gleich sein und somit weist die dielektrische Kondensatorschicht 222 beinahe den selben Kristallinitätsgrad an den Stellen nahe des oberen Endbereichs A, des oberen Bereichs B und des unteren Bereichs C auf. Ebenso kann mindestens eine Teilkristallinität an den Bereichen nahe des oberen Endbereichs A, des oberen Bereichs B und des unteren Bereichs C auftreten. Um den Kristallinitätsgrad zu bestimmen, kann ein Transmissions-Elektronen-Mikroskop (TEM) verwendet werden. Anders ausgedrückt, wenn der Kristallinitätsgrad der auf einer unteren Oberfläche jeder der ersten Elektroden 220 gebildeten dielektrischen Kondensatorschicht 222 durch die Verwendung des TEM vermessen wird, kann, falls eine kristalline Struktur wahrgenommen wird, festgestellt werden, dass die dielektrische Kondensatorschicht 222 kristallisiert ist. Unter den gleichen Bedingungen kann festgestellt werden, dass der Kristallinitätsgrad im Verhältnis zu der Intensität der kristallinen Struktur zunimmt. Insbesondere können monokristalline oder polykristalline Körner auf der dielektrischen Kondensatorschicht 222 gebildet werden, die an den Stellen nahe des oberen Endbereichs A (siehe 9A), des oberen Bereichs B (siehe FIG. B) und des unteren Bereichs C (siehe 9C) der ersten Elektroden 220 gebildet werden.
  • Die 10A bis 10C sind TEM-Bilder der dielektrischen Kondensatorschicht 220, die an den Stellen nahe des oberen Endbereichs A (siehe 9A), des oberen Bereichs B (siehe 9B) und des unteren Bereichs (siehe 9C) aufgenommen wurden. Bezug nehmend auf die 10A bis 10C wird die dielektrische Kondensatorschicht 220 an jeder Stelle teilweise kristallisiert und die Kristallinitätsgrade der dielektrischen Kondensatorschicht 220 ähneln sich an den Stellen nahe des oberen Endbereichs A, des oberen Bereichs B und des unteren Bereichs C.
  • 11 ist eine Seitenschnittansicht, die eine Halbleitervorrichtung gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht.
  • Bezug nehmend auf die 11 entspricht die Halbleitervorrichtung der durch das Verfahren der 8A bis 8H gebildeten Halbleitervorrichtung mit der Ausnahme der Formen der ersten Elektroden 220a und somit wird auf eine wiederholte Erläuterung davon verzichtet. Jede der ersten Elektroden 220a kann ein Aspektverhältnis AR beschrieben durch Gleichung 1 aufweisen und das Aspektverhältnis AR kann gleich oder größer als zum Beispiel der Wert 20 sein. Ersatzweise kann das Aspektverhältnis AR gleich oder größer als zum Beispiel der Wert 30 sein.
  • Jede der ersten Elektroden 220a kann zwei Bereiche aufweisen. D. h. jede der ersten Elektroden 220a kann einen oberen Bereich 220c mit einer im Wesentlichen zylindrischen Form und einen unteren Bereich 220p mit einer im Wesentlichen säulenartigen Form aufweisen. Die oberen und unteren Bereiche 220c und 220p können aus dem gleichen Material oder unterschiedlichen Materialien gebildet werden.
  • Ein Verhältnis von einer Minimalstärke einer dielektrischen Kondensatorschicht 222a zu einer Maximalstärke der dielektrischen Kondensatorschicht 222a kann gleich oder größer als ca. 85%, 90% oder 95% sein.
  • Ein kristalliner Zustand der dielektrischen Kondensatorschicht 222a kann im Wesentlichen dem der dielektrischen Kondensatorschicht 222 von 8H an den Stellen nahe einem oberen Endbereich A und einem oberen Bereich B jeder der ersten Elektroden und einem unteren Bereich C zwischen den ersten Elektroden 220a entsprechen.
  • 12 ist eine Seitenschnittansicht, die eine Halbleitervorrichtung gemäß einer anderen Ausführungsform der erfinderischen Idee veranschaulicht.
  • Bezug nehmend auf die 12 entspricht die Halbleitervorrichtung der durch das Verfahren gebildeten Halbleitervorrichtung von den 8A bis 8H mit der Ausnahme der Formen der ersten Elektroden 220b und somit wird auf eine wiederholte Erläuterung hiervon verzichtet. Jede der ersten Elektroden 220b kann ein Aspektverhältnis AR' beschrieben durch Gleichung 2 aufweisen und einen Aspektverhältnis AR' kann gleich oder größer als zum Beispiel der Wert 20 sein. Ersatzweise kann das Aspektverhältnis AR' gleich oder größer als zum Beispiel der Wert 30 sein.
    Figure 00400001
    wobei a' einen Säulendurchmesser von jeder der ersten Elektroden 220b, b ein Abstand zwischen den ersten Elektroden 220b, c eine vertikale Höhe einer Außenoberfläche jeder der ersten Elektroden 220b und min(a', b) einen Minimalwert aus Säulendurchmesser a und Abstands b darstellt.
  • Die ersten Elektroden 220b können säulenartige Formen im Gegensatz zu den ersten Elektroden 220 von 8H mit zylindrischen Formen aufweisen. Um die ersten Elektroden 220b mit säulenartigen Formen zu bilden, kann ein leitfähiges Material gebildet werden, um die Öffnungen H in der ersten Auftragschicht 214 aufzufüllen. Ebenso kann die Trägerstruktur 232 wie gewünscht gebildet werden, obwohl die Trägerstruktur 232 von 8H in der 10 weggelassen wird.
  • Ein Verfahren zum Bilden der Trägerstruktur 232 ist weiter oben in Bezug auf die 8A bis 8H beschrieben worden und somit wird auf eine Beschreibung davon verzichtet.
  • Ebenso kann eine dielektrische Kondensatorschicht 222b in der gleichen Art und Weise wie die in Bezug auf die 8A bis 8H beschriebene dielektrische Kondensatorschicht gebildet werden.
  • Eine Stärke der auf der Oberfläche von jeder der ersten Elektroden 222b aufgebrachten dielektrischen Kondensatorschicht 222b kann nicht durchgehend gleichmäßig über die Gesamtoberfläche der ersten Elektroden 222b sein. Ein Verhältnis einer Minimalstärke der dielektrischen Kondensatorschicht 222b zu einer Maximalstärke der dielektrischen Kondensatorschicht 222b kann gleich oder größer als ca. 0,85, 0,9 oder 0,95 sein.
  • Ebenso kann sich ein kristalliner Zustand der dielektrischen Kondensatorschicht 222b entsprechend dem Ort unterscheiden.
  • Ein kristalliner Zustand der dielektrischen Kondensatorschicht 222b kann im Wesentlichen der dielektrischen Kondensatorschicht 222 von 8H an den Stellen nahe eines oberen Endbereichs A und eines oberen Bereichs B jeder der ersten Elektroden 220b und eines unteren Bereichs C zwischen den ersten Elektroden 220b, die durch die Verwendung eines wie weiter oben im Text beschriebenen TEM bestimmt werden, entsprechen. Anders ausgedrückt kann, wenn der Kristallinitätsgrad der dielektrischen Kondensatorschicht 222b an jeder Stelle durch die Verwendung des TEM gemessen wird und wenn ein Kristallmuster wahrgenommen wird, festgestellt werden, dass die dielektrische Kondensatorschicht 222b kristallisiert ist. Unter den gleichen Bedingungen kann festgestellt werden, dass der Kristallinitätsgrad im Verhältnis zu der Kristallmusterintensität zunimmt. Insbesondere können monokristalline oder polykristalline Körner auf der dielektrischen Kondensatorschicht 222b an den Stellen nahe des oberen Endbereichs A, des oberen Bereichs B und des unteren Bereichs der ersten Elektroden 220b gebildet werden.
  • 13 ist eine Seitenschnittansicht, die eine Halbleitervorrichtungen 250 gemäß einer weiteren Ausführungsform der erfinderischen Idee veranschaulicht.
  • Bezug nehmend auf die 13 können aktive Bereiche 252 auf dem Substrat 210 durch sich in der x-Richtung ausdehnende Flachgräben 253 gebildet werden. Ebenso können die Flachgräben 253 teilweise mit einer Flachgraben-Isolationsschicht 254 aufgefüllt sein. Insbesondere kann die Flachgraben-Isolationsschicht 254 gebildet werden, um mindestens einen Bereich einer Seitenoberfläche und einer Oberseite jedes der aktiven Bereiche 252 freizulegen. Ein Verfahren zum Bilden der Flachgraben-Isolationsschicht 254 kann durch die Verwendung des Verfahrens der Flachgraben-Isolation (STI) leicht durchgeführt werden. Das STI ist wohl bekannt und somit wird auf eine detaillierte Erläuterung hiervon verzichtet.
  • Als nächster Schritt kann eine Oxidschicht 258 auf der Seitenoberfläche und der Oberseite von jeder der aktiven Bereiche 252 gebildet werden. Die Oxidschicht 258 kann in der gleichen Art und Weise gebildet werden wie die Oxidschicht, die verwendet wird, um die in Bezug auf die 8A bis 8H beschriebene dielektrische Kondensatorschicht 222 zu bilden und somit wird auf eine detaillierte Erläuterung davon verzichtet.
  • Als nächster Schritt kann eine Elektrode 256, die den mindestens einen Bereich der Seitenoberfläche und der Oberseite jeder der aktiven Bereiche 252 umgibt, mit einer Oxidschicht 258 dazwischen gebildet werden. Die Elektrode 256 kann aus einem leitfähigen Material gebildet werden. Die Elektrode 256 kann zum Beispiel aus mindestens einem ausgewählt aus der Gruppe bestehend aus einem Halbleitermaterial wie zum Beispiel dotiertem Polysilizium; einem Metall wie zum Beispiel Wolfram (W), Titan (Ti), Tantal (Ta), Kupfer (Cu) oder Aluminium (Al); einem Metallnitrid wie zum Beispiel Wolframnitrid (WN), Titaninitrid (TiN) oder Tantalnitrid (TaN); einem Metall-Siliziumnitrid wie zum Beispiel Titan-Siliziumnitrid (TiSiN) oder Wolfram-Siliziumnitrid (WSiN) und einem Metallsilizid wie zum Beispiel Wolframsilizid (WSi) bestehen.
  • Insbesondere kann die Elektrode 256 die Funktion einer Gate-Elektrode einnehmen. Wenn die Elektrode eine Gate-Elektrode darstellt und Störstellenbereiche an beiden freigelegten Bereichen von jeder der aktiven Bereiche 252 gebildet werden, kann die Halbleitervorrichtung 250 zum Beispiel ein Fin-Feld-Effekt-Transistor (FinFET) sein.
  • Insbesondere kann jeder der aktiven Bereiche 252 ein Aspektsverhältnis AR'' beschrieben durch Gleichung 3 aufweisen. AR'' = c / b wobei b ein Abstand zwischen den freigelegten Bereichen jedes der aktiven Bereiche 252 und c eine Höhe jedes der freigelegten Bereiche jedes der aktiven Bereiche 252 ist.
  • Das Aspektverhältnis AR'' kann gleich oder größer als der Wert 3 oder 5 sein. In diesem Fall kann eine Stärke der Oxidschicht 258 auf den freigelegten Bereichen von jedem der aktiven Bereiche 252 nicht vollständig gleichmäßig sein. Ein Verhältnis einer Minimalstärke der Oxidschicht 258 zu einer Maximalstärke der Oxidschicht 258 auf den freigelegten Bereichen jedes der aktiven Bereiche 252 kann gleich oder größer als der Wert 0,85 oder 0,9 sein. Ersatzweise kann ein Verhältnis einer Minimalstärke der Oxidschicht 258 zu einer Maximalstärke der Oxidschicht 258 auf den freigelegten Bereichen jedes der aktiven Bereiche 252 gleich oder größer als der Wert 0,95 sein.
  • Obwohl nur die Flachgräben 253 in 13 dargestellt sind, da die Flachgräben 253 und die aktiven Bereiche 252 wiederholt in einer Y-Richtung gebildet werden, kann ein tiefer Graben mit einer Tiefe größer als die der Flachgräben 253 zwischen Gruppen der Flachgräben 253 und den aktiven Bereichen 252 gebildet werden, allerdings ist die gegenwärtige Ausführungsform nicht darauf beschränkt.
  • 14 ist eine Seitenschnittansicht, die eine Halbleitervorrichtung gemäß einer weiteren Ausführungsform der erfinderischen Idee veranschaulicht.
  • Bezug nehmend auf die 14 können Halbleiterbereiche 330 mit ringförmiger Gestalt auf einem Substrat 300 mit einer Hauptoberfläche, die sich in x- und y-Richtung ausdehnt, derart aufgebracht werden, dass sich die Halbleiterbereiche 330 voneinander räumlich getrennt in vordefinierten Intervallen in die x- und y-Richtungen ausdehnen. Die Halbleiterbereiche 330 können das Substrat 300 an den Unterseiten kontaktieren. Ebenso kann eine isolierende Säule 340 in jeder der Halbleitervorrichtungen 330 mit kreisrunder Gestalt angeordnet sein und eine leitfähige Schicht 335 kann eine Oberseite der isolierenden Säule 340 bedecken.
  • Ebenso können Störstellenbereiche 302 angrenzend an die Hauptfläche des Substrates 300 aufgebracht werden, um sich in der y-Richtung auszudehnen und um räumlich getrennt voneinander in der x-Richtung zu sein. Ebenso kann eine Isolationsschicht 370 auf jedem der Störstellenbereiche 302 gebildet werden.
  • Eine Speicherzellenfolge kann zwei Ground-Selection-Transistoren GST1 und GST2, eine Mehrzahl von Speicherzellen MC1, MC2, ..., MCn-1 und MCn und zwei String-Selection-Transistoren SST1 und SST2 aufweisen. Obwohl in 14 vier Speicherzellen veranschaulicht sind, können mehr oder weniger Speicherzellen angeordnet sein. Speicherzellenfolgen in den Halbleiterbereichen 330 können derart angeordnet werden, dass sie in der y-Richtung räumlich voneinander getrennt sind. Die ersten String-Selection-Transistoren SST1 können gemeinsam mit einer Bitleitung durch die leitfähige Schicht 335 verbunden sein. Ebenso kann der erste Ground-Selection-Transistor GST1 elektrisch mit jedem der an den ersten Ground-Selection-Transistor GST1 angrenzenden Störstellenbereiche verbunden sein.
  • Ebenso können Gate-Elektroden 360 entlang beider Seitenoberflächen jeder der Halbleiterbereiche 330 in der x-Richtung angeordnet sein, so dass sie in einer z-Richtung auf dem Substrat 300 verteilt sind. Die Gate-Elektroden 360 können Gates der Ground-Selection GST1 und GST2, MC1, MC2, ..., MCn-1 und MCn und/oder die String-Selection-Transistoren SST1 und SST2 sein. Die Gate-Elektroden 360 können gemeinsam mit einer an die Gate-Elektroden 360 in der y-Richtung angrenzenden Speicherzellenkette verbunden sein. Ebenso können Isolations-Zwischenschichten 320 zwischen den Gate-Elektroden 360 angeordnet sein. Die Isolations-Zwischenschichten 320 können auch derart angeordnet sein, dass sie in der z-Richtung räumlich getrennt voneinander sind und sich in die y-Richtung ausdehnen.
  • Eine dielektrische Gate-Schicht 350 kann zwischen jedem der Halbleiterbereiche 330 und den Gate-Elektroden 360 aufgebracht werden. Die dielektrische Gate-Schicht 350 kann derart aufgebracht sein, dass sie die Oberseiten und Unterseiten der Gate-Elektroden 360 bedecken. Ebenso kann die dielektrische Gate-Schicht 350 derart aufgebracht sein, dass sie die Seitenflächen der Isolations-Zwischenschichten 320 bedecken, die mit keinem der Halbleiterbereiche 330 verbunden sind. Die dielektrische Gate-Schicht 350 kann eine Metalloxidschicht oder eine Halbleiteroxidschicht aufweisen.
  • Ein Verfahren zum Bilden des Metalloxids oder des Halbleiteroxids, das in der dielektrischen Gate-Schicht 350 enthalten ist, kann auf die gleiche Art und Weise durchgeführt werden, wie in Bezug auf die 3A bis 3F beschrieben wurde. Wenn das Metalloxid oder das Halbleiteroxid, das in der dielektrischen Gate-Schicht 350 enthalten ist, gebildet wird, kann ein sehr viel höherer Massen-Transfer-Widerstand als ein Widerstand aufgrund von Loch-Diffusion auftreten. Jedoch kann die dielektrische Gate-Schicht 350 mit zum Beispiel dem Metalloxid und/oder dem Halbleiteroxid mit der hohen Stärkengleichmäßigkeit gebildet werden, sogar wenn ein hoher Massen-Transfer-Widerstand auftritt, da ja ein Metalloxid oder ein Halbleiteroxid mit einer hohen Starkengleichmäßigkeit sowie oben in Bezug auf die 3A bis 3F beschrieben gebildet werden kann.
  • <Beispiele>
  • Ein Kondensator mit einer zylindrischen Form, der elektrisch mit einer dynamischen Schreib-Lesespeicher-(DRAM)-Speicherzelle verbunden ist, wurde durch die Verwendung des Verfahrens von den 8A bis 8H erzeugt. Ein Aspektverhältnis jeder der ersten Elektroden betrug 7, ein Material jeder der ersten Elektroden war Titannitrid und ein Material einer zweiten Elektrode war Wolframnitrid. Bei jedem Beispiel wurde eine Stärkengleichmäßigkeit gemessen, da nur eine dielektrische Kondensatorschicht verändert wurde, sowie in den Tabellen 1 und 2 dargestellt ist.
  • In den Beispielen 1 bis 3 wurde ein Zyklus, in dem eine organische Verbindung auf den ersten Elektroden chemisorbiert wurde, Vorstufen eines Metalls bereitgestellt und physisorbiert wurden und Vorstufen des Metalls durch die Verwendung eines Oxidationsmittels oxidiert werden, 50 mal durchgeführt.
  • Die vergleichbaren Beispiele 1 bis 3 entsprechen den Beispielen 1 bis 3 mit der Ausnahme, dass ein Arbeitsablauf der Chemisorption einer organischen Verbindung ausgelassen wird. <Tabelle 1>
    Vorstufen von Metall/Halbleiter Organische Zusammensetzung Oxidationsmittel Stärken-Bleichmäßigkeit (%)
    Beispiel 1 TEMAZ McOH Sauerstoff 95%
    Vergleichbares Beispiel 1 TEMAZ - Sauerstoff 76%
    Beispiel 2 TDEAH McOH Sauerstoff 96%
    Vergleichbares Beispiel 2 TDEAH - Sauerstoff 78%
    Beispiel 3 Ti(eip)2 EtOH Sauerstoff 96%
    Vergleichbares Beispiel 3 Ti(eip)2 - Sauerstoff 77%
  • So wie in Tabelle 1 dargestellt, wurde eine hohe Stärkengleichmäßigkeit von 95% oder höher erreicht, wenn eine Veränderung durch die Verwendung einer organischen Verbindung MeOH oder EtOH mit reaktionshemmenden funktionellen Gruppen -X durchgeführt wurde und anschließend eine Oxidation durchgeführt wurde. Unterdessen wurde eine Stärkengleichmäßigkeit von 50% oder geringer erreicht, wenn keine Veränderung durch die Verwendung einer organischen Verbindung durchgeführt wurde.
  • 15 ist ein Diagramm, das elektrische Eigenschaften einer dielektrischen Kondensatorschicht veranschaulicht, die erzeugt wurde, um durch das Durchführen einer Veränderung unter Verwendung einer organischen Verbindung mit reaktionshemmenden funktionellen Gruppen -X und Physisorbieren der Vorstufen eines Metalls eine hohe Stärkengleichmäßigkeit aufzuweisen, und einer dielektrischen Kondensatorschicht veranschaulicht, die ohne das Durchführen einer Veränderung mit der Verwendung der organischen Verbindung gebildet wurde.
  • In 15 stellt eine horizontale Achse eine Kapazität in willkürlichen Einheiten und eine vertikale Achse eine Start-Spannung dar, die eine Minimalspannung darstellt, bei der ein Kriechstrom von ungefähr 1 fA in willkürlichen Einheiten fließt. Da die Start-Spannung, d. h. die Minimalspannung, bei der ein Kriechstrom von ca. 1 fA fließt, zunimmt, können sich die Isolationseigenschaften der dielektrischen Kondensatorschicht verbessern.
  • So wie in dem Diagramm von 15 dargestellt, sind die Start-Spannungen von Prototypen, die gemäß dem Beispiel 1 erzeugt wurden, höher als Start-Spannungen, die gemäß den Prototypen in vergleichbarem Beispiel 1 erzeugt wurden. Dementsprechend wurde festgestellt, dass ein Oxid und eine das Oxid aufweisende Halbleitervorrichtung, die gemäß der erfinderischen Idee erzeugt wurde, verbesserte elektrische Eigenschaften aufweisen.
  • In den Beispielen 1 bis 3 und in vergleichbaren Beispielen 1 bis 3 wurde eine dielektrische Kondensatorschicht aus einem einzigen Material hergestellt. In den Beispielen 4 bis 9 wurde ein Zyklus, in dem ein Oxid eines ersten Metalls/Halbleiters durch die Verwendung von Vorstufen des ersten Metalls/Halbleiters gebildet wurde, 9 mal durchlaufen und ein Zyklus, in dem ein Oxid eines zweiten Metalls/Halbleiters durch Verwendung von Vorstufen des zweiten Metalls/Halbleiter gebildet wurde, wurde 1 mal durchlaufenden. Jeder Zyklus wies ein Arbeitsablauf zur Durchführung von Veränderungen unter Verwendung einer organischen Verbindung mit reaktionshemmenden funktionellen Gruppen -X auf.
  • Ein Arbeitsablauf, in dem Zyklen in einem Verhältnis von 9:1 durch die Verwendung dieser unterschiedlicher Vorstufen durchgeführt werden, wurde 7 fach wiederholt durchgeführt. Als nächster Schritt wurde eine zweite Elektrode gebildet und eine Stärkengleichmäßigkeit einer dielektrischen Kondensatorschicht wurde gemessen. <Tabelle 2>
    Vorstufen des ersten Metalls/Halb-leiters Vorstufen des zweiten Metalls/Halb leiters Organische Zusammensetzung Oxidations-mittel Stärkengleich-mäßigkeit (%)
    Beispiel 4 ZTB SiH4 IPA Wasser 98%
    Beispiel 5 Ti(O-iProp)4 SiH4 IPA Wasser 97%
    Beispiel 6 HTB SiH4 IPA Wasser 97%
    Beispiel 7 ZTB TMA MeOH Sauerstoff 96%
    Beispiel 8 Ti(O-iProp)4 TMA MeOH Sauerstoff 95%
    Beispiel 9 HTB TMA MeOH Sauerstoff 95%
    Vergleich-bares Beispiel 4 SiH4(9 cycles) ZTB IPA Wasser 71%
    Beispiel 10 SiH4 (nur 1 Zyklus) ZTB IPA Wasser 96%
  • So wie in Tabelle 2 dargestellt wurde eine dielektrische Kondensatorschicht mit einer hohen Stärkengleichmäßigkeit von 95% oder höher erreicht, wenn ein Zyklus, in dem ein Siliziumoxid oder ein Aluminiumoxid gebildet wird, nur 1 mal zwischen Zyklen in denen jeweils ein erstes Metall-/Halbleiteroxid erzeugt wird, durchgeführt wurde.
  • Währenddessen wurde ein Zyklus, in dem ein Siliziumoxid erzeugt wurde, 9 mal durchgeführt und dann ein Zyklus, in dem ein Zirkonoxid erzeugt wurde, 1 mal durchgeführt. Danach wurde ein Arbeitsablauf, indem der Zyklus, indem das Siliziumoxid erzeugt wurde und der Zyklus, indem das Zirkonoxid erzeugt wurde, in einem Verhältnis von 9:1 7 mal schnell nacheinander durchgeführt und danach wurde eine Stärkengleichmäßigkeit in derselben Art und Weise gemessen. Ein Arbeitsablauf zum Durchführen einer Veränderung unter Verwendung einer organischen Verbindung mit reakionshemmenden funktionellen Gruppen -X ist so wie anhand der Beispiele 4 bis 9 dargestellt in jedem Zyklus enthalten. Jedoch wies eine dielektrische Kondensatorschicht eine geringere Stärkengleichmäßigkeit von 71% auf.
  • Unterdessen wurde in Beispiel 10 ein Zyklus, in dem ein Siliziumoxid gebildet wird, nur 1 mal anstatt 9 mal durchgeführt. Ein Arbeitsablauf, bei dem ein Zyklus, in dem ein Siliziumoxid erzeugt wird, und bei dem ein Zyklus, in dem ein Zirkonoxid erzeugt wird, durchgeführt wurden, wurde in einem Verhältnis von 1:1 wiederholt 35 mal durchgeführt und danach wurde eine Stärkengleichmäßigkeit in der gleichen Art und Weise gemessen. Ein Arbeitsablauf zum Durchführen einer Veränderung unter Verwendung einer organischen Verbindung mit reaktionshemmenden funktionellen Gruppen -X wies wie anhand des vergleichbaren Beispiels 4 zu sehen ist jeder Zyklus auf. Dadurch wies die dielektrische Kondensatorschicht eine hohe Stärkengleichmäßigkeit von 96% auf.
  • Wenn die vergleichbaren Beispiele 1 und 10 verglichen werden, scheint eine Starkengleichmäßigkeit reduziert worden zu sein, weil ein Zyklus, indem ein Siliziumsoxid erzeugt wird, mehrere Male wiederholt durchgeführt wurde.
  • 16 ist eine Draufsicht, die einen Speicherbaustein 1000 mit einer Halbleitervorrichtung gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht.
  • Im Einzelnen kann der Speicherbaustein 1000 eine Leiterplatte 1100 und eine Mehrzahl von Halbleitereinheiten 1200 aufweisen.
  • Die Mehrzahl von Halbleitereinheiten 1200 kann Halbleiter-Speichervorrichtungen gemäß den Ausführungsformen der erfinderischen Idee aufweisen. Insbesondere kann die Mehrzahl der Halbleitereinheiten 1200 eine Struktur von mindestens einer Halbleiter-Speichervorrichtung unter den Halbleiter-Speichervorrichtungen gemäß der Ausführungsformen der erfinderischen Idee aufweisen.
  • Der Speicherbaustein 1000 kann ein Single-In-Line-Speicher-Modul (SIMM) sein, bei dem die Mehrzahl der Halbleitereinheiten 1200 nur auf einer Oberfläche der Leiterplatte 1100 aufgebracht sind, oder ein Dual-In-Line-Speicher-Modul (DIMM) sein, bei dem die Mehrzahl von Halbleitereinheiten 1200 auf beiden Oberflächen der Leiterplatine 1100 aufgebracht sind. Der Speicherbaustein 1000 kann ein Fully-Buffered-DIMM (FBDIMM) mit einem Advanced-Memory-Buffer (AMB) sein, der jeweils die externen Signale an die Mehrzahl von Halbleitereinheiten 1200 bereitstellt.
  • 17 ist ein Blockdiagramm, das eine Speicherkarte 2000 mit einer Halbleitervorrichtung gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht.
  • Im Einzelnen sind eine Steuereinheit 2100 und ein Speicher 2200 zum Austausch elektrischer Signale angeordnet. Der Speicher 2200 kann zum Beispiel Daten weiterleiten, wenn die Steuereinheit 2100 einen Befehl sendet.
  • Der Speicher 2200 kann jede der Halbleiter-Speichervorrichtungen gemäß der Ausführungsformen der erfinderischen Idee aufweisen. Insbesondere kann der Speicher 2200 eine Struktur bestehend aus mindestens einer Halbleitervorrichtung ausgewählt aus den Halbleiterspeichervorrichtungen gemäß den Ausführungsformen der erfinderischen Idee aufweisen.
  • Beispiele der Speicherkarte 2000 können eine Mehrzahl von Kartenarten wie zum Beispiel eine Memory-Stick-Karte, eine Smart-Media-(SM)-Karte, eine Secure-Digital-(SD)-Karte, eine Mini-Secure-Digital-(SD)-Karte und eine Multimedia-Karte (MMC) umfassen.
  • Bei einigen Ausführungsformen können der Speicher 2200 und die Steuereinheit 2100 auf einem einzigen Chip in Form einer System-On-Chip-(SOC)-Vorrichtung aufgebaut sein.
  • 18 ist ein Blockdiagramm, das eine Speichervorrichtung 3200 mit einer Halbleitervorrichtung gemäß einer Ausführungsform der erfinderischen Idee veranschaulicht, die durch die Verwendung eines Verfahrens zum Bilden einer Oxidschicht erzeugt wird.
  • Bezug nehmend auf die 18 weist die Speichervorrichtung 3200 einen Speicherbaustein 3210 auf. Der Speicherbaustein 3210 kann mindestens eine von den Halbleitervorrichtungen aufweisen, die durch das Verfahren gemäß den Ausführungsformen der erfinderischen Idee gebildet werden. Der Speicherbaustein 3210 kann ferner andere Arten von Halbleiter-Speichervorrichtungen wie zum Beispiel eine nichtflüchtige Speichervorrichtung und/oder eine Enhanced-Static-Random-Access-Speicher-(ESRAM)-Vorrichtung aufweisen. Die Speichervorrichtung 3200 kann eine Speichersteuereinheit 3220 aufweisen, die den Datenaustausch zwischen einem Host und dem Speicherbaustein 3210 steuert.
  • Die Speichersteuereinheit 3220 kann eine Verarbeitungseinheit 3222 aufweisen, die eine Gesamtfunktion der Speicherkarte steuert. Die Speichersteuereinheit 3220 kann ebenso ein SRAM 3221 aufweisen, der als ein Funktionsspeicher der Verarbeitungseinheit 3222 verwendet wird. Zusätzlich kann die Speichersteuereinheit 3220 ferner ein Host-Interface 3223 und ein Speicher-Interface 3225 aufweisen. Das Host-Interface 3223 kann ein Datenaustauschprotokoll zwischen der Speichervorrichtung 3200 und dem Host aufweisen. Das Speicher-Interface 3225 kann die Speichersteuereinheit 3220 und den Speicherbaustein 3210 verbinden. Weiterhin kann die Speichersteuereinheit 3220 einen Fehler-Korrektur-Code (ECC) Baustein 3224 aufweisen. Der ECC-Baustein 3224 kann einen Fehler in von dem Speicherbaustein 3210 gelesenen Daten erkennen und korrigieren. Obwohl nicht in 18 dargstellt, kann die Speichervorrichtung 3200 ferner eine Nur-Lese-Speicher-(ROM)-Vorrichtung aufweisen, die Code-Daten speichert, um mit dem Host in Verbindung zu treten. Die Speichervorrichtung 3200 kann eine Solid-State-Disk (SSD) sein, die eine Festplatte eines Computersystems ersetzen kann.
  • 19 ist ein Blockdiagramm, das ein elektronisches System 4100 mit einer Halbleitervorrichtung veranschaulicht, die durch die Verwendung eines Verfahrens zum Bilden einer Oxidschicht gemäß einer Ausführungsform der erfinderischen Idee gebildet wird.
  • Bezug nehmend auf die 19 kann das elektronische System 4100 eine Steuereinheit 4110, ein Eingabe-/Ausgabe(I/O)-Gerät 4120, eine Speichervorrichtung 4130, eine Schnittstelle 4140 und eine Bus 4150 aufweisen. Die Steuereinheit 4110, das I/O-Gerät 4120, die Speichervorrichtung 4130a und/oder die Schnittstelle 4140 können untereinander über einen Bus 4150 verbunden sein. Der Bus 4150 entspricht einem Pfad, auf dem Daten ausgetauscht werden.
  • Die Steuereinheit 4110 kann mindestens einen Mikroprozessor, einen digitalen Signalprozessoren, einen Mikrocontroller und logische Vorrichtungen zum Durchführen von Funktionen ähnlich denen des Mikroprozessors, des digitalen Signalprozessors und des Mikrocontrollers aufweisen. Beispiele des I/O-Gerätes 4120 können ein Tastenfeld, eine Tastatur und ein Anzeigegerät aufweisen. Die Speichervorrichtung 4130 kann Daten und/oder einen Befehl darin speichern. Die Speichervorrichtung 4130 kann mindestens eine Halbleiter-Speichervorrichtung gemäß den Ausführungsformen der erfinderischen Idee aufweisen. Ebenso kann die Speichervorrichtung 4130a ferner weitere Arten von Halbleiter-Speichervorrichtungen wie zum Beispiel eine nichtflüchtige Speichervorrichtung und/oder ein SRAM-Vorrichtung aufweisen. Die Schnittstelle 4140 kann Daten an ein Kommunikationsnetzwerk übertragen oder Daten von einem Kommunikationsnetzwerk empfangen. Die Schnittstelle 4140 kann eine drahtgebundene Schnittstelle oder eine schnurlose Schnittstelle sein. Die Schnittstelle 4140 kann zum Beispiel eine Antenne oder einen Draht gebundenen/schnurlos Sendeempfänger aufweisen. Obwohl nicht in der 19 dargestellt, kann ein elektronisches System 4100 ferner eine Hochgeschwindigkeits-DRAM-Vorrichtung und/oder eine SRAM-Vorrichtung als eine Funktions-Speichervorrichtung zur Verbesserung einer Funktionsweise der Steuereinheit 4110 aufweisen.
  • Das elektronische System 4100 kann auf einen Personal-Digital-Assistant (PDA), einen tragbaren Computer, ein Web-Tablet, ein schnurloses Telefon, ein Mobiltelefon, einen digitalen Musikspieler, eine Speicherkarte und jede elektronische Vorrichtung, die schnurlos Information übertragen und/oder empfangen kann, angewendet werden.
  • Verschiedene Arbeitsabläufe können als eine Mehrzahl von Einzelschritten in einer Art und Weise beschrieben werden, die am Hilfreichsten für das Verständnis der Erfindung ist. Jedoch kann die Reihenfolge, in der die Schritte beschrieben werden, nicht darauf hinweisen, dass die Schrittreihenfolge vorgegeben ist oder, dass die Reihenfolge, in der die Schritte durchgeführt werden, der Reihenfolge entspricht, in der die Schritte dargestellt sind.
  • Es ist für den Fachmann selbstverständlich, dass im Allgemeinen hier verwendete Begriffe und insbesondere solche in den beigefügten Ansprüchen verwendete (z. B. Verkörperungen der beigefügten Ansprüche) gewöhnlich als „freie” Begriffe (zum Beispiel soll der Begriff „aufweisend” als „aufweisend aber nicht begrenzend”, der Begriff „mit” als „mit mindestens” aufgefasst werden, etc.) angesehen werden. Ferner ist für den Fachmann selbstverständlich, dass wenn eine bestimmte Anzahl einer eingeführten Anspruchsdeklaration beabsichtigt ist, dass solch eine Absicht ausdrücklich in dem Anspruch vorgetragen wird und bei Fehlen einer solchen Deklaration keine solche Absicht vorhanden ist. Die im Folgenden beigefügten Ansprüche können zum Beispiel als Verständnishilfe die Ausdrücke „mindestens einer/eine/eines” und „einer/eine/eines oder mehrere” ausweisen, um Anspruchsdeklarationen einzuführen. Jedoch sollte die Verwendung solcher Ausdrücke nicht derart ausgelegt werden, dass die Einführung einer Anspruchsdeklaration durch den unbestimmten Artikel „einer/eine/eines” irgendeinen besonderen solch eine eingeführte Anspruchsdeklaration aufweisenden Anspruch im Vergleich zu nur eine solche Deklaration aufweisenden Beispielen, begrenzt, sogar wenn derselbe Anspruch die Einführungsausdrücke „einer/eine/eines oder mehrere” oder „mindestens einer/eine/eines” aufweist und unbestimmte Artikel wie zum Beispiel „einer/eine/eines” (zum Beispiel sollte „einer/eine/eines” als die Bedeutung „mindestens einer/eine/eines” oder „einer/eine/eines oder mehrere” habend aufgefasst werden) aufweist; das Gleiche gilt für die Verwendung von bestimmten Artikeln, die für das Einführen von Anspruchsdeklarationen verwendet werden. Darüber hinaus wird in den Fällen, in denen eine Vereinbarung analog zu „mindestens einer/eine/eines von A, B oder C, etc.” verwendet wird, im Allgemeinen eine solche Auslegung im Sinne des Verständnisses eines Fachmanns beabsichtigt. Weiterhin ist für den Fachmann selbstverständlich, dass praktisch jedes Trennungswort und/oder jeder Ausdruck, der zwei oder mehrere Alternativbegriffe verbindet, sei es in der Beschreibung, in den Ansprüchen oder in den Figuren als die Möglichkeiten einen der Begriffe, einen von beiden Begriffen oder beide der Begriffe aufweisend verstanden werden sollte. Der Ausdruck „A oder B” wird zum Beispiel derart verstanden, dass er die Ausdrucksmöglichkeiten „A” oder „B” oder „A und B” einschließt.
  • Durchgängig durch die Beschreibung können die in einer Ausführungsform dargelegten Eigenschaften in weitere Ausführungsformen innerhalb des Erfindungsgeistes und Schutzumfangs der erfinderischen Idee mit aufgenommen werden.
  • Die Bezugnahme durchgängig durch die Beschreibung auf „eine Ausführungsform” oder „einige Ausführungsformen” bedeutet, dass ein bestimmtes Merkmal, Struktur oder Eigenschaft, die in Verbindung mit der Ausführungsform beschrieben wird, mindestens in einer Ausführungsform der vorliegenden Erfindung vorkommt. Somit beziehen sich die Vorkommnisse der Ausdrücke „in einer Ausführungsform” an vielen Stellen durchgängig durch diese Beschreibung nicht nötiger Weise auf dieselbe Ausführungsform. Darüber hinaus können die bestimmten Merkmale, Strukturen oder Eigenschaften in jeder beliebigen Art und Weise in einer oder mehreren Ausführungsformen kombiniert werden.
  • Während die erfinderische Idee insbesondere in Bezug auf beispielhafte Ausführungsformen davon dargelegt und beschrieben wurde, werden sie mit dem Ziel der Veranschaulichung bereitgestellt und es ist für den Durchschnittsfachmann selbstverständlich, dass viele Abänderungen und ähnliche andere Ausführungsformen der erfinderischen Idee erdenklich sind.
  • Somit wird der Schutzumfang der erfinderischen Idee nicht durch die detaillierte Beschreibung der erfinderischen Idee, sondern durch die beigefügten Ansprüche bestimmt und alle Abweichungen innerhalb des Schutzumfangs werden als in der erfinderischen Idee inbegriffen aufgefasst.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • KR 10-2011-0040329 [0001]
    • KR 10-2012-0028397 [0001]

Claims (10)

  1. Verfahren zum Bilden einer Oxidschicht (110, 112, 258), wobei das Verfahren aufweist: Bilden einer Schicht aus reaktionshemmenden funktionellen Gruppen (-X) auf einer Oberfläche eines Substrates (101, 210); Bilden einer Schicht aus Vorstufen eines bestimmten Materials auf der Schicht der reaktionshemmenden funktionellen Gruppen (-X); und Oxidieren der Schicht aus den Vorstufen des bestimmten Materials um eine Oxidschicht (110, 112) des bestimmten Materials zu erreichen.
  2. Verfahren nach Anspruch 1, wobei das Bilden der Schicht der reaktionshemmenden funktionellen Gruppen (-X) aufweist: Zuführen eines Reaktionsgases, das eine organische Verbindung aufweist, die die reaktionshemmenden funktionellen Gruppen (-X) aufweist, auf das Substrat (101, 210); und Chemiesorbieren des Reaktionsgases auf der Oberfläche des Substrates (101, 210).
  3. Verfahren nach Anspruch 2, wobei die die reaktionshemmenden funktionellen Gruppen (-X) aufweisende organische Verbindung eine organische Verbindung ist, die Hydroxyl-Gruppen aufweist.
  4. Verfahren nach Anspruch 2, wobei die auf der Oberfläche des Substrates (101, 210) gebildeten reaktionshemmenden funktionellen Gruppen Alkoxy-Gruppen mit 1 bis 4 Kohlenstoffatomen, Aryloxy-Gruppen mit 6 bis 10 Kohlenstoffatomen, Ester-Gruppen mit 1 bis 5 Kohlenstoffatomen, oder Arylester-Gruppen mit 7 bis 10 Kohlenstoffatomen aufweisen.
  5. Verfahren nach Anspruch 1, wobei bei dem Bilden der Schicht der reaktionshemmenden funktionellen Gruppen (-X) Sauerstoff-Radikale mit einem zentralen, die Oberfläche des Substrates (101, 210) bildenden Metalls verbunden werden und wobei eine dritte Bindungsenergie zwischen dem zentralen Metall und den Sauerstoff-Radikalen sowohl schwächer als eine erste Bindungsenergie zwischen Silizium und den Sauerstoff-Radikalen als auch schwächer als eine zweite Bindungsenergie zwischen Aluminium und den Sauerstoff-Radikalen ist.
  6. Verfahren nach Anspruch 1, ferner aufweisend das Bilden einer Schicht von reaktionsaktivierenden Elementen auf der Oberfläche des Substrates (101, 210), vor dem Bilden der Schicht der reaktionshemmenden funktionellen Gruppen (-X).
  7. Verfahren nach Anspruch 6, wobei eine Bindungskraft zwischen den reaktionsaktivierenden Elementen und dem Substrat (101, 210) schwächer ist als eine Bindungskraft zwischen den reaktionsaktivierenden Elementen und jedem Metall in der dritten Periode des Periodensystems und auch schwächer ist als eine Bindungskraft zwischen den reaktionsaktivierenden Elementen und jedem Halbleiter in der dritten Periode.
  8. Verfahren zum Bilden einer Oxidschicht (110, 112), wobei das Verfahren aufweist: Bilden einer Schicht aus reaktionsaktivierenden Elementen auf einer Oberfläche eines Substrates (101, 210); Bilden einer ersten Oxidschicht (110) eines ersten Materials auf der Schicht der reaktionsaktivierenden Elemente; und Bilden einer zweiten Oxidschicht (112) eines zweiten Materials auf der ersten Oxidschicht (110), wobei das erste Material ein erstes Metall oder einen ersten Halbleiter aufweist, das Bilden der ersten Oxidschicht (110) das Bilden einer Schicht von Vorstufen des ersten Materials und das Oxidieren der Schicht der Vorstufen des ersten Materials aufweist, und das Bilden der zweiten Oxidschicht (112) das Bilden einer Schicht von reaktionshemmenden funktionellen Gruppen (-X) auf der ersten Oxidschicht (110), das Bilden einer Schicht von Vorstufen des zweiten Materials auf der Schicht der reaktionshemmenden funktionellen Gruppen (-X) und das Oxidieren der Schicht der Vorstufen des zweiten Materials aufweist.
  9. Verfahren nach Anspruch 8, wobei das zweite Material ein zweites Metall oder eine zweite Halbleiterschicht aufweist.
  10. Verfahren nach Anspruch 9, wobei das zweite Material Aluminium (Al) ist.
DE102012103623A 2011-04-28 2012-04-25 Verfahren zum Bilden einer Oxidschicht und Verfahren zum Herstellen einer Halbleitervorrichtung mit der Oxidschicht Withdrawn DE102012103623A1 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR10-2011-0040329 2011-04-28
KR20110040329 2011-04-28
KR10.2011-0040329 2011-04-28
KR1020120028397A KR101895398B1 (ko) 2011-04-28 2012-03-20 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
KR10-2012-0028397 2012-03-20

Publications (2)

Publication Number Publication Date
DE102012103623A1 true DE102012103623A1 (de) 2012-10-31
DE102012103623A8 DE102012103623A8 (de) 2013-07-18

Family

ID=47055065

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102012103623A Withdrawn DE102012103623A1 (de) 2011-04-28 2012-04-25 Verfahren zum Bilden einer Oxidschicht und Verfahren zum Herstellen einer Halbleitervorrichtung mit der Oxidschicht

Country Status (6)

Country Link
US (1) US9076647B2 (de)
JP (1) JP6128750B2 (de)
KR (1) KR101895398B1 (de)
CN (1) CN102760661B (de)
DE (1) DE102012103623A1 (de)
TW (1) TWI541899B (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012193445A (ja) * 2011-02-28 2012-10-11 Tokyo Electron Ltd 窒化チタン膜の形成方法、窒化チタン膜の形成装置及びプログラム
US8912609B2 (en) 2013-05-08 2014-12-16 International Business Machines Corporation Low extension resistance III-V compound fin field effect transistor
EP2942803B1 (de) * 2014-05-08 2019-08-21 Flosfia Inc. Kristalline mehrschichtige Struktur und Halbleiterbauelement
KR102193623B1 (ko) 2014-06-05 2020-12-21 삼성전자주식회사 커패시터 및 그 제조 방법
KR102358566B1 (ko) 2015-08-04 2022-02-04 삼성전자주식회사 물질막 형성 방법
US10468264B2 (en) 2016-07-04 2019-11-05 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
KR102375981B1 (ko) * 2016-07-04 2022-03-18 삼성전자주식회사 반도체 장치 제조 방법 및 반도체 장치 제조 설비
KR102112705B1 (ko) * 2016-12-09 2020-05-21 주식회사 원익아이피에스 박막 증착 방법
US9991333B1 (en) * 2017-02-09 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal (MIM) capacitor structure and method for forming the same
KR102271771B1 (ko) * 2017-05-25 2021-07-01 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
CN117832071A (zh) * 2017-12-17 2024-04-05 应用材料公司 通过选择性沉积的硅化物膜
KR102404060B1 (ko) 2018-01-11 2022-06-02 삼성전자주식회사 캐패시터를 갖는 반도체 소자 및 그 형성 방법
WO2020100376A1 (ja) 2018-11-14 2020-05-22 株式会社アルバック 真空加熱装置、リフレクタ装置
KR102333599B1 (ko) * 2019-11-15 2021-11-30 주식회사 이지티엠 표면 보호 물질을 이용한 박막 형성 방법
KR102224067B1 (ko) 2020-01-09 2021-03-08 주식회사 이지티엠 표면 보호 물질을 이용한 박막 형성 방법
US20220238548A1 (en) * 2021-01-26 2022-07-28 Micron Technology, Inc. Microelectronic devices with vertically recessed channel structures and discrete, spaced inter-slit structures, and related methods and systems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110040329A (ko) 2009-10-14 2011-04-20 (주) 케이앤아이테크놀로지 이중 방사선 촬영 장비의 캘리브레이션 방법 및 이를 이용한 수술 후 관절 의 삼차원 위치 정보의 획득 방법
KR20120028397A (ko) 2006-04-11 2012-03-22 에프. 호프만-라 로슈 아게 글리코실화된 항체

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001024169A (ja) 1999-07-08 2001-01-26 Hitachi Ltd 半導体装置およびその製造方法
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
KR100468847B1 (ko) 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US6897508B2 (en) * 2002-05-01 2005-05-24 Sundew Technologies, Llc Integrated capacitor with enhanced capacitance density and method of fabricating same
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6723581B1 (en) * 2002-10-21 2004-04-20 Agere Systems Inc. Semiconductor device having a high-K gate dielectric and method of manufacture thereof
KR100519777B1 (ko) * 2003-12-15 2005-10-07 삼성전자주식회사 반도체 소자의 캐패시터 및 그 제조 방법
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
WO2006009807A1 (en) * 2004-06-17 2006-01-26 Cornell Research Foundation, Inc. Growth of inorganic thin films using self-assembled monolayers as nucleation sites
US7563727B2 (en) * 2004-11-08 2009-07-21 Intel Corporation Low-k dielectric layer formed from aluminosilicate precursors
US7498242B2 (en) * 2005-02-22 2009-03-03 Asm America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US20060199399A1 (en) * 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7160819B2 (en) 2005-04-25 2007-01-09 Sharp Laboratories Of America, Inc. Method to perform selective atomic layer deposition of zinc oxide
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
JP4753841B2 (ja) 2006-11-10 2011-08-24 株式会社日立国際電気 半導体デバイスの製造方法
JP5717967B2 (ja) * 2006-11-13 2015-05-13 ザ・リージエンツ・オブ・ザ・ユニバーシティ・オブ・コロラド 有機又は有機−無機ポリマーを製造するための分子層堆積法
KR100852234B1 (ko) 2006-11-17 2008-08-13 삼성전자주식회사 금속 산화막의 형성 방법, 이를 이용한 게이트 구조물의제조 방법 및 커패시터의 제조 방법
US8084087B2 (en) * 2007-02-14 2011-12-27 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US7678709B1 (en) * 2007-07-24 2010-03-16 Novellus Systems, Inc. Method of forming low-temperature conformal dielectric films
KR101446335B1 (ko) * 2008-07-10 2014-10-02 삼성전자주식회사 반도체 소자의 적층형 커패시터 제조방법
US20100047988A1 (en) 2008-08-19 2010-02-25 Youn-Joung Cho Methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
KR20100026213A (ko) 2008-08-29 2010-03-10 주식회사 하이닉스반도체 지르코늄산화막 제조방법 및 이를 이용한 캐패시터 제조방법
US9090971B2 (en) * 2009-05-11 2015-07-28 The Regents Of The University Of Colorado, A Body Corporate Ultra-thin metal oxide and carbon-metal oxide films prepared by atomic layer deposition (ALD)
US20140242811A1 (en) * 2013-02-27 2014-08-28 United Microelectronics Corp. Atomic layer deposition method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120028397A (ko) 2006-04-11 2012-03-22 에프. 호프만-라 로슈 아게 글리코실화된 항체
KR20110040329A (ko) 2009-10-14 2011-04-20 (주) 케이앤아이테크놀로지 이중 방사선 촬영 장비의 캘리브레이션 방법 및 이를 이용한 수술 후 관절 의 삼차원 위치 정보의 획득 방법

Also Published As

Publication number Publication date
JP2012235125A (ja) 2012-11-29
US9076647B2 (en) 2015-07-07
DE102012103623A8 (de) 2013-07-18
CN102760661B (zh) 2016-08-03
KR20120122887A (ko) 2012-11-07
US20120276721A1 (en) 2012-11-01
KR101895398B1 (ko) 2018-10-25
JP6128750B2 (ja) 2017-05-17
TWI541899B (zh) 2016-07-11
CN102760661A (zh) 2012-10-31
TW201248727A (en) 2012-12-01

Similar Documents

Publication Publication Date Title
DE102012103623A1 (de) Verfahren zum Bilden einer Oxidschicht und Verfahren zum Herstellen einer Halbleitervorrichtung mit der Oxidschicht
KR100505043B1 (ko) 커패시터 형성 방법
DE102007047857B4 (de) Halbleiteranordnungen und Verfahren zur Herstellung derselben
DE112010001364B4 (de) Verfahren zum Ausbilden eines Gatestapels mit hohem k-Wert und reduzierter effektiver Oxiddicke
DE102007002962B3 (de) Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US8288241B2 (en) Semiconductor device, method of manufacturing the same and adsorption site blocking atomic layer deposition method
US20040009679A1 (en) Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
DE102007055880B4 (de) Verfahren zur Herstellung eines Isoliermaterials, insbesondere in einer Halbleiteranordnung
DE102006000613A1 (de) DRAM mit High-K-Dielektrikum-Speicherkondensator und Verfahren zum Herstellen desselben
DE102007000677A1 (de) Halbleiteranordnungen und Verfahren zur Herstellung derselben
US20110028002A1 (en) Semiconductor device and method of manufacturing the same
DE102019220521A1 (de) Halbleiterbauelement und verfahren zum herstellen desselben
DE102015208657B4 (de) Halbleiterstruktur, die Kondensatoren mit verschiedenen Kondensatordielektrika umfasst, und Verfahren zu ihrer Herstellung
CN1921114A (zh) 半导体器件及其制造方法
DE102004016162A1 (de) Verfahren zum Bilden eines Metalloxidfilmes
DE10130936B4 (de) Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
DE10032210B4 (de) Kondensator für Halbleiterspeicherbauelement und Verfahren zu dessen Herstellung
KR100672935B1 (ko) 금속-절연막-금속 커패시터 및 그 제조방법
US11450554B2 (en) Method of forming material film, integrated circuit device, and method of manufacturing the integrated circuit device
Kawahara et al. Conformal step coverage of (Ba, Sr) TiO3 films prepared by liquid source CVD using Ti (t-BuO) 2 (DPM) 2
US20080173917A1 (en) Selective deposition method
KR20100094766A (ko) 스트론튬루테늄산화 박막 형성방법 및 이를 이용한 커패시터 제조방법
DE102005053322A1 (de) Kondensator mit Zirkondioxid und Verfahren zur Herstellung desselben
KR100293721B1 (ko) 탄탈륨 산화막을 유전막으로 갖는 캐패시터 제조방법
US20220416010A1 (en) Integrated circuit devices and methods of manufacturing the same

Legal Events

Date Code Title Description
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee