DE102006017938B4 - Fokusüberwachungsverfahren, Photomaske und photolithographisches System - Google Patents

Fokusüberwachungsverfahren, Photomaske und photolithographisches System Download PDF

Info

Publication number
DE102006017938B4
DE102006017938B4 DE102006017938A DE102006017938A DE102006017938B4 DE 102006017938 B4 DE102006017938 B4 DE 102006017938B4 DE 102006017938 A DE102006017938 A DE 102006017938A DE 102006017938 A DE102006017938 A DE 102006017938A DE 102006017938 B4 DE102006017938 B4 DE 102006017938B4
Authority
DE
Germany
Prior art keywords
focus
test
photomask
elements
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102006017938A
Other languages
English (en)
Other versions
DE102006017938A1 (de
Inventor
Ho-Chul Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE102006017938A1 publication Critical patent/DE102006017938A1/de
Application granted granted Critical
Publication of DE102006017938B4 publication Critical patent/DE102006017938B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7026Focusing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Verfahren zur Überwachung des Fokus eines lithographischen Prozesses, das die folgenden Schritte umfasst: – Erhalten eines Halbleiterwafers (1210) mit einer darauf ausgebildeten Photoresiststruktur (1211), wobei die Photoresiststruktur eine Teststruktur mit einem ersten und zweiten Testelement (T1', T2') beinhaltet, – Ermitteln einer kritischen Abmessung CD1 des ersten Testelements und einer kritischen Abmessung CD2 des zweiten Testelements, – Ermitteln einer CD-Differenz einer kritischen Abmessung (CD) zwischen den kritischen Abmessungen CD2 und CD1 und – Ermitteln einer Größe und Richtung eines Defokus aus einer besten Fokuseinstellung für den lithographischen Prozess basierend auf der ermittelten CD-Differenz.

Description

  • Die Erfindung bezieht sich auf ein Verfahren zum Überwachen des Fokus eines photolithographischen Prozesses, ein zugehöriges Programmstrukturbauelement, eine zugehörige Photomaske und ein zugehöriges Photolithographiesystem.
  • Photolithographie ist ein integraler Prozess bei der Herstellung von IC-Halbleiterbauelementen (Halbleiterbauelementen mit integrierten Schaltkreisen). Im Allgemeinen beinhaltet ein photolithographischer Prozess eine Beschichtung eines Halbleiterwafers (oder Substrats) mit einer Schicht aus Photoresist und ein Belichten des Photoresists mit einer aktinischen Lichtquelle (wie einem Excimerlaser, einer Quecksilberlampe etc.) durch eine Photomaske mit einem Bild eines integrierten Schaltkreises. Zum Beispiel kann ein lithographisches Gerät, wie ein Stepper für tiefes UV, zum Projizieren von Licht durch eine Photomaske und eine Linse mit hoher Apertur auf eine Photoresistschicht verwendet werden, wobei die Lichtintensität die Photomaskenstruktur auf das Photoresist wirft. Es wurden verschiedene Typen von Photomasken für die Lithographie entwickelt, die binäre Masken, eingebettete, gedämpfte Phasenschiebungsmasken (EAPSM), alternierende Apertur-Phasenschiebungsmasken (AAPSM) ebenso wie verschiedene Hybridmaskentypen beinhalten.
  • Gegenwärtig werden hochintegrierte Schaltkreis(IC)-Bauelemente mit IC-Bauelementstrukturen mit kleinen kritischen Abmessungen ausgelegt. Die kritische Abmessung (CD) bezieht sich auf die kleinste Breite einer Linie oder den kleinsten Abstand zwischen zwei Linien, die/der gemäß Auslegungsregeln für einen gegebenen Bauelementfertigungsprozess spezifiziert ist. Tatsächlich werden IC-Bauelemente gegenwärtig mit Elementabmessungen im Sub-Wellenlängenbereich gebaut, wobei die Schaltkreisbilder, die auf den Siliciumwafer gedruckt werden, kleiner als die Wellenlänge der zur Belichtung der Struktur verwendeten Lichtquelle sind. Zum Beispiel verwenden DUV-Stepper des Standes der Technik einen Argonfluorid(ArF)-Laser mit einer Wellenlänge von 193 nm, um integrierte Schaltkreise mit Elementabmessungen von 100 nm (0,1 Mikrometer) und darunter zu bilden.
  • Mit zunehmend kleineren Elementstrukturen (z. B. Subwellenlängen-Elementen) wird es jedoch als Ergebnis optischer Proximity-Effekte (OPE), die das lithographische Prozessfenster zum Drucken von Subwellenlängen-Elementen verringern, zunehmend schwierig, Anforderungen hinsichtlich der kritischen Abmessung zu genügen. Das OPE-Phänomen tritt aufgrund der Beugung von Lichtwellen an dicht beabstandeten, benachbarten Schaltkreiselementen auf, was verursacht, dass die Lichtwellen derart Wechselwirken, dass sie die transferierten Strukturelemente stören und strukturabhängige Prozessschwankungen erzeugen. Im Hinblick darauf wurden verschiedene Techniken entwickelt, um die Effekte von OPE beim Drucken von Subwellenlängen-Elementen zu mildern oder zu kompensieren.
  • Zum Beispiel werden allgemein bekannte Retikelverbesserungstechniken, wie optische Proximity-Korrektur(OPC)- und Phasenschiebungsmasken(PSM)-Techniken, zum Konstruieren von Photomasken verwendet. Mit OPC werden kleine Subauflösungs-Elemente (nichtdruckende Elemente) (wie ”Streuleisten”) in Schaltkreismaskenstrukturen eingebaut, um Proximity-Effekte zu kompensieren. Des Weiteren werden PSM-Techniken dazu verwendet, Photomasken zu konstruieren (z. B. alternierende Apertur-Phasenschiebungsmasken, eingebettete, gedämpfte Phasenschiebungsmasken etc), die Maskenstrukturen mit Phasenschiebungsstrukturen aufweisen, die so ausgelegt sind, dass Proximity-Effekte reduziert sind und der Kontrast an kritischen Kanten von Subwellenlängen-Elementen gesteigert ist. Andererseits ist allgemein bekannt, dass binäre Masken im Vergleich zu PSM-Strukturen aufgrund von Beugung empfindlicher gegenüber OPE sind, was die Möglichkeit beschränkt, binäre Masken zum lithographischen Drucken von Subwellenlängen-Elementen zu verwenden.
  • Die 1A, 1B und 1C veranschaulichen einen herkömmlichen Photolithographieprozess schematisch, der eine binäre Maskenstruktur verwendet. Insbesondere ist 1A eine Draufsicht auf eine binäre Photomaske 10, und 1B ist eine schematische Querschnittansicht der binären Photomaske 10 entlang einer Linie 1B-1B in 1A. Im Allgemeinen beinhaltet die binäre Maske 10 eine auf einem Maskensubstrat 12 ausgebildete Maskenstruktur 11. Das Maskensubstrat 12 ist aus einem Material gebildet, das für Belichtungslicht einer gegebenen Wellenlänge des Belichtungslichts transparent ist. Das Substrat 12 ist zum Beispiel typischerweise aus hochreinem Quarz oder Glas gebildet. Für eine binäre Maske ist die Bildstruktur 11 typischerweise aus einem lichtblockierenden Material, wie Chrom (Cr), mit einem Transmissionsvermögen von etwa 0% bei der gegebenen Wellenlänge gebildet, das dahingehend wirkt, den Durchtritt von Licht zu blockieren (und zu reflektieren). Im Hinblick darauf wird eine binäre Maske als eine reflektive Maske betrachtet.
  • In den 1A und 1B beinhaltet die Maskenstruktur 11 eine Mehrzahl von langgestreckten parallelen Linienelementen 11a mit einem Rastermaß P sowie Zwischenräumen 11b, die durch Ätzen einer Schicht aus lichtblockierendem Material (z. B. Cr) auf dem Maskensubstrat 12 gebildet sind. Die Maskenstruktur 11 kann mittels eines lithographischen Prozesses auf eine Photoresistschicht auf dem Substrat transferiert werden. Insbesondere kann, wie in 1B dargelegt, während eines Belichtungsprozesses Licht einer gegebenen Wellenlänge, das auf die strukturierte Oberfläche der Maske 10 einfällt, durch die belichteten Bereiche (z. B. die Zwischenräume 11b) der Photomaske 10 auf einen mit Photoresist (Resist) beschichteten Wafer derart projiziert werden, dass die Bereiche des Photoresists, die zu den Zwischenräumen 11b ausgerichtet sind, mit Licht belichtet werden. Mit einem positiven Resist können die belichteten Bereiche des Photoresists zum Beispiel während einer Entwicklung derart entfernt werden, dass die Maskenstruktur 11 in das Photoresist gedruckt wird.
  • Da die kritischen Abmessungen der zu druckenden Elemente kleiner werden und sich der Auflösung des lithographischen Belichtungsgeräts nähern, ist die Fähigkeit, kleine Elemente unter Verwendung binärer Maskentechniken präzise zu drucken, wegen optischer Proximity-Effekte aufgrund von Beugung per se signifikant reduziert. Diese Beschränkung ist in 1C schematisch dargestellt. 1C stellt insbesondere ein Halbleiterbauelement 14 dar, das eine auf einem Halbleitersubstrat 16 (z. B. einem Wafer) ausgebildete Photoresistschicht 15 beinhaltet. In 1C ist angenommen, dass die Photoresistschicht 15 ein ”positives Resist” ist, das unter Verwendung der binären Maske 10 der 1A und 1B mit 1X-Verkleinerung belichtet wird. Es ist weiter angenommen, dass die kritischen Abmessungen der Linienelemente 11a und der Zwischenräume 11b, die zu drucken sind, nahe der Auflösungsgrenze des Belichtungssystems liegen.
  • Wie in 1C dargestellt, verhindern die optischen Proximity-Effekte aufgrund der eng beabstandeten Linienelemente 11a, dass die Linien-Zwischenraum-Strukturen in das Photoresist 15 gedruckt werden. Insbesondere stellt 1C die Kurve 13 des elektrischen Feldes (Höhe und Richtung) in der Waferebene über das Photoresist 15 hinweg aufgrund von Beugungseffekten dar. Insbesondere aufgrund der geringen Abmessungen der Linien- und Zwischenraumelemente 11a, 11b verursachen Beugungseffekte von auf das Photoresist 15 einfallendem Licht, dass elektrische Feldvektoren benachbarter Zwischenraumelemente 11b Wechselwirken und sich konstruktiv addieren, so dass die Lichtintensität in Bereichen des Photoresists 15 zunimmt, die zu den Linienelementen 11a ausgerichtet sind. 1C stellt einen Umstand dar, in dem das elektrische Feld 13 den Photoresistbelichtungsschwellenwert Tp über den gesamten Bereich des Photoresists erreicht oder übersteigt, der zu der Linien-Zwischenraum-Struktur 11a, 11b ausgerichtet ist. Als ein Ergebnis werden die Linienelemente 11a nicht gedruckt, und die Zwischenraumelemente 11b werden als ein einziges breites Zwischenraumelement statt als diskrete Zwischenraumelemente in das Photoresist 15 gedruckt. Diese Beugungseffekte können unter Verwendung von PSM-Techniken gemildert werden.
  • Die 2A, 2B und 2C stellen schematisch einen herkömmlichen Photolithographieprozess dar, der eine EAPSM-Struktur (eingebettete gedämpfte Phasenschiebungsmaskenstruktur) verwendet. Speziell ist 2A eine Draufsicht auf eine EAPSM-Struktur 20, und 2B ist eine schematische Querschnittansicht der EAPSM-Struktur 20 entlang einer Linie 2B-2B in 2A. Im Allgemeinen beinhaltet die EAPSM-Struktur 20 eine auf einem Maskensubstrat 22 ausgebildete Maskenstruktur 21. Das Maskensubstrat 22 ist aus einem Material wie hochreinem Quarz oder Glas gebildet, das bei einer gegebenen Wellenlänge des Belichtungslichts transparent ist. Die Maskenstruktur 21 ist aus einem lichtblockierenden Material (oder Phasenschiebungsmaterial), wie Molybdänsilicid (MoSi), mit einem Transmissionsvermögen in einem Bereich von 2% bis 10% bei einer gegebenen Wellenlänge gebildet. Die 2A und 2B stellen eine Maskenstruktur 21 dar, die eine Mehrzahl langgestreckter, paralleler Linienelemente 21a mit einem Rastermaß P und Zwischenräume 21b ähnlich der Linien-Zwischenraum-Maskenstruktur der 1A/B beinhaltet. Im Vergleich zu der Photomaske 10 der 1A/B verursacht die Photomaske 20 in den 2A/2B eine destruktive DUV-Interferenz auf der Waferebene, die ermöglicht, dass Linienelemente präziser in Subwellenlängen-Abmessungen gedruckt werden, die kleiner als die Lichtwellenlänge sind. Dies ist in 2C konzeptionell dargestellt.
  • Speziell stellt 2C ein Halbleiterbauelement 24 dar, das eine auf einem Halbleitersubstrat 26 (z. B. einem Wafer) ausgebildete Photoresistschicht 25 beinhaltet. In 2C ist angenommen, dass die Photoresistschicht 25 ein ”positives Resist” ist, das unter Verwendung der binären Maske 20 der 2A/2B mit 1X-Verkleinerung belichtet wird. 2C stellt eine Kurve 23 eines resultierenden elektrischen Feldes (Höhe und Richtung) in einer Waferebene über das Photoresist 25 hinweg dar. Die Linienelemente 21a ermöglichen, dass ein kleiner Prozentsatz von einfallendem Licht das Maskensubstrat 22 zu dem Photoresist durchläuft, die Intensität von derartigem Licht ist jedoch schwach und belichtet das Resist 25 auf dem Wafer 26 nicht. Die Maskenlinienelemente 21a induzieren eine Phasenverschiebung von Licht, das die Maske 20 durchläuft, um 180° im Vergleich zu Licht, das die Maske 20 in belichteten Bereichen des Substrats 22 durchläuft (bei Zwischenraumelementen 21b), was den Bildkontrast an kritischen Kanten der Maskenelemente erhöht und somit die Auflösung des Lithographieprozesses erhöht. Spezieller tritt, wie in 2C dargestellt, an den kritischen Kanten der Linienelemente 21a benachbart zum Glas destruktive Interferenz auf. Im Hinblick darauf wird die Intensität des elektrischen Feldes deutlich unterhalb des Resistschwellenwertes Tp in Bereichen des Photoresists 25 gehalten, die zu den Maskenlinienelementen 21a ausgerichtet sind, was eine erhöhte Auflösung für das Drucken von Linien-Zwischenraum-Strukturen mit Subwellenlängen-CDs unter Verwendung momentan zur Verfügung stehender lithographischer Geräte ermöglicht.
  • Alternierende Apertur ist eine weitere PSM-Technik, die auf destruktiver DUV-Interferenz beruht, um die Effekte von OPE zu reduzieren und Elemente im Subwellenlängenbereich zu drucken. Die 3A, 3B und 3C stellen zum Beispiel einen herkömmlichen Photolithographieprozess unter Verwendung einer AAPSM (Phasenschiebungsmaske mit alternierender Apertur) schematisch dar. Speziell ist 3A eine Draufsicht auf eine AAPSM-Struktur 30, und 3B ist eine schematische Querschnittansicht der AAPSM-Struktur 30 entlang einer Linie 3B-3B in 3A. Im Allgemeinen beinhaltet die AAPSM-Struktur 30 eine auf einem Maskensubstrat 32 ausgebildete Maskenstruktur 31. Das Maskensubstrat 32 ist aus einem Material wie hochreinem Quarz oder Glas gebildet, das bei einer gegebenen Wellenlänge des Belichtungslichts transparent ist. Die Maskenstruktur 31 ist aus einem lichtblockierenden Material, wie Chrom (Cr), mit einem Transmissionsvermögen von etwa 0% bei der gegebenen Wellenlänge gebildet, das dahingehend wirkt, den Durchgang von Licht zu blockieren (und dieses zu reflektieren). Die 3A und 3B stellen eine Maskenstruktur 31 dar, die eine Mehrzahl langgestreckter paralleler Linienelemente 31a mit einem Rastermaß P und Zwischenräume 31b ähnlich der Linien-Zwischenraum-Maskenstruktur der 1A/1B beinhaltet. Im Vergleich zu der Photomaske 10 der 1A/1B beinhaltet die Photomaske 30 in 3A/3B des Weiteren Gräben 32a, die selektiv in das Masken(Quarz)-Substrat 32 in jedes zweite der Zwischenraumelemente 31b geätzt sind. Die Gräben 32a erzeugen eine Phasenverschiebung von 180° relativ zu jenen Bereichen des Maskensubstrats, die nicht geätzt sind. Die resultierenden Phasenunterschiede führen zu einer destruktiven DUV-Interferenz, die den Bildkontrast verbessert. Dies ist in 3C konzeptionell dargestellt.
  • Speziell stellt 3C ein Halbleiterbauelement 34 mit einer auf einem Halbleitersubstrat 36 (z. B. einem Wafer) ausgebildeten Photoresistschicht 35 dar. In 3C ist angenommen, dass die Photoresistschicht 35 ein ”positives Resist” ist, das unter Verwendung der binären Maske 30 der 3A/3B mit 1X-Verkleinerung belichtet wird. 3C stellt eine Kurve 33 eines resultierenden elektrischen Feldes (Höhe und Richtung) in einer Waferebene über das Photoresist 35 hinweg dar. Die Zwischenraumelemente 31b ermöglichen, dass einfallendes Licht durch das Maskensubstrat 32 hindurch zu dem Photoresist läuft, während die Linienelemente 31a Licht reflektieren. Die Gräben 32a induzieren eine Phasenverschiebung von 180° von Licht, das die Maske 30 durchläuft, im Vergleich zu Licht, das die Maske 30 durch die freiliegenden, ungeätzten Bereiche des Substrats 32 bei Zwischenraumelementen 31b durchläuft. Als ein Ergebnis ist das elektrische Feld 33 auf entgegengesetzten Seiten der Linienelemente 31a von gleicher Höhe und entgegengesetzter Phase, und in den Übergängen zwischen den geätzten und ungeätzten Bereichen tritt destruktive Interferenz auf, die eine dunkle Fläche erzeugt, die den Bildkontrast für das Drucken der Linien-Zwischenraum-Elemente 31a, 31b in dem Resist 36 mit hoher Präzision steigert.
  • Wenngleich vorstehend erörterte PSM-Techniken allgemein dazu verwendet werden können, eine vergrößerte Auflösung für das Drucken von Subwellenlängen-Elementen bereitzustellen, ist die Qualität, mit welcher derartige Elemente lithographisch repliziert werden können, in erster Linie von der Abmessung des lithographischen Prozessfensters abhängig. Im Allgemeinen bezieht sich der Ausdruck ”Prozessfenster”, wie auf dem Fachgebiet allgemein bekannt ist, auf das Ausmaß an Schwankung in der Belichtungsdosis und dem Fokus, das toleriert werden kann, so dass die Eigenschaften von gedruckten Photoresistelementen (z. B. Linienbreite, Wandwinkel, Resistdicke) innerhalb vorgeschriebener Spezifikationen gehalten werden. Für eine gegebene lithographische Umgebung kann die Empfindlichkeit derartiger Photoresistelemente gegenüber Änderungen der Belichtungsdosis und des Fokus experimentell (oder durch Computersimulationen) bestimmt werden, indem eine Matrix von Fokus-Belichtungs-Daten gewonnen wird. Für einen gegebenen lithographischen Prozess und eine Maske können die Daten einer Fokus-Belichtungs-Datenmatrix zum Beispiel dazu verwendet werden, eine Variation der Linienbreite als Funktion von Fokus und Belichtungsdosis zu bestimmen.
  • 4A ist ein exemplarischer Bossung(Fokus-Belichtungs)-Plot, der parametrische Kurven von Linienbreite (CD) in Abhängigkeit vom Fokus mit der Belichtungsdosis als einem Parameter beinhaltet. Speziell stellt der exemplarische Bossung-Plot die Variation von CD (y-Achse) als Funktion des Defokus (x-Achse) bei verschiedenen Belichtungsenergien (E1~E5) dar. In 4A bezeichnet eine gestrichelte Linie (40) eine Ziel-CD (nominelle CD), und gestrichelte Linien 41 beziehungsweise 42 repräsentieren die akzeptablen oberen (CD+) und unteren (CD–) Werte, die von der Ziel-CD 40 abweichen. Der Defokussierungsparameter (x-Achse) bezeichnet eine relative Abweichung von einer besten Fokus-Position. In 4A ist die beste Fokus-Position als Defokussierung = 0 dargestellt.
  • Ein lithographischer Prozess wird als robust angesehen, wenn große Variationen von Fokus und Dosis die Ziel-CD 40 minimal beeinflussen (wobei die gedruckten CDs innerhalb eines gewünschten Bereichs von akzeptablen CDs gehalten werden). Speziell kann ein nutzbares Prozessfenster als die Kombination von DOF (Tiefenschärfe) und Belichtungsspielraum (EL) spezifiziert werden, die gedruckte Elemente innerhalb von +/–10% einer Ziel-CD hält. Der Ausdruck Belichtungsspielraum (EL) bezeichnet einen Dosisbereichsprozentsatz von Belichtungsenergien (üblicherweise als Prozent-Variation vom Nominalwert ausgedrückt), der die CD innerhalb spezifizierter Grenzen hält. Der nutzbare Fokusbereich oder die Tiefenschärfe (DOF) bezieht sich typischerweise auf den Bereich von Fokuseinstellungen, in dem die laterale Abmessung des gedruckten Elements oder des Zwischenraums zwischen Elementen innerhalb einer Spezifikation liegt, die typischerweise +/–10% einer Ziel-Linienbreite oder Ziel-CD beträgt. Das Konzept von DOF ist in 4B schematisch dargestellt.
  • Speziell stellt 4B einen lithographischen Projektionsprozess dar, der ein Retikel zur Belichtung eines mit Photoresist beschichteten Substrats verwendet. Speziell ist 4B eine schematische Grobdarstellung eines Projektionssystems, das eine Lichtquelle 43, eine Kondensorlinse 44, ein Retikel 45 und eine Projektionslinse 46 beinhaltet. Die Lichtquelle 43 emittiert Licht, das auf die Kondensorlinse 44 einfällt. Das Licht durchläuft die Kondensorlinse 44 und bestrahlt die gesamte Oberfläche des Retikels 45 gleichmäßig, auf dem eine vorgegebene Struktur ausgebildet ist. Danach ist das Licht, welches das Retikel 45 durchläuft, um einen vorgegebenen Skalenfaktor über die Projektionslinse 46 reduziert und belichtet eine Photoresistschicht 47 auf dem Halbleitersubstrat 48. Durch Verwenden der Projektionsoptik 46 ist die Abmessung von Maskenelementen auf dem Retikel 45 typischerweise vier oder fünf Mal größer als das gleiche Element, das in das Photoresist 47 gedruckt wird. Zum Beispiel wandelt sich ein Maskenlinienelement mit einer Breite von 1 Mikrometer auf dem Retikel in eine Linie mit einer Breite von 0,2 Mikrometer, die in einem Projektionssystem mit 5X-Verkleinerung in das Photoresist gedruckt wird.
  • 4B stellt DOF konzeptionell dar. Im Allgemeinen ist die Brennebene des optischen Systems die Ebene, die den Brennpunkt FP enthält. Die Brennebene wird typischerweise als die Ebene des besten Fokus des optischen Systems bezeichnet. Der Ausdruck Fokus bezieht sich auf die Position der Ebene des besten Fokus des optischen Systems relativ zu einer Referenzebene, wie der Oberseite der Resistschicht oder der Mitte des Photoresists, gemessen entlang der optischen Achse (d. h. senkrecht zu der Ebene des besten Fokus). Zum Beispiel befindet sich, wie in 4B dargestellt, die Ebene des besten Fokus (Fokusebene) nahe der Oberfläche der Photoresistschicht 47. In der exemplarischen Ausführungsform von 4B ist der Fokus auf die Position der Oberfläche der Resistschicht 47 relativ zu der Brennebene des Abbildungssystems eingestellt. Der Ausdruck Defokus bezieht sich auf den Abstand, gemessen entlang der optischen Achse (d. h. senkrecht zu der Ebene des besten Fokus), zwischen der aktuellen Position der Bezugsebene des mit Resist beschichteten Wafers (d. h. der Oberfläche der Resistschicht 47) und der Position, wenn der Wafer sich im besten Fokus befindet. Während eines photolithographischen Prozesses kann sich der Fokus vom besten Fokus zu einer +/–-Defokusposition ändern. DOF bezieht sich auf den akzeptablen Bereich eines +/–-Defokus.
  • Wieder bezugnehmend auf 4A können Schwankungen von Fokus und Belichtungsdosis zu einer Zunahme oder Abnahme der CDs von gedruckten Elementen (von der Ziel-CD) außerhalb des akzeptablen Bereichs von CDs führen. Im Allgemeinen wird ein schmales Prozessfenster realisiert, wenn sich die Linienbreite drastisch als Funktion einer Fokusänderung ändert. Wie zum Beispiel in 4A dargelegt, stellen die Kennlinien E1, E2, E4 und E5 dar, dass die CD für die entsprechenden Belichtungsdosen empfindlicher gegenüber Abweichungen des Fokus aus der besten Fokusposition ist (Defokus = 0). Im Gegensatz dazu ist die Kurve E3 linearer, was anzeigt, dass die CD für die gegebene Belichtungsdosis weniger empfindlich gegenüber Abweichungen des Fokus aus der besten Fokusposition (Defokus = 0) ist.
  • Wenngleich vorstehend erörterte Verbesserungstechniken, wie AAPSM und EAPSM, dazu verwendet werden können, die Auflösung zu verbessern, können derartige Techniken komplex und kostenintensiv sein und können eine vergrößerte Chipabmessung erfordern. Außerdem ist die PSM-Technologie dem ”verbotenes Rastermaß”-Phänomen unterworfen, was zu reduzierten Prozessfenstern führt. Spezieller kann es bei außeraxialer Beleuchtung für ein gegebenes Element und eine Ziel-CD ein oder mehrere Rastermaße geben, bei denen der Prozessspielraum einer dichten Struktur eines derartigen Elements schlechter als jener eines isolierten Elements der gleichen Abmessung ist. Wenn die außeraxiale Beleuchtung für ein gegebenes Rastermaß (z. B. das kleinste Rastermaß auf der Maske) optimiert ist, kann es eine Struktur mit einem Rastermaß geben, bei dem der Beleuchtungswinkel zusammen mit dem Beugungswinkel in Beugung resultiert, die eine reduzierte DOF für jenes Rastermaß ergibt. Das verbotene Rastermaß-Phänomen wurde zu einem beschränkenden Faktor in der fortgeschrittenen Photolithographie zum Drucken von Elementen im Subwellenlängenbereich.
  • Belichtungsgeräte weisen ein ”Fokus-Budget” auf, das eine minimale DOF-Anforderung eines Photolithographie-Prozesses bezeichnet, die erforderlich ist, um Fokusschwankungen des Belichtungsgeräts abzudecken. Wenn die DOF eines gegebenen Layout-Strukturrastermaßes nicht größer als das von dem Belichtungsgerät geforderte Fokus-Budget ist, wird das Layout-Strukturrastermaß als verbotenes Rastermaß betrachtet. Derart verbessert die Fähigkeit, das verbotene Rastermaß-Phänomen zu mildern, im Allgemeinen die CDs und den Prozessspielraum, die unter Verwendung von gegenwärtigen Halbleiterbauelement-Fertigungsgeräten und -techniken erhältlich sind.
  • Beim Drucken von Elementen im Subwellenlängenbereich ist es wichtig, die CD-Gleichmäßigkeit zu steuern. Kleinere Variationen der Parameter des Belichtungsprozesses auf dem photolithographischen Belichtungsgerät (Scanner/Stepper) können jedoch bewirken, dass die kritischen Abmessungen (CD) von gedruckten Elementen außerhalb akzeptabler Fertigungstoleranzen fallen. Die DOF wird zum Beispiel allgemein als einer der kritischsten Faktoren bei der Bestimmung der Auflösung der photolithographischen Projektionsvorrichtung angesehen. Während eines photolithographischen Prozesses kann der Brennpunkt des Belichtungssystems z. B. aufgrund von Temperatur- oder Druckdriften, Schwankungen der Waferebenheit oder anderen Faktoren über oder unter die gewünschte Referenzoberfläche des mit Photoresist beschichteten Substrats driften. In Abhängigkeit vom Prozessfenster kann das Maß an Fokusverschiebung (oder Defokus) aus dem besten Fokus heraus einen dramatischen Effekt auf die Abmessung des gedruckten Merkmals haben. Derart ist es äußerst wünschenswert, in der Lage zu sein, den Prozess derart zu steuern, dass der Fokus für jeden Wafer innerhalb eines nutzbaren Bereichs gehalten wird. Im Hinblick darauf kann das Maß an Defokus nicht ohne ein adäquates Verfahren zum Messen des besten Fokus bestimmt werden.
  • Im Hinblick auf das Vorstehende ist es äußerst wünschenswert, Maskentechniken und OPC-Lösungen zu entwickeln, um lithographische Prozessfenster zu verbessern und die Auflösung gegenwärtiger optischer Belichtungssysteme zum Präzisionsdrucken von Elementen im Subwellenlängenbereich zu erhöhen. Außerdem ist es in Anbetracht der Empfindlichkeit der CD-Variation im Hinblick auf Fokusdriften in Lithographieprozessen im Subwellenlängenbereich äußerst wünschenswert, Techniken für ein effizientes Detektieren von Brennpunktdriften (Höhe und Richtung) während eines photolithographischen Prozesses zu entwickeln und eine automatisierte Steuerung eines Belichtungsgeräts zum Einstellen des Brennpunkts und Erzielen von CD-Gleichmäßigkeit zu ermöglichen.
  • In den Offenlegungsschriften US 2003/0031943 A1 , US 2003/0095267 A1 und US 2003/0175600 A1 sowie der Patentschrift US 5.300.786 A sind verschiedene herkömmliche Verfahren zur Überwachung des Fokus eines lithografischen Prozesses offenbart, in deren Verlauf Halbleiterwafer mit darauf ausgebildeten Fotoresiststrukturen erhalten werden, die Teststrukturen umfassen, aus deren Lage, Größe oder Verschiebung sich Größe und Richtung eines Defokus aus einer besten Fokuseinstellung für den lithografischen Prozess ermitteln lassen.
  • Der Erfindung liegt als technisches Problem die Bereitstellung eines Fokusüberwachungsverfahrens, eines Programmspeicherbauelements mit einem Programm von Anweisungen zur Ausführung dieses Verfahrens, eine zur Verwendung mit diesem Verfahren geeignete Photomaske sowie ein zugehöriges Photolithographiesystem zugrunde, die in der Lage sind, die oben genannten Unzulänglichkeiten des Standes der Technik zu reduzieren oder zu vermeiden und insbesondere verbesserte lithographische Prozessfenster und Auflösung ebenso wie eine effiziente Detektion von Brennpunktdriften während eines photolithographischen Prozesses ermöglichen.
  • Die Erfindung löst dieses Problem durch die Bereitstellung eines Verfahrens mit den Merkmalen des Anspruchs 1, eines Programmspeicherbauelements mit den Merkmalen des Anspruchs 13, einer Photomaske mit den Merkmalen des Anspruchs 14 und eines Photolithographiesystems mit den Merkmalen des Anspruchs 22. Vorteilhafte Weiterbildungen der Erfindung sind in den Unteransprüchen angegeben.
  • Im Allgemeinen beinhalten exemplarische Ausführungsformen der Erfindung Systeme und Verfahren zum Detektieren von Fokusdriften in einem photolithographischen Prozess. Spezieller beinhalten exemplarische Ausführungsformen der Erfindung Verfahren zum Aufbauen von Photomasken mit Teststrukturen, die dafür ausgelegt sind, Testelemente mit kritischen Abmessungen zu drucken, die gemessen und analysiert werden können, um Größe und Richtung einer Defokussierung aus einer besten Fokusposition einer Belichtungseinrichtung während eines lithographischen Prozesses zu bestimmen.
  • Vorteilhafte Ausführungsformen werden im Folgenden beschrieben und sind in den Zeichnungen gezeigt, die außerdem die vorstehend zum leichteren Verständnis der Erfindung erläuterten herkömmlichen Ausführungsformen darstellen. Es zeigen:
  • 1A, 1B und 1C schematisch einen herkömmlichen Photolithographieprozess, der eine binäre Maskenstruktur verwendet,
  • 2A, 2B und 2C schematisch einen herkömmlichen Photolithographieprozess, der eine EAPSM (eingebettete gedämpfte Phasenschiebungsmaske) verwendet,
  • 3A, 3B und 3C schematisch einen herkömmlichen Photolithographieprozess, der eine AAPSM (Phsenschiebungsmaske mit alternierender Apertur) verwendet,
  • 4A einen exemplarischen Bossung(Brennpunkt-Belichtungs)-Plot, der Kennlinien der Linienbreite (CD) in Abhängigkeit vom Fokus mit der Belichtungsdosis als Parameter enthält,
  • 4B schematisch einen lithographischen Projektionsprozess, der ein Retikel zur Belichtung eines mit Photoresist beschichteten Substrats verwendet,
  • 5A und 5B schematisch eine Photomaskenstruktur gemäß einer exemplarischen Ausführungsform der Erfindung,
  • 5C schematisch einen Photolithographieprozess, der die exemplarische Photomaske der 5A und 5B verwendet,
  • 6A bis 6F schematisch ein Verfahren zum Aufbauen einer Photomaskenstruktur gemäß einer exemplarischen Ausführungsform der Erfindung,
  • 7 eine herkömmliche Photomaskenstruktur,
  • 8 eine Photomaskenstruktur gemäß einer exemplarischen Ausführungsform der Erfindung,
  • 9A und 9B graphisch lithographische Prozessfenster, die basierend auf Computersimulationen erhalten wurden, die mit der Photomaskenstruktur von 7 durchgeführt wurden,
  • 10A und 10B graphisch lithographische Prozessfenster, die basierend auf Computersimulationen erhalten wurden, die mit der Photomaskenstruktur von 8 durchgeführt wurden,
  • 11A und 11B graphisch lithographische Prozessfenster, die basierend auf Computersimulationen erhalten wurden, die mit der Photomaskenstruktur von 8 durchgeführt wurden,
  • 12A schematisch eine Photomaskenstruktur mit einer Teststruktur, die zum Überwachen von Brennpunktvariationen verwendet wird, gemäß einer exemplarischen Ausführungsform der Erfindung,
  • 12B schematisch eine gedruckte Teststruktur, die durch Belichten eines mit Resist beschichteten Wafers unter Verwendung der exemplarischen Photomaskenstruktur von 12A erhalten wurde,
  • 13A und 13B graphisch Fokus-Belichtungs-Matrizen mit Prozessparametern, die dazu gedacht sind, Fokusvariationen mit gemessenen CD-Werten für eine Zielteststruktur zu korrelieren,
  • 13C ein Fokusantwortplot, der die Bestimmung der Fokusrichtungsverschiebung basierend auf gemessenen CD gemäß einer exemplarischen Ausführungsform der Erfindung darstellt,
  • 14 schematisch ein optisches Waferinspektionssystem, das zum Detektieren von Prozessänderungen verwendet wird, gemäß einer Ausführungsform der Erfindung.
  • Nunmehr werden unter Bezugnahme auf die begleitenden Zeichnungen exemplarische Photomaskenstrukturen und Verfahren zum Verwenden von Photomaskenstrukturen zur Verbesserung von lithographischen Prozessfenstern und zur Ermöglichung einer Brennpunktdetektion zur Herstellung derartiger Bauelemente gemäß exemplarischen Ausführungsformen der Erfindung vollständiger beschrieben. Es versteht sich, dass die Zeichnungen lediglich schematische Darstellungen sind, bei denen die Dicke und Abmessungen verschiedener Elemente, Schichten und Bereiche nicht maßstäblich, sondern zwecks Klarheit übertrieben dargestellt sind. Es versteht sich des Weiteren, dass wenn eine Schicht hierin als ”auf” oder ”über” einer anderen Schicht oder einem anderen Substrat beschrieben ist, eine derartige Schicht direkt auf der anderen Schicht oder dem Substrat liegen kann oder auch zwischenliegende Schichten vorhanden sein können. Es versteht sich des Weiteren, dass die gleichen Bezugszeichen, die überall in den Zeichnungen verwendet werden, Elemente bezeichnen, welche gleich oder ähnlich sind oder die gleichen oder ähnlichen Funktionen aufweisen.
  • Die 5A und 5B stellen schematisch eine Photomaske gemäß einer exemplarischen Ausführungsform der Erfindung dar. Speziell ist 5A eine Draufsicht auf eine exemplarische Photomaske 50, und 5B ist eine Querschnittansicht der exemplarischen Photomaske 50 entlang einer Linie 5B-5B in 5A. Im Allgemeinen beinhaltet die Photomaske 50 eine auf einem Maskensubstrat 55 ausgebildete Maskenstruktur. Die Maskenstruktur beinhaltet ein langgestrecktes Stegelement 51 gemäß einer exemplarischen Ausführungsform der Erfindung. Das langgestreckte Stegelement 51 ist ein druckbares Element mit einer Dicke t und einer Breite W4 zwischen kritischen Kanten 51a und 51b. Das langgestreckte Stegelement 51 beinhaltet ein langgestrecktes erstes lichtblockierendes Element 52 der Breite W1, ein langgestrecktes zweites lichtblockierendes Element 54 der Breite W2 und ein inneres Phasenschiebungselement 53 (oder was hierin auch als ”Phasensteg” bezeichnet wird, der zwischen dem ersten und dem zweiten lichtblockierenden Element 52 und 54 angeordnet ist). Der Phasensteg 53 ist ein innerer Bereich der Breite W3, der sich bis zu einer Tiefe d unter die Oberfläche des Maskensubstrats 55 in das Maskensubstrat 55 hinein erstreckt.
  • Im Allgemeinen ist der Phasensteg 53 ein nicht-druckendes, auflösungsverbesserndes Element, das mit verschiedenen Maskentechnologien ausgeführt werden kann, um das Prozessfenster zum Drucken von Elementen im Subwellenlängenbereich zu verbessern. Der Phasensteg 53 ist so gebildet, dass er Subauflösungsabmessungen (z. B. eine Breite W3, die geringer als die Auslegungs-CD ist) aufweist, so dass der Phasensteg 53 nicht gedruckt wird. Im Wesentlichen ist der Phasensteg 53 ein innerer lichttransmittierender Bereich des langgestreckten Stegelements 51, der ein Transmissionsvermögen von 100% für Licht bereitstellt, das relativ zu dem Licht, das durch die belichteten lichttransmittierenden Bereiche des Substrats 55 transmittiert wird, die das Stegelement 51 umgeben, phasenverschoben ist. Das Maß an Phasenverschiebung ist von. der Grabentiefe d des Phasenstegs 53, dem Material des Maskensubstrats 55 und der Wellenlänge der Lichtquelle abhängig. In einer exemplarischen Ausführungsform ist der Phasensteg 53 so ausgelegt, dass er Licht mit einer Phasenverschiebung von 180° gegenüber Licht transmittiert, das in den umgebenden lichttransmittierenden Bereichen transmittiert wird. Speziell wird die Tiefe d des Grabens zur Bereitstellung einer Phasenverschiebung von 180° wie folgt bestimmt: d·(ηSubstratLuft) = λ/2. Der resultierende Phasenunterschied führt zu Interferenz, was den Bildkontrast verbessert.
  • Des Weiteren kann das Gesamttransmissionsvermögen des Stegelements 51 durch Variieren der Abmessungen der Bestandteile 52, 53, 54 (z. B. der Breiten W1, W2 und W3) und/oder des Materialtyps gesteuert werden, der zur Bildung der lichtblockierenden Elemente 52 und 54 verwendet wird. Speziell beinhaltet das Stegelement 51 drei Stege, die kollektiv als ein einzelnes Stegelement mit einem effektiven Transmissionsvermögen von ((W1·T1) + (W2·T2) + (W3·T3))/W4 wirken, wobei T1, T2 und T3 das %-Transmissionsvermögen des ersten lichtblockierenden Stegs 52, des zweiten lichtblockierenden Stegs 54 beziehungsweise des Phasenstegs 53 bezeichnen. Wie vorstehend erwähnt, stellt der Phasensteg 53 ein Transmissionsvermögen von 100% bereit. Die Transmissionsgrade T1 und T2 der lichtblockierenden Elemente 52 und 54 variieren in Abhängigkeit vom Material. Es kann zum Beispiel ein lichtblockierendes Material wie Chrom mit einem Transmissionsvermögen von 0% verwendet werden, oder es kann ein lichtblockierendes Material wie MoSi mit einem geringen %-Transmissionsvermögen von etwa 5% bis 10% verwendet werden. Tatsächlich sind die lichtblockierenden Elemente 52 und 54 so strukturiert und dimensioniert, dass der Prozentsatz der Lichttransmission und die Verteilung der Lichtintensität zwischen den äußeren Lichttransmissionsbereichen und dem inneren lichttransmittierenden(Phasensteg-)Bereich gesteuert werden, um den Bildkontrast zu optimieren. Dies steht im Gegensatz zu herkömmlichen Photomaskentechniken, bei denen das Transmissionsvermögen der Stege nicht modifiziert werden kann.
  • Die verschiedenen Elemente 52, 53 und 54 des Stegelements 51 können so ausgelegt werden, dass die Lichtintensität über die Photoresistoberflache hinweg in einer Weise verteilt wird, dass der optische Kontrast an den kritischen Kanten 51a und 51b des Elements optimal gesteigert wird, um dadurch die Auflösung und das Prozessfenster zum Drucken des Stegelements 51 zu verbessern. 5C stellt zum Beispiel schematisch einen Photolithographieprozess dar, der die exemplarische Photomaske 50 verwendet. 5C stellt eine Kurve 57 des elektrischen Feldes auf der Waferebene entlang einer Photoresistschicht auf einem Substrat 58 dar, die durch Belichten des mit positivem Resist beschichteten Substrats 58 unter Verwendung der exemplarischen Photomaske 50 resultiert. In dem Beispiel wird angenommen, dass das Stegelement 51 aus einem lichtblockierenden Material (oder Phasenschiebungsmaterial), wie Molybdänsilicid (MoSi), mit einem Transmissionsvermögen in einem Bereich von 2% bis 10% bei der gegebenen Wellenlänge gebildet wird und dass die Tiefe d des Grabenelements des Phasenstegs 53 eine Phasenverschiebung von 180° bereitstellt. 5C stellt die resultierende gedruckte Resiststruktur 59 mit der Breite W4 dar, die dem langgestreckten Stegelement 51 entspricht. Der innere Phasenverschiebungsbereich 53 ermöglicht eine Transmission von um 180° verschobenem Licht zu 100%, wird jedoch nicht in das Resistelement 59 gedruckt.
  • Die 6A bis 6F stellen schematisch ein Verfahren zum Aufbauen einer Photomaske gemäß einer exemplarischen Ausführungsform der Erfindung dar. Speziell stellen die 6A bis 6F für illustrative Zwecke ein Verfahren zum Aufbauen der exemplarischen Photomaske 50 der 5A und 5B dar. Anfänglich bezugnehmend auf 6A werden eine Maskenmaterialschicht 51' und eine Photoresistschicht 60 sequentiell auf einem Maskensubstrat 55 gebildet. Die Photoresistschicht 60 wird bearbeitet, um eine Resiststruktur 60a zu bilden, wie in 6B gezeigt. In einer exemplarischen Ausführungsform wird die Photoresiststruktur 60a unter Verwendung eines Laserbelichtungsprozesses gebildet, um gewünschte Bereiche der Photoresistschicht 60 gemäß einer vorgegebenen Maskenlayoutauslegung zu belichten, gefolgt von einem Entwicklungsprozess, um die laserbelichteten Bereiche des Photoresists 60 zu entfernen.
  • Bezugnehmend auf 6C wird die Photoresiststruktur 60a als Ätzmaske zum Ätzen der Maskenmaterialschicht 51' unter Verwendung bekannter Techniken verwendet, um die Schicht 51' zu strukturieren und die Photomaskenstruktur zu erzeugen. Wie zum Beispiel in 6C gezeigt, werden während des ersten Ätzprozesses die lichtblockierenden Elemente 52 und 54 für das langgestreckte Stegelement 51 gebildet. Bezugnehmend auf 6D wird eine zweite Photoresiststruktur 61 gebildet, um den Zwischenraumbereich zwischen den lichtblockierenden Elementen 52 und 54 zu belichten. In 6E wird ein Ätzprozess unter Verwendung der Photoresiststruktur 61 als Ätzmaske durchgeführt, um einen Graben in das Maskensubstrat 55 bis zu einer gewünschten Tiefe d zu ätzen. In 6F wird die Photoresistmaske 61 dann entfernt, was zu der Photomaskenstruktur führt, wie vorstehend unter Bezugnahme auf die 5A/5B erörtert.
  • In dem exemplarischen Verfahren der 6A bis 6F werden lediglich zwei Maskenschreibschritte zur Bildung der Maskenstruktur 51 durchgeführt. Der erste Maskenschreibprozess (6A/6B), der die Bildung der Maskenstrukturen 51 und die Definition der Phasenkanten umfasst, ist ein kritischer Prozess, der unter Verwendung eines Laserprozesses präzise durchgeführt werden kann. Der zweite Maskenschreibprozess (6D/6E), der das Ätzen von Phasensteggräben in das Maskensubstrat 55 umfasst, ist weniger kritisch. Insbesondere erfordert der zweite Maskenschreibprozess aufgrund der Tatsache, dass die Gräben durch die lichtblockierenden Elemente 52 und 54 selbstjustiert sind, kein Präzisionsoverlay der Photoresistmaske 61. Insbesondere arbeitet die Photoresistmaske 61 dahingehend, die anderen Bereiche des Maskensubstrats 55 vor einem Ätzen zu maskieren, während die lichtblockierenden Elemente 52 und 54 im Wesentlichen als Ätzmaske beim Ätzen von Gräben in das Substrat 55 dienen.
  • Um die verbesserten Prozessfenster zu veranschaulichen, die bei Verwenden von Photomaskenstrukturen mit nichtdruckenden inneren Phasenverschiebungsbereichen gemäß der Erfindung erzielt werden können, wurden verschiedene Simulationen für Photomaskenstrukturen durchgeführt, die in den 7 und 8 gezeigt sind. Speziell veranschaulicht 7 eine herkömmliche Photomaskenstruktur 70 mit einer Serie von langgestreckten Stegen 71 (druckbare Elemente), die im Wesentlichen parallel zueinander angeordnet und durch ein Rastermaß P separiert sind. Außerdem beinhaltet die Struktur 70 eine Mehrzahl von (nicht druckbaren) Subauflösungsunterstützungselementen 72 (oder AF), die zwischen den langgestreckten Elementen 71 angeordnet sind. Die Unterstützungselemente 72 sind nicht-druckbare Elemente, die in der Maske vorgesehen sind, um Beugungseffekte zu kompensieren. 8 zeigt eine Struktur ähnlich 7, in der jedoch die Hauptstege 71 durch exemplarische Stege 81 mit Phasenstegen ersetzt sind, wie vorstehend unter Bezugnahme auf die 5A/5B erörtert.
  • Es wurden photolithographische Simulationen unter Verwendung der Maskenstrukturen 70 und 80 für eine Ziel-CD von 65 nm unter den folgenden Bedingungen durchgeführt. Die Lichtquelle war als generische DUV/ArF (193 nm)/4X mit Quasarbeleuchtung, NA = 0,85 mit Belichtungsdosen im Bereich von 0,53 bis 0,80 definiert. Die Masken 70 und 80 waren als gedämpfte PSM-Masken mit einem Maskenmaterial mit einem Transmissionsvermögen von 6,5% und einer Dicke modelliert, die eine Phasenverschiebung von 180° bereitstellt. Das Rastermaß P wurde auf 600 nm gesetzt, die Breite der Stege 71 und 81 war mit 105 nm definiert, die Breite der Unterstützungselemente 72 war mit 35 nm definiert. Außerdem waren die Breiten der lichtblockierenden Elemente und des inneren Phasenverschiebungsbereichs für die exemplarischen Stegelemente 81 von 8 mit gleichen Breiten definiert, 35 nm/35 nm/35 nm, und die Grabentiefe war so definiert, dass eine Phasenverschiebung von 180° für die gegebene Lichtwellenlänge bereitgestellt wurde.
  • Die 9A und 9B veranschaulichen Simulationsergebnisse für die herkömmliche Maskenstruktur von 7 unter den vorstehend beschriebenen Bedingungen. Speziell zeigt 9A einen Bossung-Graph 90 mit Kurven für den Belichtungsschwellenwert, der von 0,53 bis 0,80 variierte. Die Linien 91, 92 und 93 bezeichnen die Ziel-CD (65 nm), einen oberen Bereichswert (CD+ = 69 nm) und einen unteren CD-Wert (CD– = 61 nm), wobei ein Spielraum von etwa +/–6,2% für eine CD-Variation von der Ziel-CD bereitgestellt wurde. 9B veranschaulicht graphisch ein Prozessfenster 95 (CD-Prozessfenster), das Kurven 96 und 97 der oberen beziehungsweise unteren CD-Spezifikation als Funktion von Belichtung und Fokus beinhaltet. In der Simulation für die exemplarische Struktur von 7 wurde der beste Fokus mit –0,21 μm bestimmt, und die beste Dosis betrug 20. Unter diesen Bedingungen waren DOF und EL gleich 0 (da derartige Parameter aus dem gewünschten Prozessfenster herausfielen).
  • Die 10A und 10B veranschaulichen Simulationsergebnisse für die Maskenstruktur von 8 unter den vorstehend beschriebenen Bedingungen. Speziell zeigt 10A einen Bossung-Graph 100 mit Kurven für den Belichtungsschwellenwert, der von 0,53 bis 0,80 variierte. Die Linien 101, 102 und 103 bezeichnen die Ziel-CD (65 nm), einen oberen Bereichswert (CD+ = 69 nm) und einen unteren CD-Wert (CD– = 61 nm), basierend auf einem Spielraum von etwa +1–6,2% für eine CD-Variation von der Ziel-CD. 10B veranschaulicht graphisch ein Prozessfenster 105 (CD-Prozessfenster), das Kurven 106 und 107 der unteren beziehungsweise oberen CD-Spezifikation als Funktion von Belichtung und Fokus beinhaltet. In dieser Simulation für die exemplarische Struktur von 8 wurde der beste Fokus mit 0 μm bestimmt, und die beste Dosis betrug 28, 30, was zu einem nutzbaren Prozessfenster 108 führte, wie in 10B gezeigt. Das Prozessfenster 108 ist relativ breit, was eine signifikante Defokus-Fähigkeit demonstriert (DOF beträgt 0,25 μm). Das Prozessfenster 108 ist von relativ geringer Höhe, was eine relativ geringe Belichtungsspielraum-Fähigkeit demonstriert (EL = 0,71%).
  • Die 11A und 11B veranschaulichen Simulationsergebnisse für die Maskenstruktur von 8 unter den vorstehend beschriebenen Bedingungen mit der Ausnahme, dass die langgestreckten Elemente 81 in 8 mit den inneren Phasenverschiebungsbereichen mit einer Breite von 55 nm und lichtblockierenden Elementen gleicher Breite von 25 nm modelliert waren (während die Gesamtbreite bei 105 nm wie in den vorstehenden Simulationen gehalten wurde). 11A zeigt einen Bossung-Graph 1100 mit Kurven für den Belichtungsschwellenwert, der von 0,53 bis 0,80 variierte. Die Linien 1101, 1102 und 1103 bezeichnen die Ziel-CD (65 nm), einen oberen Bereichswert (CD+ = 69 nm) und einen unteren CD-Wert (CD– = 61 nm), basierend auf einem Spielraum von etwa +/–-6,2% für eine CD-Variation von der Ziel-CD. 11B veranschaulicht graphisch ein Prozessfenster 105 (CD-Prozessfenster), das Kurven 1106 und 1107 der oberen beziehungsweise unteren CD-Spezifikation als Funktion von Belichtung und Fokus beinhaltet. In dieser Simulation für die exemplarische Struktur von 8 wurde der beste Fokus mit 0 μm definiert, und die beste Dosis betrugt 29, 10, was zu einem nutzbaren Prozessfenster 1108 führte, wie in 11B gezeigt. Das Prozessfenster 1108 ist relativ breit, was eine signifikante Defokus-Fähigkeit demonstriert (DOF = 0,25 μm). Das Prozessfenster 1108 weist eine vergrößerte Höhe (im Vergleich zu 10B) auf, was eine erhöhte Belichtungsspielraumfähigkeit (EL = 3,44%) im Vergleich zu jener von 10B demonstriert.
  • Die Bossung-Kurven der 11A und 10A veranschaulichen eine erhöhte CD-Linearität im Vergleich zu den Bossung-Kurven von 9A. Außerdem veranschaulichen die Bossung-Kurven von 11A eine erhöhte CD-Linearität im Vergleich zu jenen von 10A. Insgesamt zeigen die Simulationsergebnisse, dass vergrößerte Prozessfenster für ein präzises Drucken von Elementen im Subwellenlängenbereich unter Verwendung von Maskenelementen erzielt werden können, die mit nichtdruckenden inneren Phasenverschiebungsbereichen ausgelegt sind. Es versteht sich, dass die exemplarischen Stegelemente mit inneren Phasenstegelementen, wie in den 5A/5B, lediglich exemplarisch sind und dass die erfinderischen Konzepte der Erfindung ohne Weiteres darauf angewendet werden können, die Prozessfenster zum Drucken von anderen Formen und Konfigurationen von Elementen im Subwellenlängenbereich zu vergrößern.
  • In anderen Aspekten der Erfindung werden Maskenelemente mit inneren Phasenverschiebungsbereichen verwendet, um Teststrukturen aufzubauen, die ermöglichen, dass Größe und Richtung von Brennpunktverschiebungen während eines photolithographischen Prozesses effizient detektiert werden, und somit eine Einstellung des Brennpunkts des Belichtungssystems ermöglichen, um CD-Gleichmäßigkeit zu ergeben. Tatsächlich kann gemäß exemplarischen Ausführungsformen der Erfindung, wie nachstehend erläutert, eine automatisierte Steuerung eines Belichtungsprozesses in Verbindung mit einer Brennpunkt-Detektion ausgeführt werden, wodurch das Photoresist auf die beste bilderzeugende Ebene, d. h. die beste Brennebene, des optischen Projektionssystems innerhalb eines Bereichs der Tiefenschärfe derart eingestellt werden kann, dass Photomaskenstrukturen auf Photoresistschichten mit hoher Auflösung und Präzision transferiert werden können. Es werden exemplarische Verfahren zum Detektieren sowohl der Größe als auch der Richtung von Variationen des Fokus aus der Position der besten Brennebene eines optischen Projektionssystems bereitgestellt.
  • Die 12A und 12B veranschaulichen schematisch ein Brennpunkterfassungsverfahren gemäß einer exemplarischen Ausführungsform der Erfindung. Speziell stellt 12A eine exemplarische Photomaske 1200 dar, die ein Maskensubstrat 1201 und eine Maskenteststruktur 1202 gemäß einer exemplarischen Ausführungsform der Erfindung beinhaltet. Die Maskenteststruktur 1202 beinhaltet zwei Teststrukturen T1 und T2, die durch ein Rastermaß P separiert sind. Im Allgemeinen sind die Teststrukturen T1 und T2 langgestreckte Stegelemente mit jeweiligen inneren Phasenverschiebungsbereichen B1 und B2. Die Teststrukturen sind von der Struktur her ähnlich dem vorstehend unter Bezugnahme auf 5 beschriebenen, langgestreckten Stegelement und können unter Verwendung der unter Bezugnahme auf 6 beschriebenen Verfahren aufgebaut werden. Die Teststrukturen T1 und T2 sind so ausgelegt, dass ein Unterschied zwischen den von den Phasenstegen B1 und B2 bereitgestellten Phasenverschiebungen 180° beträgt. Die erste Teststruktur T1 kann zum Beispiel mit einem Phasensteg B1 gebildet werden, der so ausgelegt ist, dass er Licht mit einer Phasenverschiebung von 90° gegenüber Licht transmittiert, das in den umgebenden lichttransmittierenden Bereichen transmittiert wird. Speziell ist die Tiefe d1 des Grabens zur Bereitstellung einer 90°-Phasenverschiebung durch d1·(ηGlas – ηLuft) = λ/4 bestimmt. Die zweite Teststruktur T2 kann mit einem Phasensteg B2 gebildet werden, der so ausgelegt ist, dass er Licht mit einer Phasenverschiebung von 270° gegenüber Licht transmittiert, das in den umgebenden lichttransmittierenden Bereichen transmittiert wird. Speziell wird die Tiefe d2 des Grabens zur Bereitstellung einer 270°-Phasenverschiebung durch d2·(ηGlas – ηLuft) = 3 λ/4 bestimmt. Die Teststrukturen T1 und T2 sind mit der gleichen CD zwischen den kritischen Kanten gebildet, wobei CD gleich der kleinsten CD für die Maskenstruktur gewählt wird. Für CDs von 1 Mikrometer oder weniger wird das Rastermaß P gleich etwa 10 × CD oder größer gewählt.
  • Die Maskenstruktur von 12A wird mit Licht belichtet, um eine gedruckte Teststruktur zu bilden, wie in 12B gezeigt. Speziell zeigt 12B schematisch ein Substrat 1210 mit einer darauf ausgebildeten Photoresiststruktur 1211. Die Photoresiststruktur 1211 beinhaltet gedruckte Teststrukturelemente T1' und T2', die den jeweiligen Maskenteststrukturelementen T1 und T2 in 12A entsprechen. Das gedruckte Testelement T1' ist mit einer Breite von CD1 gezeigt, und das gedruckte Testelement T2' ist mit einer Breite von CD2 gezeigt. In 12A sind die Maskentestelemente T1 und T2 mit der gleichen Breite CD gebildet. Gemäß einer exemplarischen Ausführungsform der Erfindung kann die Differenz der Breiten (d. h. CD2-CD1) der gedruckten Testelemente T1' und T2', die durch die gleiche Beleuchtung gebildet werden, gemessen und analysiert werden, um ohne Weiteres Variationen des Fokus zu detektieren. Insbesondere wird, wie nachstehend detailliert unter Bezugnahme auf die 13A bis 13C erläutert, die Differenz CD2-CD1 dazu verwendet, sowohl Größe als auch Richtung einer Fokusdrift zu bestimmen, wodurch eine Fokuseinstellung während eines Photolithographieprozesses ermöglicht wird.
  • Die 13A bis 13C veranschaulichen schematisch ein Brennpunktdetektionsverfahren gemäß einer exemplarischen Ausführungsform der Erfindung, das eine Bestimmung der Größe und Richtung einer Fokusdrift basierend auf gemessenen CD-Werten von gedruckten Teststrukturen während eines photolithographischen Prozesses ermöglicht. Speziell veranschaulichen die 13A und 13B graphisch Fokus-Belichtungs-Matrixtestdaten, die experimentell und/oder über Computersimulationen für eine exemplarische Maskenteststruktur abgeleitet werden, wie in 12A gezeigt. Die 13A und 13B sind Bossung-Plots, welche die Variation von CD (Linienbreite) für jeweilige gedruckte Teststrukturen T1' und T2' (12B) als Funktion von Brennpunkt- und Belichtungsenergievariationen darstellen. Die Fokus-Belichtungs-Matrixtestdaten werden zur Bildung von mathematischen Modellen verwendet, welche die Beziehung/Korrelation zwischen Variationen von Fokus und Belichtung mit gemessenen CD-Werten für gedruckte Teststrukturen definieren und temporäre Variationen (von Wafer zu Wafer) oder (räumliche) Wafervariationen in Einzelchips identifizieren. 13C veranschaulicht graphisch ein Verfahren zur Bestimmung sowohl der Größe als auch der Richtung einer Fokusdrift (aus dem besten Fokus) als Funktion der Differenz CD2-CD1 in CD-Messungen der gedruckten Teststrukturen T1' und T1' in 12B.
  • Die exemplarische Maskenteststruktur von 12A ist in einer derartigen Weise ausgelegt, dass die fokusbezogenen CD-Eigenschaften der Teststrukturen T1 und T2 entsprechende Bossung-Kurven ergeben, die bezüglich einer besten Fokusposition (z. B. 0-Defokus) in entgegengesetzte Richtungen verschoben sind und die im Wesentlichen Spiegelbilder voneinander sind. Insbesondere sind, wie in 13A gezeigt, die Bossung-Kurven für die exemplarische Teststruktur T1 (90°) bei einer Defokus-Position D+ zentriert, die auf die rechte Seite der besten Fokusposition D verschoben ist (die in der exemplarischen Ausführungsform als 0-Defokus angenommen ist). Wie in 13B dargestellt, sind die Bossung-Kurven des Weiteren für die exemplarische Teststruktur T2 (270°) bei einer Defokus-Position D– zentriert, die auf die linke Seite der besten Fokusposition D verschoben ist. Außerdem sind die Bossung-Kurven in 13A Spiegelbilder der Bossung-Kurven in 13B. Mit anderen Worten ist für eine gegebene Belichtungsenergie die Größe von D+ gleich jener von D–, und Änderungen des Fokus erzeugen Änderungen in der gemessenen CD1, die entgegengesetzt zu Änderungen der gemessenen CD2 sind. Diese Eigenschaft führt zu einer Beziehung, in der sich die Größe der Differenz CD2-CD1 in der CD für den gegebenen Prozess linear als Funktion von +/–-Fokusdrifts aus der besten Fokusposition (z. B. 0-Defokus) ändert.
  • 13C veranschaulicht zum Beispiel die Differenz CD2-CD1 von CD in nm (y-Achse) als Funktion des Defokus (μm) (x-Achse) für die in den Fenstern der 13A und 13B gezeigten Daten. In der exemplarischen Ausführungsform zeigt die Differenz (CD2-CD1) = 0 bei der 0-Defokus-Position D (bester Fokus), dass der Brennpunkt des Prozesses beim besten Fokus liegt. Im Punkt P1 zeigt eine Messung von CD2-CD1 von etwa +20 nm eine Fokusdrift in dem Prozess zu einem defokussierten Zustand von etwa –0,10 Mikrometer, während im Punkt P2 eine Messung von (CD1-CD2) von etwa –20 nm eine Fokusdrift in dem Prozess zu einem defokussierten Zustand von etwa +0,10 Mikrometer anzeigt. Somit veranschaulicht 13C ein Verfahren zur Gewinnung sowohl der Größe als auch der Richtung der Fokusdrift.
  • Die exemplarische Maskenteststruktur von 12A kann in Photomaskenstrukturen eingebaut werden, um gedruckte Teststrukturen bereitzustellen, die zur präzisen und effizienten Bestimmung sowohl der Größe als auch der Richtung einer Fokusdrift in einem lithographischen Herstellungsprozess basierend auf einer relativen Differenz zwischen gemessenen CDs (Linienbreiten) der gedruckten Teststrukturen verwendet werden können. Photomaskenstrukturen können mit Schaltkreislayoutstrukturen und einer oder mehreren Teststrukturen aufgebaut werden, die sich strategisch an verschiedenen Positionen innerhalb der Bauelementstruktur befinden, so dass derartige resultierende gedruckte Teststrukturen ohne Weiteres für CD-Messungen de tektiert und identifiziert werden können, während sie die Leistungsfähigkeit des Halbleiterbauelements mit den gedruckten Teststrukturen nicht nachteilig beeinflussen. Die Photomaskenteststrukturen können zum Beispiel derart gebildet werden, dass die resultierenden gedruckten Teststrukturen in Trennschreiblinien (oder Zwischenräumen) zwischen den verschiedenen Einzelchips eines Wafers gebildet werden, die eine Separation des Einzelchips vom Wafer erlauben.
  • Für einen gegebenen Photolithographieprozess können Fokus-Belichtungs-Matrixdaten, wie in den 13A und 13B dargestellt, für jede Photomaskenebene für den gegebenen Prozess erhalten werden, um dadurch Modelle oder Formeln zu konstruieren, welche das Maß und die Richtung des Defokus basierend auf der Differenz zwischen den CDs von gedruckten Teststrukturen quantifizieren (wie in 13C graphisch dargestellt). Zum Beispiel können vor der Photomaskenerzeugung Photolithographie-Simulationseinrichtungen verwendet werden, um Lithographiefertigungsprozesse präzise zu simulieren und das Verhalten von Schaltkreis-Layouts mit exemplarischen Maskenteststrukturen (wie in 12A dargestellt) in Reaktion auf Variationen von lithographischen Prozessparametern vorherzusagen. Zum Beispiel können Simulationen unter Verwendung einer beliebigen bekannten kommerziellen Simulationseinrichtung durchgeführt werden, um Variationen von kritischen Abmessungen, die durch Änderungen von Prozessparametern (z. B. einer Fokusvariation) verursacht werden, für eine gegebene Layoutstruktur zu simulieren. Für die Simulation können Einstellungen der Photolithographieeinrichtung, wie Fokus, Dosis, und eine Anzahl anderer Prozessparameter, wie Stepper-Einstellungen, Resistparameter und andere Parameter, welche die CD beeinflussen, in die Simulationseinrichtung eingegeben und verarbeitet werden. Die Simulationseinrichtung kann Änderungen der kritischen Abmessungen berechnen, die Verschiebungen sowohl der Dosis als auch des Fokus der Belichtungseinrichtung entsprechen, und eine Matrix von Fokus-Belichtungsdaten erzeugen. Lithographische Simulationseinrichtungen beinhalten Verfahren zum Erstellen umfassender Modelle eines Lithographieprozesses über das gesamte Fokus- und Belichtungsfenster hinweg. Die Resultate der Simulation können zum Aufbauen von Testretikeln verwendet werden. Diese Testretikel können dazu verwendet werden, FEM-Daten experimentell zu erhalten, die in Verbindung mit Simulationsdaten eingesetzt werden können, um die lithographischen Prozessmodelle und -formeln z. B. zum Bestimmen einer Variation des Fokus (13C) zu modifizieren oder anderweitig zu optimieren.
  • 14 ist eine schematische Grobdarstellung eines Photolithographiesystems 1400, in das ein Brennpunkt-Überwachungssystem gemäß einer exemplarischen Ausführungsform der Erfindung implementiert ist. Im Allgemeinen beinhaltet das System 1400 ein Belichtungssystem 1401, ein Photoresistentwicklungssystem 1402, ein CD-Messsystem 1403, ein Brennpunktdetektionssystem 1404, ein Depot 1405 von Prozessparametermodellen und FEM-Daten sowie ein Prozessparametersteuersystem 1406.
  • Das Belichtungssystem 1401 beinhaltet eine Belichtungseinrichtung, um einen mit Photoresist beschichteten Wafer mit Licht durch eine Photomaske hindurch zu belichten, die eine Maskenstruktur mit einer Schaltkreis-Layoutstruktur sowie eine Teststruktur gemäß einer exemplarischen Ausführungsform der Erfindung beinhaltet. Das Belichtungssystem 1401 kann irgendeines von bekannten Systemen beinhalten, wie ein Reduktionsprojektionsbelichtungssystem (einen Stepper), wobei die Maskenstruktur mit reduzierter Abmessung auf das Photoresist projiziert wird. Die Anfangsprozessparameter der Belichtungseinrichtung, wie der beste Fokus und die beste Belichtungsdosis, werden gemäß den optimalen Parametern eingestellt, wie durch die FEM-Daten festgelegt, die mit der gegebenen Photomaske verknüpft sind. Das Steppergerat belichtet das Retikel auf den Wafer unter Verwendung einer Step-und-Scan-Prozedur. Nach der Belichtung wird der belichtete Wafer zu dem Entwicklungssystem 1402 geschickt, in dem die belichtete Photoresiststruktur zuerst einem Härtungsprozess nach Belichtung unterworfen wird und dann einer chemischen Bearbeitung zur Entfernung der belichteten (oder unbelichteten) Bereiche des Photoresists unterworfen wird. Das Resultat des Belichtungs-/Entwicklungsprozesses ist der Wafer mit einer strukturierten Resistschicht.
  • Nach dem Entwicklungsprozess wird der mit Resist strukturierte Wafer zu dem CD-Messsystem 1403 geschickt, in dem zum Beispiel die CDs der gedruckten Teststrukturen gemessen werden. Das CD-Messsystem 1403 kann Teil eines Waferinspektionssystems sein, das eine automatisierte und/oder manuelle Inspektion von Wafern zum Detektieren von Defekten, Messen von Abmessungen von Strukturelementen etc. ermöglicht. Die CD-Messeinrichtung 1403 kann unter Verwendung bekannter Metrologie-Einrichtungen ausgeführt sein, die optische Overlayeinrichtungen, Streustrahlungsmessgeräte, Rasterelektronenmikroskope und Atomkraftmikroskope umfassen. Die CD-Messeinrichtung 1403 kann die CD der gedruckten Teststruktur(en) direkt durch optisches Messen der Linienbreite oder durch Verwenden von Bildverarbeitungsverfahren messen, welche die CD durch Vergleichen eines momentanen optischen Bildes mit einem oder mehreren Basislinienbildern bestimmen, die mit der gegebenen Photomaske und den gegebenen Belichtungsbedingungen verknüpft sind.
  • Das Brennpunktdetektionssystem 1404 verarbeitet die gemessenen CD-Daten, um Variationen des Fokus zu detektieren, wenn die Wafer gedruckt werden. Insbesondere können, wie vorstehend beschrieben, die Größe und die Richtung einer Verschiebung des Fokus in dem lithographischen Prozess durch Bestimmen der Differenz der gemessenen CDs der gedruckten Teststrukturen und Korrelieren des CD-Differenzwerts mit den Fokus-/Belichtungsvariationen unter Verwendung des oder der entsprechenden mathematischen Prozessparametermodelle für die gegebene gedruckte Teststruktur bestimmt werden. Wenn die gemessenen CDs variieren, erzeugt das Brennpunktdetektionssystem 1404 ein geeignetes Steuersignal bzw. einen geeigneten Steuerparameter und gibt dieses/diesen an das Prozessparametersteuersystem 1406 ab, um irgendwelche notwendigen Einstellungen für die Prozessparameter (Fokus) der Belichtungseinrichtung 1401 durchzuführen. In einer exemplarischen Ausführungsform können die Funktionen der Überwachungs- und Steuersysteme 1404 und 1406 vollständig automatisiert sein. In weiteren exemplarischen Ausführungsformen können die Funktionen halbautomatisiert sein, wodurch zum Beispiel das Brennpunktdetektionssystem 1404 eine Betriebsperson bezüglich der Fokusvariation warnt, was es dieser ermöglicht, die Prozessvariation zu verifizieren und zu bestätigen und dann den(die) Prozessparameter des Belichtungssystems manuell einzustellen oder dem Prozessparametersteuersystem 1406 einen geeigneten Befehl zuzuführen, um die erforderliche(n) Einstellung(en) durchzuführen.
  • Es versteht sich, dass das exemplarische System und die exemplarischen Verfahren, wie hierin beschrieben, in verschiedenen Formen von Hardware, Software, Firmware, Prozessoren für spezielle Zwecke oder einer Kombination derselben ausgeführt werden können. In einer exemplarischen Ausführungsform können sie in Software als eine Anwendung ausgeführt werden, die Programminstruktionen beinhaltet, die real in einem oder mehreren Programmspeicherbauelementen (z. B. Festplatte, magnetische Diskette, RAM, CD-ROM, DVD, ROM, Flash-Speicher etc.) aufgenommen und durch eine beliebige Vorrichtung oder Maschine mit geeigneter Architektur ausführbar sind. Es versteht sich des Weiteren, dass, da die exemplarischen Systemmodule und Verfahrensschritte, die in den begleitenden Figuren dargestellt sind, vorzugsweise in Software ausgeführt sein können, die aktuellen Verbindungen zwischen den Systemkomponenten (oder der Fluss der Prozessschritte) in Abhängigkeit von der Weise unterschiedlich sein können, in der die Anwendung programmiert wird. In Anbetracht der Lehren hierin ist der Fachmann in der Lage, diese und ähnliche Ausführungen oder Konfigurationen der Erfindung ins Auge zu fassen.
  • Es versteht sich, dass Maskenteststrukturen gemäß einer exemplarischen Ausführungsform der Erfindung mit Hellfeld-, Dunkelfeld- oder Phasenschiebungsmasken oder mit Retikeln verwendet werden können, die für andere Strahlungsquellen ausgelegt sind, und mit lithographischen Prozessen verwendet werden können, die positives oder negatives Photoresist, Doppelschicht, Mehrfachschicht oder Oberflächenbildgebungsresist beinhalten.

Claims (26)

  1. Verfahren zur Überwachung des Fokus eines lithographischen Prozesses, das die folgenden Schritte umfasst: – Erhalten eines Halbleiterwafers (1210) mit einer darauf ausgebildeten Photoresiststruktur (1211), wobei die Photoresiststruktur eine Teststruktur mit einem ersten und zweiten Testelement (T1', T2') beinhaltet, – Ermitteln einer kritischen Abmessung CD1 des ersten Testelements und einer kritischen Abmessung CD2 des zweiten Testelements, – Ermitteln einer CD-Differenz einer kritischen Abmessung (CD) zwischen den kritischen Abmessungen CD2 und CD1 und – Ermitteln einer Größe und Richtung eines Defokus aus einer besten Fokuseinstellung für den lithographischen Prozess basierend auf der ermittelten CD-Differenz.
  2. Verfahren nach Anspruch 1, das des Weiteren ein automatisches Einstellen einer Fokuseinstellung einer Belichtungseinrichtung beinhaltet, um einen Defokus-Zustand zu korrigieren.
  3. Verfahren nach Anspruch 1 oder 2, wobei die Teststruktur derart gebildet wird, dass eine lineare Beziehung zwischen einem Maß an Defokus und der CD-Differenz existiert.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei die Fokuseinstellung als die beste Fokuseinstellung angesehen wird, wenn die CD-Differenz als 0 ermittelt wird.
  5. Verfahren nach einem der Ansprüche 1 bis 4, welches das Aufbauen eines lithographischen Prozessmodells beinhaltet, das die lineare Beziehung zwischen Defokus und CD-Differenz unter Verwendung zugehöriger Fokus-Belichtungs-Matrixdaten quantifiziert.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei die kritischen Abmessungen CD1 und CD2 automatisch ermittelt werden.
  7. Verfahren nach einem der Ansprüche 1 bis 6, wobei das Erhalten des Halbleiterwafers das Strukturieren eines Bildes einer Maskenstruktur einer Photomaske in eine auf dem Halbleiterwafer ausgebildete Photoresistschicht beinhaltet, wobei die Maskenstruktur eine Maskenteststruktur mit einem ersten und einem zweiten Testelement beinhaltet, die so gebildet werden, dass sie wenigstens eine Elementabmessung gleich einer Auslegungs-CD aufweisen.
  8. Verfahren nach Anspruch 7, wobei das erste und das zweite Testelement so strukturiert werden, dass sie das erste und das zweite Testelement mit Eigenschaften hinsichtlich Abhängigkeit der kritischen Abmessung (CD) von der Fokusvariation bilden, die jeweilige erste und zweite Fokus-Belichtungskurven ergeben, die bezüglich einer besten Fokusposition in entgegengesetzte Richtungen verschoben werden und die Spiegelbilder zueinander bezüglich der besten Fokusposition sind.
  9. Verfahren nach einem der Ansprüche 1 bis 8, wobei das erste und das zweite Testelement langgestreckte Stegelemente sind, die durch ein Rastermaß P separiert sind, und wobei die kritischen Abmessungen CD1 und CD2 durch Messen jeweiliger Breiten der Stegelemente ermittelt werden.
  10. Verfahren nach Anspruch 9, wobei P in einem Bereich von 10 Mal einer Auslegungs-CD oder mehr liegt.
  11. Verfahren nach einem der Ansprüche 1 bis 10, welches das Bilden der Teststruktur in einer Photoresistschicht durch Transferieren eines Bildes einer auf einem Photomaskenbauelement ausgebildeten Maskenteststruktur beinhaltet.
  12. Verfahren nach Anspruch 11, wobei die Maskenteststruktur ein erstes langgestrecktes Stegelement und ein zweites langgestrecktes Stegelement beinhaltet, die durch ein Rastermaß P separiert sind, wobei das erste und das zweite Stegelement Linienbreiten gleich einer Auslegungs-CD aufweisen, wobei das erste langgestreckte Stegelement ein inneres Element, das kleiner als die Auflösungsabmessungen ist, beinhaltet, das dafür ausgelegt ist, ein Transmissionsvermögen von 100% von 90° phasenverschobenem Licht bereitzustellen, und wobei das zweite langgestreckte Stegelement ein inneres Element, das kleiner als die Auflösungsabmessungen ist, beinhaltet, das dafür ausgelegt ist, ein Transmissionsvermögen von 100% von 270° phasenverschobenem Licht bereitzustellen.
  13. Maschinenlesbares Programmspeicherbauelement, das abgreifbar ein Programm von Anweisungen verkörpert, die von der Maschine ausführbar sind, um das Verfahren zur Überwachung des Fokus eines lithographischen Prozesses gemäß einem der Ansprüche 1 bis 12 durchzuführen.
  14. Photomaske zur Verwendung in dem Verfahren nach einem der Ansprüche 1 bis 12 mit – einer Schaltkreis-Layoutstruktur und – einer Teststruktur (1202) mit einem ersten und einem zweiten Testelement (T1, T2), wobei das erste und das zweite Testelement mit wenigstens einer Elementabmessung gleich einer Auslegungs-CD gebildet sind, wobei die Teststruktur so ausgelegt ist, dass ein erstes Testelement mit einer kritischen Abmessung CD1 und ein zweites Testelement mit einer kritischen Abmessung CD2 erzeugt wird, so dass eine Differenz zwischen den kritischen Abmessungen CD2 und CD1 mit einer Größe und Richtung eines Defokus aus einer besten Fokuseinstellung für den lithographischen Prozess korreliert.
  15. Photomaske nach Anspruch 14, wobei das erste und das zweite Testelement so gebildet sind, dass sie Eigenschaften hinsichtlich Abhängigkeit der kritischen Abmessung (CD) von der Fokusvariation aufweisen, die jeweilige erste und zweite Fokus-Belichtungskurven ergeben, die bezüglich einer besten Fokusposition in entgegengesetzte Richtungen verschoben sind und die Spiegelbilder zueinander über der besten Fokusposition sind.
  16. Photomaske nach Anspruch 14 oder 15, wobei das erste und das zweite Testelement langgestreckte Stegelemente sind, die durch ein Rastermaß P separiert sind, und wobei die kritischen Abmessungen CD1 und CD2 jeweilige Breiten der Stegelemente sind.
  17. Photomaske nach einem der Ansprüche 14 bis 16, wobei das erste und das zweite Testelement ein erstes langgestrecktes Stegelement und ein zweites langgestrecktes Stegelement sind, die durch ein Rastermaß P separiert sind, wobei das erste und das zweite langgestreckte Stegelement Linienbreiten gleich der Auslegungs-CD aufweisen, wobei das erste langgestreckte Stegelement ein erstes inneres Element, das kleiner als die Auflösungsabmessungen ist, beinhaltet, das dafür ausgelegt ist, ein Transmissionsvermögen von 100% für 90° phasenverschobenes Licht bereitzustellen, und wobei das zweite langgestreckte Stegelement ein zweites inneres Element, das kleiner als die Auflösungsabmessungen ist, beinhaltet, das dafür ausgelegt ist, ein Transmissionsvermögen von 100% für 270° phasenverschobenes Licht bereitzustellen.
  18. Photomaske nach Anspruch 17, wobei das erste und das zweite Element, das kleiner als die Auflösungsabmessungen ist, jeweils ein Zwischenraumelement, das einen Bereich des Maskensubstrats freilegt, der zu inneren Bereichen des jeweiligen ersten und zweiten Testelements ausgerichtet ist, und ein Grabenelement beinhaltet, das in dem Maskensubstrat ausgebildet und zu dem Zwischenraumelement ausgerichtet ist.
  19. Photomaske nach einem der Ansprüche 14 bis 18, wobei die Photomaske eine binäre Maske ist und die Schaltkreis- und Teststrukturen aus einem Material mit einem Transmissionsvermögen von 0% bei der gegebenen Wellenlänge gebildet sind.
  20. Photomaske nach einem der Ansprüche 14 bis 18, wobei die Photomaske eine Phasenschiebungsmaske ist, wobei die Schaltkreis- und Teststrukturen aus einem Material mit einem Transmissionsvermögen von mehr als 0% bei der gegebenen Wellenlänge gebildet sind.
  21. Photomaske nach einem der Ansprüche 14 bis 20, wobei die Teststruktur in einem Bereich der Photomaske angeordnet ist, der Trennschreiblinien zwischen verschiedenen Einzelchips eines Wafers entspricht.
  22. Photolithographiesystem mit – einem Belichtungssystem (1401) zur Belichtung von einem mit Photoresist beschichteten Wafer mit Licht durch eine Photomaske nach einem der Ansprüche 14 bis 21 mit einer Maskenstruktur, die eine Schaltkreis-Layoutstruktur und eine Teststruktur beinhaltet, wobei die Teststruktur ein erstes und ein zweites Testelement mit wenigstens einer Elementabmessung gleich einer Auslegungs-CD beinhaltet, wobei die Teststruktur dafür ausgelegt ist, ein erstes Testelement mit einer kritischen Abmessung CD1 und ein zweites Testelement mit einer kritischen Abmessung CD2 zu erzeugen, und – einem Brennpunktüberwachungssystem (1404), das eine Fokusdrift des Belichtungssystem detektiert, wobei das Brennpunktüberwachungssystem gemessene CD-Daten des ersten und des zweiten Testelements verarbeitet, um Größe und Richtung einer Fokusdrift basierend auf einer CD-Differenz zwischen CD2 und CD1 zu ermitteln.
  23. Photolithographiesystem nach Anspruch 22, das des Weiteren ein Steuersystem (1406) beinhaltet, das eine Fokuseinstellung des Belichtungssystems in Reaktion auf ein von dem Brennpunktüberwachungssystem abgegebenes Steuersignal automatisch einstellt.
  24. Photolithographiesystem nach Anspruch 22 oder 23, das des Weiteren ein CD-Messsystem (1403) beinhaltet, das CD2 und CD1 der jeweiligen gedruckten Testelemente automatisch misst und die gemessenen CD2 und CD1 an das Brennpunktüberwachungssystem übergibt.
  25. Photolithographiesystem nach einem der Ansprüche 22 bis 24, das des Weiteren ein Depot von lithographischen Prozessparametermodellen und Fokus-Belichtungs-Matrix-Daten beinhaltet, wobei die lithographischen Prozessmodelle eine lineare Beziehung zwischen Defokus und CD-Differenz zwischen CD2 und CD1 unter Verwendung entsprechender Fokus-Belichtungs-Matrix Daten quantifizieren.
  26. Photolithographiesystem nach Anspruch 25, wobei die lithographischen Prozessmodelle Eigenschaften hinsichtlich Abhängigkeit der kritischen Abmessung (CD) von der Fokusvariation des ersten und des zweiten Testelements spezifizieren, die jeweilige erste und zweite Fokus-Belichtungs-Kurven ergeben, die bezüglich einer besten Fokusposition in entgegengesetzte Richtungen verschoben sind und die Spiegelbilder zueinander über der besten Fokusposition sind.
DE102006017938A 2005-04-15 2006-04-11 Fokusüberwachungsverfahren, Photomaske und photolithographisches System Expired - Fee Related DE102006017938B4 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US67162605P 2005-04-15 2005-04-15
US60/671,626 2005-04-15
US67366905P 2005-04-21 2005-04-21
US60/673,669 2005-04-21
US11/324,739 US7642019B2 (en) 2005-04-15 2006-01-03 Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US11/324,739 2006-01-03

Publications (2)

Publication Number Publication Date
DE102006017938A1 DE102006017938A1 (de) 2006-11-16
DE102006017938B4 true DE102006017938B4 (de) 2011-07-28

Family

ID=37108867

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102006017938A Expired - Fee Related DE102006017938B4 (de) 2005-04-15 2006-04-11 Fokusüberwachungsverfahren, Photomaske und photolithographisches System

Country Status (4)

Country Link
US (2) US7642019B2 (de)
JP (1) JP5371179B2 (de)
KR (1) KR100714480B1 (de)
DE (1) DE102006017938B4 (de)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4588368B2 (ja) * 2004-06-15 2010-12-01 富士通セミコンダクター株式会社 露光計測方法及び装置、並びに半導体装置の製造方法
US7642019B2 (en) 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
ATE467149T1 (de) * 2005-10-03 2010-05-15 Imec Alternierende phasenmaske
US7596420B2 (en) * 2006-06-19 2009-09-29 Asml Netherlands B.V. Device manufacturing method and computer program product
US7493590B1 (en) * 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
DE102006056625B4 (de) * 2006-11-30 2014-11-20 Globalfoundries Inc. Verfahren und Teststruktur zum Bestimmen von Fokuseinstellungen in einem Lithographieprozess auf der Grundlage von CD-Messungen
JP5084239B2 (ja) * 2006-12-06 2012-11-28 キヤノン株式会社 計測装置、露光装置並びにデバイス製造方法
US8365107B2 (en) * 2007-01-18 2013-01-29 Nikon Corporation Scanner based optical proximity correction system and method of use
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
DE102007054994A1 (de) * 2007-11-17 2009-05-20 Carl Zeiss Sms Gmbh Verfahren zur Reparatur von Phasenverschiebungsmasken
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US9046788B2 (en) * 2008-05-19 2015-06-02 International Business Machines Corporation Method for monitoring focus on an integrated wafer
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
US7932004B1 (en) * 2008-10-02 2011-04-26 Kla-Tencor Corporation Feature identification for metrological analysis
EP2207064A1 (de) * 2009-01-09 2010-07-14 Takumi Technology Corporation Verfahren zur Auswahl einer Reihe von Beleuchtungsbedingungen einer lithografischen Vorrichtung zur Optimierung der physikalischen Anordnung einer integrierten Schaltung
KR101087874B1 (ko) * 2009-06-29 2011-11-30 주식회사 하이닉스반도체 광학 근접 효과 보상 방법
KR101082103B1 (ko) * 2009-07-01 2011-11-10 주식회사 하이닉스반도체 광 근접효과 보정의 검증방법
US8400634B2 (en) * 2010-02-08 2013-03-19 Micron Technology, Inc. Semiconductor wafer alignment markers, and associated systems and methods
CN102193304B (zh) * 2010-03-12 2012-12-05 中芯国际集成电路制造(上海)有限公司 光掩模版和使用所述光掩模版的测试方法
JP5790644B2 (ja) * 2010-04-30 2015-10-07 株式会社ニコン 検査装置および検査方法
JP5221611B2 (ja) * 2010-09-13 2013-06-26 株式会社東芝 ドーズデータ生成装置、露光システム、ドーズデータ生成方法および半導体装置の製造方法
US8792080B2 (en) 2011-01-27 2014-07-29 International Business Machines Corporation Method and system to predict lithography focus error using simulated or measured topography
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
US9360662B2 (en) 2011-10-20 2016-06-07 Samsung Electronics Co., Ltd. Optical measurement system and method for measuring critical dimension of nanostructure
KR20130067332A (ko) * 2011-11-16 2013-06-24 삼성디스플레이 주식회사 노광용 마스크 및 그 마스크를 사용한 기판 제조 방법
KR101603859B1 (ko) * 2011-12-30 2016-03-16 인텔 코포레이션 프로세스 최적화를 위한 위상 조정 기법들
RU2509718C1 (ru) * 2012-08-07 2014-03-20 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Оптическая измерительная система и способ измерения критического размера
US9411223B2 (en) 2012-09-10 2016-08-09 Globalfoundries Inc. On-product focus offset metrology for use in semiconductor chip manufacturing
US9261775B2 (en) * 2013-03-11 2016-02-16 Carl Zeiss Sms Gmbh Method for analyzing a photomask
TWI544452B (zh) * 2013-06-25 2016-08-01 Hitachi High Tech Corp A sample preparation device for a sample observation apparatus, and a sample observation apparatus
US9411249B2 (en) 2013-09-23 2016-08-09 Globalfoundries Inc. Differential dose and focus monitor
JP6189242B2 (ja) * 2014-03-28 2017-08-30 Hoya株式会社 フォトマスクの製造方法、フォトマスク及び表示装置の製造方法
US10133191B2 (en) 2014-07-21 2018-11-20 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
KR102246872B1 (ko) 2014-07-29 2021-04-30 삼성전자 주식회사 포커스 계측 마크를 포함하는 포토마스크, 포커스 모니터 패턴을 포함하는 계측용 기판 타겟, 노광 공정 계측 방법, 및 집적회로 소자의 제조 방법
KR102235615B1 (ko) * 2014-07-29 2021-04-02 삼성전자주식회사 노광 공정 계측용 기판 타겟 및 노광 공정 계측 방법과 이를 이용한 집적회로 소자의 제조 방법
KR102238708B1 (ko) 2014-08-19 2021-04-12 삼성전자주식회사 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법
NL2017123A (en) 2015-07-24 2017-01-24 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
US11402752B2 (en) 2015-10-02 2022-08-02 Arizona Board Of Regents On Behalf Of The University Of Arizona Fabrication of optical interconnect structures for a photonic integrated circuit
CN108369387B (zh) 2015-12-17 2020-11-03 Asml荷兰有限公司 使用非对称亚分辨率特征改善测量的光刻过程的光学量测术
US10276375B2 (en) * 2016-11-18 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Assistant pattern for measuring critical dimension of main pattern in semiconductor manufacturing
TW201830168A (zh) 2016-12-08 2018-08-16 美商精微超科技公司 用於重構晶圓之微影製程之對焦控制的掃描方法
KR102280532B1 (ko) * 2016-12-23 2021-07-22 에이에스엠엘 네델란즈 비.브이. 패턴 충실도 제어를 위한 방법 및 장치
JP2019028171A (ja) * 2017-07-27 2019-02-21 Hoya株式会社 フォトマスクの検査方法、フォトマスクの製造方法、及びフォトマスク検査装置
KR102368435B1 (ko) * 2017-07-28 2022-03-02 삼성전자주식회사 기판 검사 장치, 기판 검사 방법 및 이를 이용한 반도체 소자의 제조 방법
US10811492B2 (en) 2018-10-31 2020-10-20 Texas Instruments Incorporated Method and device for patterning thick layers
US11733615B2 (en) * 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP4292822A1 (de) 2022-06-13 2023-12-20 3C Project Management Limited Monolithischer tintenstrahldruckkopf und tintenzusammensetzungen

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300786A (en) * 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US20030031943A1 (en) * 2001-08-09 2003-02-13 Mitsubishi Denki Kabushiki Kaishi Focus monitoring method, focus monitoring system, and device fabricating method
US20030095267A1 (en) * 2001-11-09 2003-05-22 Mieher Walter Dean Focus masking structures, focus patterns and measurements thereof
US20030175600A1 (en) * 2002-03-12 2003-09-18 Samsung Electronics Co. Ltd. Photomask, method for manufacturing the same, and method for measuring optical characteristics of wafer exposure system using the photomask during operation

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063531A (en) * 1998-10-06 2000-05-16 Advanced Micro Devices, Inc. Focus monitor structure and method for lithography process
JP3264368B2 (ja) 1998-10-16 2002-03-11 日本電気株式会社 縮小投影型露光装置の調整方法
US6440616B1 (en) * 1999-09-28 2002-08-27 Kabushiki Kaisha Toshiba Mask and method for focus monitoring
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
WO2002061505A1 (fr) * 2001-01-31 2002-08-08 Nikon Corporation Masque, procede de mesure de caracteristique optique, procede de reglage d'un appareil d'exposition, procede d'exposition et procede de fabrication du dispositif
JP3997066B2 (ja) 2001-08-20 2007-10-24 株式会社日立製作所 電子線を用いたプロセス変動監視システムおよび方法
JP2003151875A (ja) * 2001-11-09 2003-05-23 Mitsubishi Electric Corp パターンの形成方法および装置の製造方法
JP3850746B2 (ja) * 2002-03-27 2006-11-29 株式会社東芝 フォトマスク、フォーカスモニター方法、露光量モニター方法及び半導体装置の製造方法
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
TWI257524B (en) * 2002-12-09 2006-07-01 Asml Netherlands Bv A method for determining parameters for lithographic projection, a computer system and computer program therefor, a method of manufacturing a device and a device manufactured thereby
DE10258423B4 (de) * 2002-12-13 2005-08-18 Infineon Technologies Ag Verfahren zur Charakterisierung eines Linsensystems
JP2004253589A (ja) * 2003-02-20 2004-09-09 Renesas Technology Corp フォーカスモニタ用光学マスク、露光装置、および半導体装置の製造方法
JP3854234B2 (ja) * 2003-02-24 2006-12-06 株式会社東芝 フォーカスモニタ方法及びマスク
US6964032B2 (en) * 2003-02-28 2005-11-08 International Business Machines Corporation Pitch-based subresolution assist feature design
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US7642019B2 (en) 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US20060234137A1 (en) 2005-04-15 2006-10-19 Samsung Electronics Co., Ltd. Photomask structures providing improved photolithographic process windows and methods of manufacturing same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300786A (en) * 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US20030031943A1 (en) * 2001-08-09 2003-02-13 Mitsubishi Denki Kabushiki Kaishi Focus monitoring method, focus monitoring system, and device fabricating method
US20030095267A1 (en) * 2001-11-09 2003-05-22 Mieher Walter Dean Focus masking structures, focus patterns and measurements thereof
US20030175600A1 (en) * 2002-03-12 2003-09-18 Samsung Electronics Co. Ltd. Photomask, method for manufacturing the same, and method for measuring optical characteristics of wafer exposure system using the photomask during operation

Also Published As

Publication number Publication date
US20100081068A1 (en) 2010-04-01
US7855037B2 (en) 2010-12-21
JP5371179B2 (ja) 2013-12-18
JP2006303498A (ja) 2006-11-02
DE102006017938A1 (de) 2006-11-16
US20060234136A1 (en) 2006-10-19
KR20060109310A (ko) 2006-10-19
KR100714480B1 (ko) 2007-05-04
US7642019B2 (en) 2010-01-05

Similar Documents

Publication Publication Date Title
DE102006017938B4 (de) Fokusüberwachungsverfahren, Photomaske und photolithographisches System
DE102006018074A1 (de) Photomaske
US7327436B2 (en) Method for evaluating a local flare, correction method for a mask pattern, manufacturing method for a semiconductor device and a computer program product
US7655369B2 (en) Reticle set, method for designing a reticle set, exposure monitoring method, inspection method for reticle set and manufacturing method for a semiconductor device
US7691549B1 (en) Multiple exposure lithography technique and method
EP0905566B9 (de) Verfahren zur Herstellung einer photolithographischen Maske
CN1862385B (zh) 使用测试特征检测光刻工艺中的焦点变化的系统和方法
DE60212777T2 (de) OPC-Verfahren mit nicht auflösenden Phasensprung-Hilfsstrukturen
JP4057847B2 (ja) リソグラフィ投影装置の較正方法、パターニング装置、及びデバイス製造方法
US7585601B2 (en) Method to optimize grating test pattern for lithography monitoring and control
JPH08272070A (ja) リソグラフ露光の監視方法および装置
JP2006085174A (ja) リソグラフィ装置およびデバイス製造方法
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
JP2005141242A (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
US7092068B2 (en) Reticle, exposure monitoring method, exposure method and manufacturing method for semiconductor device
US5888677A (en) Exposure mask, method of fabricating same, and method of manufacturing semiconductor device
US9411249B2 (en) Differential dose and focus monitor
JPH11184070A (ja) 収差測定方法および収差測定用フォトマスク
US20080057410A1 (en) Method of repairing a photolithographic mask
US20130309869A1 (en) Lithography mask and method of manufacturing semiconductor device
JPH05265191A (ja) 位相シフトマスクの検査方法

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20111029

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee