JP5371179B2 - フォトマスクのテストパターンイメージから印刷されたテストフィーチャーを用いるフォトリソグラフィ工程における焦点変化を測定するシステム及び方法 - Google Patents

フォトマスクのテストパターンイメージから印刷されたテストフィーチャーを用いるフォトリソグラフィ工程における焦点変化を測定するシステム及び方法 Download PDF

Info

Publication number
JP5371179B2
JP5371179B2 JP2006112545A JP2006112545A JP5371179B2 JP 5371179 B2 JP5371179 B2 JP 5371179B2 JP 2006112545 A JP2006112545 A JP 2006112545A JP 2006112545 A JP2006112545 A JP 2006112545A JP 5371179 B2 JP5371179 B2 JP 5371179B2
Authority
JP
Japan
Prior art keywords
focus
feature
mask
pattern
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006112545A
Other languages
English (en)
Other versions
JP2006303498A (ja
JP2006303498A5 (ja
Inventor
▲ホ▼哲 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2006303498A publication Critical patent/JP2006303498A/ja
Publication of JP2006303498A5 publication Critical patent/JP2006303498A5/ja
Application granted granted Critical
Publication of JP5371179B2 publication Critical patent/JP5371179B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7026Focusing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、フォトリソグラフィ工程における焦点変化を検出するシステムと方法に関するものである。より詳しくは、本発明は最小線幅を有するテストフィーチャーを印刷することに適したテストパターンを有するフォトマスクを製造する方法と関連されたものであり、この最小線幅はリソグラフィ工程の間、露光装備の最適の焦点位置からの焦点誤差と方向を決定して測定及び分析できる。
本出願は、平成17年4月15日付米国に出願された特許文献1及び平成17年4月21日付米国に出願された特許文献2によって優先権を主張しており、参考文献として本明細書に参照できる。フォトリソグラフィは、半導体集積回路(Integral Circuit;IC)素子を製造することにおける集積工程をいう。
一般に、フォトリソグラフィ工程は、半導体ウェーハ(又は半導体基板)をフォトレジスト層を有するようにコーティングし、化学線の光源(例えば、エキシマレーザー、水銀ランプなど)を集積回路のイメージを有するフォトマスクに透過させてフォトレジストを露光することを含む。例えば、極紫外線ステッパーのようなリソグラフィ装置が使用できるが、これを用いて光をフォトマスク及び高口径レンズを経てフォトレジスト層上に投射するようになる。ここで、光はフォトマスクパターンをフォトレジスト上に投射する。ハイブリッドマスクだけではなく、バイナリーマスク、EAPSM(Embedded Attenuated Phase Shift Masks)、AAPSM(Alternating Aperture Phase Shift Masks)などを含んで多様な種類のフォトマスクがリソグラフィのため開発されてきた。
最近、高集積回路素子は、狭い最小線幅を有する集積回路素子フィーチャーを有するようにデザインされている。限界寸法(Critical Dimension;CD)は、特定素子の製造工程のためのデザインルールによって特定されるライン一つの一番狭い幅又は二つのラインの間の一番狭い間隔をいう。事実上、集積回路素子は、現在サブ波長フィーチャーサイズを有するように設計されているが、シリコンウェーハに印刷された回路イメージはパターンを露光するために使用される光源の波長よりさらに短い。例えば、最尖端DUVステッパーは、100nm(0.1ミクロン)及びそれ以下のパターンサイズを有する集積回路を形成するために波長が193nmであるArF(Argon Fluoride)レーザーを使用する。
しかしながら、フィーチャーパターンが次第に狭くなるほど(例えば、サブ波長パターン)、サブ波長パターンを印刷するためのリソグラフィ工程ウィンドウを縮小させる光近接効果(Optical Proximity Effects;OPE)によって最小線幅の要求を充足させることが次第にさらに難しくなる。
光近接効果は、狭い間隔の、隣接する回路フィーチャーによる光波長の回折によって発生するが、このような回折は光波長が投射されたパターンを歪ませ、パターンに依存する工程変数を作り出す方向に互いに作用するようにする。このような点で、サブ波長のフィーチャーを印刷する場合、光近接効果を減少又は補償させるため多様な技術が開発されてきた。
例えば、光近接効果補正(Optical Proximity effect Correction;OPC)及びPSM(Phase Shift Mask)技術のようによく知られたレチクル向上(reticle enhancement)技術がフォトマスクを製造することに使用される。
解像度以下の印刷されない狭いフィーチャー(例えば、散乱棒)が光近接効果を補償するため回路マスクパターン内に付加される。さらに、PSM技術は、近接効果を減らし、サブ波長フィーチャーの線幅周縁でのコントラストを高めるため設計された位相移動構造のマスクパターンを有するフォトマスク(例えば、AAPSM、EAPSMなど)を製造するために使用される。他方、PSM構造と比較するとき、バイナリーマスクは回折による光近接効果が発生しやすく、このような効果はサブ波長フィーチャーをリソグラフィ印刷するためのバイナリーマスクの機能を制限するようになる。
図1A、図1B及び図1Cは、バイナリーマスクを使用する通常的なフォトリソグラフィ工程を概略的に示す。特に、図1Aは、バイナリーマスク10の平面図であり、図1Bは図1Aにある1B−1B´ラインに沿って切ったバイナリーマスク10の断面図である。一般に、バイナリーマスク10は、マスク基板12上に形成されたマスクパターン11を含む。マスク基板12は、露光される特定波長の光を透過する物質で作られる。例えば、マスク基板12は、高純度石英又はガラスで通常作られる。バイナリーマスクでイメージパターン11は、透過度が特定波長で約0%であるクロム(Cr)のような光を遮断する物質で作られるが、これは光の経路を遮蔽(及び反射)する役割を果たす。
図1A及び図1Bにおいて、マスクパターン11はマスク基板12上に光を遮蔽する物質(例えば、クロム)層をエッチングして形成されたピッチP及びスペース11bを有する長くて平行なラインフィーチャー11aを多数含む。マスクパターン11は、リソグラフィ工程によって半導体基板上のフォトレジスト層に投射できる。特に図1Bに示されたように、露光工程の間バイナリーマスク10のパターン化された表面上に照射される特定波長の光は、フォトマスクの露光された領域(例えば、スペース11b)を通過してフォトレジストがコーティングされたウェーハ上に投影でき、そうしてスペース11bと整列されたフォトレジスト領域が光に露出される。例えば、ポジティブレジストにおいては露光されたフォトレジスト領域が現像される間除去でき、こうしてマスクパターン11がフォトレジストに印刷される。
印刷されるべき最小線幅パターンが狭くなるにつれてリソグラフィ露光装備の解像度、すなわちバイナリーマスク技術を用いて狭いフィーチャーを正確に印刷できる能力は、回折による光近接効果なので、それ自体相当に減少する。このような限界が図1Cに示されている。特に、図1Cは半導体基板16(例えば、ウェーハ)上に形成されたフォトレジスト15層を含む半導体素子14を示している。
図1Cにおいて、フォトレジスト15は、図1A及び図1Bにある1:1倍率のバイナリーマスク10を用いて露光された“ポジティブレジスト”と仮定する。また、印刷されるべきラインパターン11a及びスペース11bの最小線幅は、露光システムの解像度限界と殆ど同じと仮定する。
図1Cに示されているように、とても狭い間隔のラインパターンによる光近接効果は、ライン−スペースパターンがフォトレジスト15に印刷されることを妨害する。
特に、図1Cは回折効果によってフォトレジスト15を経てウェーハ平面内にある電気場曲線13(サイズ及び方向)を示している。
特に、ライン−スペースパターン11a、11bが狭いサイズなのでフォトレジスト15上に照射される光の回折効果は、隣接するスペースフィーチャー11bの電気場ベクトルが強め合う干渉(constructive interference)になるようにし、そうしてラインフィーチャー11aに整列されたフォトレジスト15領域で光の強度が増加する。図1Cは、電気場13がライン−スペースパターン11a、11bに整列されたフォトレジストの全体領域にかけてフォトレジスト露光スレッショルド値Tpに到達するか、或いは超過する状態を示す。結果的に、ラインフィーチャー11bは、印刷されなく、スペースフィーチャー11bは不連続的なスペースフィーチャーではない一つの広いスペースフィーチャーとしてフォトレジスト15内に印刷される。このような回折効果は、PSM技術を用いて減少できる。
例えば、図2A、図2B及び図2CはEAPSMを使用する通常的なフォトリソグラフィ工程を概略的に示す。特に、図2AはEAPSM構造20の平面図であり、図2Bは図2A内にある2B−2B´線に沿って切ったEAPSM構造20の概略的な断面図である。
一般に、EAPSM構造20は、マスク基板22上に形成されたマスクパターン21を含む。マスク基板22は、高純度石英又はガラスのような物質で作られるが、これは特定波長の露光光に対して透過的である。マスクパターン21は、特定波長で透過度が約2%〜20%範囲であるケイ化モリブデン(MoSi)のように、光を遮断する物質(又は位相移動物質)で作られる。図2A及び図2Bは、図1A及び図1Bのラインスペースマスクパターンと同様に、マスクパターン21を示しているが、これはピッチP及びスペース21bを有する長くて平行なラインフィーチャー11aを多数含む。図1A及び図1Bのフォトマスク10と比較するとき、図2A及び図2Bのフォトマスク20は、ウェーハ水準でDUV消滅干渉を起こし、これはラインフィーチャーが光の波長より短いサブ波長の線幅により正確に印刷できるようにする。これは、図2Cに概念的に示されている。
特に、図2Cは半導体基板26(例えば、ウェーハ)上に形成されたフォトレジスト層を含む半導体素子26を示す。図2Cにおいて、フォトレジスト層25は、図2A及び図1Bにある1:1倍率のバイナリーマスク20を用いて露光された“ポジティブレジスト”と仮定する。図2Cは、フォトレジスト25全体にかけてウェーハ平面内に得られた電気場23を示す。ラインフィーチャー21aは、小さい比率の照射された光がマスク基板22を通過するようにするが、光の強度が弱くてウェーハ26上のレジスト25を露光させない。
マスクラインフィーチャー21aは、基板22の露出された領域(スペースパターン21b)でマスク20を通過する光とは違って、マスク20を通過する光の180度位相移動を起こすが、これはマスクフィーチャーの線幅周縁でのイメージコントラストを増加させ、そうしてリソグラフィ工程での解像度を増加させる。より具体的には、図1Cに示されているようにガラス基板に隣接したラインフィーチャー21aの線幅周縁で消滅干渉が起こる。この時、マスクラインフィーチャー21aに整列されたフォトレジスト25の領域で電気場の強度は、十分にレジストスレッショルド値Tp以下で維持され、通常的なリソグラフィ装置を用いてサブ波長の最小線幅を有するラインスペースパターンを印刷することにおける解像度の増加を可能にする。
交互のアパチャーは、OPE効果を減少させ、サブ波長のフィーチャーを印刷するためDUV消滅干渉による、異なるPSM技術である。例えば、図3A、図3B及び図3Cは、AAPSMを用いる通常的なフォトリソグラフィ工程を概略的に示す。特に、図3AはAAPSM構造30の平面図であり、図3Bは図3A内にある3B−3B´線に沿って切ったAAPSMの概略的な断面図である。一般に、AAPSM構造30は、マスク基板32上に形成されたマスクパターン31を含む。マスク基板32は、高純度石英又はガラスのような物質で作られるが、これは特定波長の露光光に対して透過的である。マスクパターン31は、透過度が特定波長で約0%であるクロム(Cr)のような光を遮断する物質で作られるが、これは光の経路を遮蔽(及び反射)する役割を果たす。図3A及び図3Bは、図1A及び図1Bのラインスペースマスクパターンと類似したピッチP及びスペース31bを有する多数の長くて平行なラインフィーチャー31aを含むマスクパターン31を示す。図1A及び図1Bのフォトマスク10と比較して、図3A及び図3Bにあるフォトマスク30は、スペースパターン31bのうち交互に一つずつ、マスク(石英)基板32の内部に選択的にエッチングされたトレンチ32aをさらに含む。トレンチ32aは、エッチングされないマスク基板の領域とは違って、180度位相移動を起こす。このように得られた位相差は、DUV消滅干渉を起こし、イメージコントラストを向上させる。これは、図3Cに概念的に示されている。
特に、図3Cは半導体基板36(例えば、ウェーハ)上に形成されたフォトレジスト層を含む半導体素子34を示す。図3Cで、フォトレジスト層35は、図3A及び図1Bにある1:1倍率のバイナリーマスク30を用いて露光された“ポジティブレジスト”と仮定する。
図3Cは、フォトレジスト層35全体にかけてウェーハ平面内に得られた電気場曲線33(サイズ及び方向)を示す。スペースフィーチャー31bは、照射された光がマスク基板32を経てフォトレジストを過ぎるようにする反面、ラインフィーチャー31aは光を反射する。トレンチ32aは、スペースパターン31bにある露光されてエッチングされない基板32の領域を経てマスク30を通過する光とは違って、マスク30を通過する光の180度位相移動を起こす。その結果、電気場33はラインフィーチャー31aを基準に対向する両側でサイズは同じで位相は反対になり、エッチングされた領域及びエッチングされない領域の間で起こる消滅干渉は、レジスト36内のラインスペースフィーチャー31a、31bを印刷することにおける高精度にイメージコントラストを向上させる陰影領域を作り出す。
前述したようにPSM技術がサブ波長のフィーチャーを印刷することにおける高解像度を提供するため一般に用いられることができるが、このようなフィーチャーがリソグラフィとして優れるように複写できるかは主にリソグラフィ工程ウィンドウのサイズに従っている。一般に、よく知られたように、“工程ウィンドウ”という用語は印刷されたフォトレジストパターン(例えば、ライン幅、壁の角度、レジスト厚さ)の特徴が予め決められた規格内で維持されるために許容できる露光量及び焦点の変化程度を意味する。特定リソグラフィ環境で、露光量及び焦点変化によるこのようなフォトレジストパターンの感度は、一連の焦点−露光データを得ることによって実験的(又はコンピュータシミュレーション)に決定できる。例えば、特定したリソグラフィ工程及びマスクについて、焦点及び露光量による関数であるライン幅の変化を決定するため一連の焦点−露光データが用いられることができる。
図4Aは、例示的なBossung(焦点−露光)図であり、これはライン幅(以下、CD)対露光量による焦点の間の変数曲線を含む。特に、例示されたBossung図は、相異なる露光エネルギー(E1〜E5)で焦点誤差(x−軸)によるCD変化(y−軸)を示す。図4で、点線ライン40は、目標(理論的な)CDを意味し、点線ライン41及び点線ライン42は、それぞれ許容できる上限(CD+)値及び下限(CD−)値を示すが、この値は目標CD40によって異なる。焦点誤差変数(x−軸)は最適の焦点位置から相対的に外れる程度を意味する。図4Aで、最適の焦点位置は焦点誤差=0に示されている。
もし焦点及び露光量における大きい変化が目標CD40に最小限の影響を与えれば、リソグラフィ工程は良好なことと思われる(印刷されたCDを許容できるCDの所望の範囲内に維持する。)。特に、用いることができる工程ウィンドウは、焦点深度(DOF)及び露光幅(Exposure Latitude;EL)の組合せとして規定できるが、これは目標CDの±10%内で印刷フィーチャーを維持する。露光幅(EL)という用語はCDを規格数値限界内で維持する露光エネルギーのパーセント量範囲を意味する(通常目標値からのパーセント変化で表現される。)。使用できる焦点範囲又は焦点深度(DOF)は、典型的に焦点条件の範囲を意味するが、ここで印刷されたフィーチャー又はフィーチャーの間スペース幅の数値は、通常目標とするライン幅又はCDの±10%の間に置かれる。焦点深度(DOF)の概念が概略的に図4Bに示されている。
特に、図4Bにはフォトレジストがコーティングされた基板を露光するためのレチクルを使用するリソグラフィ投射工程を示す。特に、図4Bは光源43と、コンデンサレンズ44と、レチクル45及び投射レンズと、を含む投射システムについての概略的な図面である。光源43は、コンデンサレンズ44に照射される光を放出する。光は、コンデンサレンズ44を通過して予め決定されたパターンが形成されたレチクル45の全表面を均等に照射する。その後、レチクル45を通過する光は、投影レンズ46を経て予め決定されたスケール因子によって減少され、半導体基板48上のフォトレジスト層47を露光する。投影レンズ46を使用する場合、レチクル45上のマスクフィーチャーのサイズは、フォトレジスト47内に印刷される同一なフィーチャーより通常4又は5倍広くなる。例えば、5:1倍率の投射システム内でレチクル上に1ミクロンの幅を有するマスクラインフィーチャーは、フォトレジスト内に印刷された0.2ミクロン間隔のラインに転写される。
図4Bは、焦点深度(DOF)を概念的に示す。一般に光学システムの焦点面は、焦点ポイントFPを含む平面である。焦点面は、通常光学システムの最適焦点平面と呼ばれる。焦点という用語は、光学軸(すなわち、最適焦点面に垂直な軸)に沿って測定されるレジスト層の上部表面又はフォトレジストの中心のような基準面についての光学システムの最適焦点面の位置を意味する。例えば、図4Bに示されているように、最適焦点の平面(焦点面)は、フォトレジスト層47の表面近傍に置かれている。図4Bに示されている実施形態で、焦点はイメージシステムの焦点面についてのレジスト層表面の位置によって決定される。焦点誤差という用語は、レジストでコーティングされたウェーハ(すなわち、レジスト層47の表面)の実際位置及びウェーハが最適焦点にあるようになる位置の間で光学軸(すなわち、最適焦点面に垂直な軸)に沿って測定された距離を意味する。フォトリソグラフィ工程の間、焦点は最適焦点から±焦点誤差位置に変化できる。焦点深度(DOF)は、許容範囲の±焦点誤差を意味する。
図4Aに再び戻って、焦点及び露光量における変化は、(目標CDから)許容範囲のCDを外れて印刷されたパターンのCDを増加又は減少させることができる。一般に、焦点変化に応じてライン幅が急激に変化される場合には、狭い工程ウィンドウであることが分かる。例えば、図4Aに示されているように、変数曲線E1、E2、E4、E5は、該当される露光量、CDが最適焦点位置(焦点誤差=0)からの焦点偏差により敏感なことを示す。
これとは対照的に、曲線E3はより直線に近いが、これは特定な露光量、CDが最適焦点位置(焦点誤差=0)からの焦点偏差により低い敏感なことを意味する。
前述したようにAAPSM及びEAPSMのような向上技術が解像度を改善するために使用できるが、このような技術は複雑で高コストになり、広いチップサイズを必要とする。さらに、PSM技術は“禁止されたピッチ”現象によって、減少された工程ウィンドウを示すようになる。より詳しく説明すれば、OAI(off−axis illumination)である場合には、特定フィーチャー及び目標CDについて、一つ又はそれ以上のピッチがあることができるが、そのようなフィーチャーのうち密集したパターンの工程幅は、同一なサイズの独立的なパターンについての工程幅よりさらに悪いことがある。特定ピッチ(例えば、マスク上の一番狭いピッチ)についてOAIが最適化される場合、異なるピッチを有するパターンがあることができるが、ここで回折角度と共に照明の角度が回折を起こし、その特定ピッチについて減少された焦点深度(DOF)を齎す。禁止されたピッチ現象は、サブ波長のフィーチャーを印刷するためのフォトリソグラフィに制限を与える要因になってきた。
露光装置は、“フォーカスバジェット”を有するが、これは、露光装備の焦点変化を補償するために必要なフォトリソグラフィ工程における最小焦点深度(DOF)を意味する。特定なレイアウトパターンピッチの焦点深度(DOF)が露光装備に要求されるフォーカスバジェットより大きくなければ、レイアウトパターンピッチは禁止されたピッチと思われる。従って、禁止されたピッチ現象を減少させることができれば、最近の半導体素子製造装置及び技術を用いて得ることができるCD及び工程幅を全体的に改善できるものである。
サブ波長フィーチャーを印刷する場合、CD均一度を調節することが重要である。しかしながら、フォトリソグラフィ露光装置(スキャナー、ステッパー)で露光工程変数の小さい変化が印刷フィーチャーの最小線幅(CD)が許容可能な製造数値範囲を外れるようにできる。例えば、焦点深度(DOF)はリソグラフィ投射装備の解像度を決定する一番重要な基準の一つと一般に思われる。
フォトリソグラフィ工程の間、露光システムの焦点は、例えば温度、圧力変化、ウェーハ平坦度の変化又は他の要素によってフォトレジストがコーティングされた基板の所望の基準表面上又は下で変化できる。工程ウィンドウによって異なれば、最適焦点からの焦点変化(又は焦点誤差)の程度は、印刷フィーチャーの広さについて顕著な効果を示すことができる。従って、工程をよく制御して各ウェーハについて利用可能な範囲内で焦点が維持できるようにするべき必要がある。この時、焦点誤差の程度は、最適焦点を測定する適切な方法がなくては決定できない。
前述したように、工程ウィンドウを改善するためにマスク技術及び光近接効果補正(OPC)ソリューションを開発する必要性がとても大きく、サブ波長のフィーチャーを精度があるように印刷するための最新光学露光システムの解像度を増加させる必要性がある。さらに、サブ波長リソグラフィ工程における焦点変化によるCD変化の程度が敏感であれば、フォトリソグラフィ工程の間焦点変化(サイズ及び方向)を効率的に測定する技術を開発する必要があり、焦点を合わせてCDを均一にするため露光装置を自動調節する必要がある。
韓国公開特許第2004−0189814号
本発明の技術的課題は、フォトリソグラフィ工程におけるテストパターンを用いて焦点変化を測定することによって最適焦点を決定する方法を提供するところにある。
本発明の他の技術的課題は、フォトリソグラフィ工程におけるテストパターンを用いて焦点変化を測定することによって最適焦点を決定するシステムを提供するところにある。
本発明の技術的課題は、以上で言及した技術的課題に制限されなく、言及されないさらに他の技術的課題は、以下の記載から当業者に明確に理解できるものである。
前述した技術的課題を達成するために本発明の実施形態は、一般にフォトリソグラフィ工程における焦点変化を測定するシステム及び方法を含む。
より詳しくは、本発明の実施形態はリソグラフィ工程の間露光装備の最適焦点位置からの焦点誤差のサイズ及び方向を決定するために測定及び分析できる最小線幅を有するテストフィーチャーを印刷することに適したテストパターンを有するフォトマスクを製造する方法を含む。
本発明の一実施形態で、リソグラフィ工程の焦点を測定するための方法が提供される。この方法は、第1の印刷テストフィーチャー及び第2の印刷テストフィーチャーを含む印刷テストパターンを含むフォトレジストパターンが形成された半導体ウェーハを得、第1の印刷テストフィーチャーの印刷最小線幅CD1及び第2の印刷テストフィーチャーの印刷最小線幅CD2を決定し、印刷された最小線幅CD1、CD2の間の相対的なCD差を決定し、決定された相対的なCD差に基づいてリソグラフィ工程においての最適焦点設定からの焦点誤差のサイズ及び方向を決定することを含む。
一実施形態で、印刷されたテストパターンは、焦点誤差のサイズ及び相対的なCD差の間に線形的な関係が存在するように形成される。焦点設定は、相対CD差が約0に決定されるとき、最適焦点設定にあるものとする。該当される焦点−露光マトリックスデータを用いて焦点誤差及び相対的なCD差の間の線形的な関係を定量化するリソグラフィ工程モデルが立てられる。
他の実施形態で、フォトマスクは、回路レイアウトパターン及び第1のテストフィーチャー及び第2のテストフィーチャーを含むテストパターンを含む。
第1及び第2のテストフィーチャーは、少なくとも一つのフィーチャーサイズが目標CDと同一なように形成される。テストパターンは、印刷最小線幅CD1を有する第1のテストフィーチャー及び印刷された最小線幅CD2を有する第2のテストフィーチャーを作ることに適し、印刷された最小線幅CD1、CD2の間の相対的な差がリソグラフィ工程のための最適焦点設定からの焦点誤差のサイズ及び方向と連関されるようにする。第1のテストフィーチャー及び第2のテストフィーチャーは、最適焦点位置においてサイズは同じで方向は反対になるように移動されたそれぞれの第1の焦点−露光曲線及び第2の焦点−露光曲線を作り出し、最適焦点位置において実質的に鏡像である全焦点CD特性を有するように形成される。
一実施形態で、第1の印刷テストフィーチャー及び第2の印刷テストフィーチャーは、ピッチPほど分離された長棒要素であり、印刷された最小線幅CD1、CD2は、印刷された棒フィーチャーのそれぞれの幅になる。第1の印刷テストフィーチャー及び第2の印刷テストフィーチャーは、第1の印刷テストフィーチャー及び第2の印刷テストフィーチャーを基板に転写することによって形成される。第1の印刷テストフィーチャー及び第2の印刷テストフィーチャーは、ピッチPほど分離された第1の長棒構成要素及び第2の長棒構成要素に形成され、第1の長棒構成要素及び第2の長棒構成要素は目標CDと同一なライン幅を有する。第1の長棒要素は、90度位相移動された光について実質的に100%の透過率を提供することに適した内部非印刷フィーチャーを含み、第2の長棒要素は、270度位相移動された光について実質的に100%の透過率を提供することに適した内部非印刷フィーチャーを含む。
一実施形態で、第1の非印刷フィーチャー及び第2の非印刷フィーチャーそれぞれは、第1のテストフィーチャー及び第2のテストフィーチャーそれぞれの内部領域と整列されたマスク基板領域を露光させるスペースフィーチャー及びマスク基板内に形成され、スペースフィーチャーと整列されたトレンチフィーチャーを含む。
本発明の他の実施形態で、フォトリソグラフィシステムは、回路レイアウトパターン及び少なくとも一つ以上のパターンサイズが目標CDと同一な第1のテストフィーチャー及び第2のテストフィーチャーを含み、印刷最小線幅CD1を有する第1の印刷テストフィーチャー及び印刷最小線幅CD2を有する第2の印刷テストフィーチャーを作ることに適したテストパターンを含むマスクパターンを有するフォトマスクを通過する光でフォトレジストがコーティングされたウェーハを露光させる露光システムを含む。フォトリソグラフィシステムは、CD1及びCD2の間のCD差に基づいて焦点変化のサイズ及び方向を決定する第1の印刷テストフィーチャー及び第2の印刷テストフィーチャーの測定CDデータを処理する露光システムの焦点変化を測定する焦点測定システムをさらに含む。
他の実施形態で、フォトリソグラフィシステムは、焦点測定システムからの制御信号出力に反応して露光システムの焦点設定を自動的に調整する制御システムを含む。
さらに他の実施形態で、フォトリソグラフィシステムは、それぞれの印刷テストパターンであるCD2及びCD1を自動的に測定し、測定されたCD2及びCD1を焦点測定システムに出力するCD測定システムを含む。
本発明のさらに他の実施形態で、フォトリソグラフィシステムは、リソグラフィ工程変数のモデルの貯蔵所及びFEMデータを含む。リソグラフィ工程モデルは、対応されるFEM(Focus−Exposure Matrix)データを用いて焦点誤差及びCD2及びCD1の間の相対的なCD差の間の線形的な関係を定量化する。リソグラフィ工程モデルは、最適焦点位置においてサイズは同じで方向は反対になるように移動されたそれぞれの第1の焦点−露光曲線及び第2の焦点−露光曲線を作り出し、最適焦点位置において実質的に鏡像である第1のテストパターン及び第2のテストパターンの全焦点CD特性を明示する。
前述したようなフォトリソグラフィ工程における焦点変化測定方法及びシステムによれば、フォトマスク内にあるテストパターンを用いてフォトレジストに印刷されたCD値を測定でき、これにより実験的に、又はコンピュータシミュレーションを通じたモデルを立てて印刷されたCD差及び焦点変化との相関関係を立てることができ、従って特定したフォトリソグラフィシステムにおける最適焦点を決定できる。
本発明の実施形態、他の実施形態、観点、目的、特徴及び利点は、添付する図面と共に詳細に後述している実施形態を参照すれば明確になる。
本発明の実施形態によってリソグラフィ工程ウィンドウを改善するためのフォトマスク構造を用い、このような素子を製造するため焦点測定を可能なようにする例示的なフォトマスク構造及び方法が以下で添付する図面と共により詳細に説明する。
図面は、単に概略的なものであり、多様な構成要素、層及び領域の厚さ及び数値は正確なことではなく、明確性のため多少誇張されている。
また、一つの層が他の層又は基板“上”又は“上部”にあると言及されるときは、その層は他の層又は基板の上に直接あることができ、中間にさらに他の層があることもできる。
そして、図面全体にかけて同一な参照符号は、同一であるか、或いは類似な構成要素、又は同一であるか、或いは類似な機能を示す。
図5A及び図5Bは、本発明の一実施形態によるフォトマスクを概略的に示す。特に、図5Aは例示的なフォトマスク50の平面図であり、図5Bは図5Aにある5B−5B´線に沿って切った例示的なフォトマスクの断面図である。
一般に、フォトマスク50は、マスク基板55上に形成されたマスクパターンを含む。マスクパターンは、本発明の一実施形態によって長棒51要素を含む。長棒51の要素は、線幅周縁51a、51bの間に厚さt、幅W4を有する印刷可能なフィーチャーである。長棒51は、幅W1の厚さを有する第1の光遮断要素52、幅W2の厚さを有する第2の光遮断要素54、及び、第1の光遮断要素52及び第2の光遮断要素54の間に置いている内部位相移動パターン53(又は“位相棒”という。)を含む。位相棒53は、幅W3を有する内部領域に、マスク基板55の表面下に深さdほど、マスク基板55の内側に延長される。
一般に、位相棒53は、非印刷、解像度向上フィーチャーであって、ここにサブ波長フィーチャーを印刷することにおける工程ウィンドウを改善するための多様な種類のマスク技術が使用できる。
一般に、位相棒53は、解像度以下の数値(例えば、幅W3はデザインCDより狭い。)を有するように形成されて位相棒53が印刷されない。
本質的に、位相棒53は、長棒要素51の内部光透過領域で光を100%透過させ、この領域を通過する光は長棒要素51周辺の基板55領域を透過する光とは違って、位相が移動される。位相移動の程度は、位相棒53のトレンチ深さd、マスク基板55の物質及び光源の波長に依存する。一実施形態で、位相棒53は周辺領域で透過された光について180度位相が移動された光を透過するようにデザインされる。特に、180度位相移動になるようにするためには、トレンチの深さdは次の式の通り決定される。
d*(ηsubstrateair)=(1/2)λ ・・・ (式)
このように得られた位相差によって干渉が起こり、イメージコントラストが向上される。
さらに、長棒要素51の総透過度は構成要素52、53、54(例えば、W1、W2、W3)の数値及び/又は光遮断要素52、54を形成することに使用される物質の種類を変化させて調節できる。特に、長棒要素51は、三つの棒を含むが、この棒は効果的な透過率((W1*T1)+(W2*T2)+(W3*T3))/W4(ここで、T1、T2、T3はそれぞれ第1の光遮断棒52、第2の光遮断棒54及び位相棒53の透過率(%)を意味する。)を有する一つの棒要素として共に作用する。前述したように、位相棒53は100%の透過率を提供する。光遮断要素52、54の透過率T1、T2は、物質種類に応じて変化する。例えば、ほぼ0%の透過率を有するクロムのような光遮断物質が使用でき、又は約5%〜10%の低い透過率(%)を有するMoSiのような光遮断物質を使用できる。実際、光遮断要素52、54は、外部の光透過領域及び内部の光透過(位相棒)領域の間の光透過率及び光の強度分布を調節するためその構造及び数値が変更され、これによりイメージコントラストが最適化される。これが従来のフォトマスク技術としてコントラストを調節する方法であるが、ここで棒の透過率は調節できない。
長棒要素51の多様な要素52、53、54は、フィーチャーの線幅周縁51a、51bでコントラストを最適化する方式にフォトレジスト表面全体にかけて光の強度を分布させるようにデザインでき、このようにして長棒要素51を印刷するための解像度及び工程ウィンドウを向上させる。例えば、図5Cは例示的なフォトマスク50を用いるフォトリソグラフィ工程を概略的に示す。図5Cは、基板58上のフォトレジスト層に沿ってウェーハレベルにある電気場曲線57を示すが、これは例示的なフォトマスク50を用いてポジティブレジストがコーティングされた基板58を露光する場合が示される。前述したように長棒要素51は、特定波長で約2%〜10%範囲の透過率を有するMoSiのような光遮断物質(又は位相移動物質)で形成されたことと仮定し、位相棒53のトレンチ要素である深さdは、180度の位相移動を提供することと仮定する。図5Cは、長棒要素51に該当する幅W4である印刷されたフォトレジストパターン59を示す。
位相が移動される内部領域53は、180度移動された光を100%透過するが、フォトレジストパターン59に印刷されない。
図6A〜図6Fは、本発明の一実施形態によるフォトマスクを製造する方法を概略的に示す。特に、例示的な目的で、図6A〜図6Fは図5A及び図5Bの例示的なフォトマスク50を製造する方法を概略的に示す。先ず、図6Aを参照すれば、マスク物質層51´及びフォトレジスト層60がマスク基板55上に順次に形成される。フォトレジスト層60は、図6Bに示されているフォトレジストパターン60aを形成するように処理される。一実施形態で、フォトレジストパターン60aは、予め決められたマスクレイアウトデザインによってフォトレジスト層60の所望の領域を露光するためのレーザー露光工程を遂行し、その後レーザーで露光されたフォトレジスト60領域を除去する現像工程を遂行して形成される。
図6Cを参照すれば、フォトレジストパターン60aは、知られた技術を用いてマスク物質層51´をエッチングすることにおいて、エッチングマスクとして用いられ、このようにしてマスク物質層51´をパターン化し、フォトマスクパターンを発生させる。例えば、図6Cに示されているように、長棒要素51についての光遮断要素52、54は、第1のエッチング工程で形成される。図6Dを参照すれば、第2のフォトレジストパターン61は、光遮断要素52、54の間にあるスペース領域を露光するため形成される。図6Eで、フォトレジストパターン61をエッチングマスクとして用いてエッチング工程を遂行し、このようにしてマスク基板55の内部に所望の深さdほどトレンチを形成するようになる。図6Fで、フォトレジストマスク61が除去され、図5A及び図5Bを参照して前述したフォトマスク構造を得るようになる。
図6A〜図6Fの例示的な方法において、マスクパターン51を形成するため、単に二つのマスク書き取り段階が遂行される。第1のマスク書き取り工程(図6A及び図6B)はマスクパターン51を形成し、位相周縁を限定することを伴う工程であり、レーザー工程を用いて精巧に遂行できる重要な工程である。第2のマスク書き取り工程(図5D及び図5E)は、マスク基板55内に位相棒トレンチをエッチングすることを伴う工程であり、より重要度が低い工程である。特に、第2のマスク書き取り工程は、フォトレジストマスク61のオーバーレイが精密なことを要求しないが、これはトレンチが光遮断要素52、54によって自己整列されるためである。特に、フォトレジストマスク61は、マスク基板55の他の領域を覆うことによって、エッチングされることを防ぐ反面、光遮断要素52、54は、基板55内のトレンチをエッチングするとき本質的なエッチングマスクとして作用する。
本発明に従う非印刷内部位相移動領域を有するフォトマスク構造を用いて得ることができる向上した工程ウィンドウを例示するため、図7及び図8に示されているフォトマスクパターンに関する多様なシミュレーションが遂行された。特に、図7は実質的に互いに平行でピッチPほど分離されて配列された一連の長棒71(印刷可能なパターン)を含む通常的なフォトマスクパターン70を示す。また、パターン70は長棒71の間に配列された多数の解像度以下の(非印刷)補助フィーチャー72(Assistant Features;AF)を含む。
補助フィーチャー72は、印刷されないフィーチャーとして、回折効果を補償するためにマスク内に提供される。図8は、図7と類似したパターンを示しているが、ここでは主棒71が図5A及び図5Bを参照して前述したような位相棒を有する例示的な棒81に代替されている。
目標CDを65nmとするマスクパターン70、80を用いて以下で説明する条件下にフォトリソグラフィシミュレーションが遂行された。光源はDUV/ArF(193nm)であり、口径(Numeric Aperture;NA)=0.85であり、4:1倍率のクエーサー照明方法であり、露光量は0.53〜0.80ドーズ範囲に限定された。マスク70、80は、6.5%の透過率及び180度位相移動を提供する厚さを有するマスク物質を備えるAPSM(Attenuated PSM)マスクとした。ピッチPは、600nmに設定され、棒71、81は、105nm、補助パターン72は35nmに限定された。また、図8の例示的な棒要素81について、光遮断要素及び内部位相移動領域の幅は同一な幅である35nm/35nm/35nmを有することと限定され、トレンチの深さは特定光の波長について180度位相移動を提供することと限定された。
図9A及び図9Bは、前述した条件下で図7の通常的なマスクパターンについてのシミュレーション結果を示す。特に、図9Aは、0.53から0.80まで変化された露光スレッショルド値についての曲線を有するBossungグラフ90を示す。線91、92、93は、目標CD(65nm)、上限値(CD+=69nm)及び下限値(CD−=61nm)を意味し、目標CDから約±6.2%のマージンを提供する。図9Bは、工程ウィンドウ95(CD工程ウィンドウ)をグラフに示すが、露光及び焦点変化に応じてそれぞれ高いCD数値及び低いCD数値の曲線96、97を含む。図7の例示的なパターンについてのシミュレーションにおいて、最適焦点は、0.2μm及び最適露光量は20ドーズに決定された。
このような条件下で、焦点深度(DOF)及び露光幅(EL)は、0と同一である(このような変数は、所望の工程ウィンドウを外れるようになるためである。)。
図10A及び図10Bは、前述した条件下で図8のマスクパターンについてのシミュレーション結果を示す。特に、図10Aは、0.53から0.80まで変化された露光スレッショルド値についての曲線を有するBossungグラフ100を示す。
線101、102、103は、目標CD(65nm)、上限値(CD+=69nm)及び下限値(CD−=61nm)を意味し、この値は目標CDから約±6.2%のCD変化マージンに基づいたことである。図10Bは、工程ウィンドウ105(CD工程ウィンドウ)をグラフに示したものであるが、露光及び焦点変化に応じてそれぞれ、高いCD数値及び低いCD数値の曲線106、107を含む。図8の例示的なパターンについてのこのようなシミュレーションにおいて、最適焦点は0μm及び最適露光量28.30に決定され、図10Bに示されているように使用できる工程ウィンドウ108を得る。工程ウィンドウ108は、相対的に広く、これは大きい焦点誤差余裕(焦点深度(DOF)が0.25μmである。)があることを意味する。工程ウィンドウ108は、高さが相対的に低く、これは相対的に狭い露光幅余裕(EL=0.7%)があることを意味する。
図11A及び図11Bは、前述した条件を有する図8のマスクパターンについてのシミュレーション結果を示すが、但し、図8の長棒81は内部位相移動領域が幅55nmを有し、光遮断要素が同一な幅である25nmを有するようにした(反面、前述したシミュレーションのように全体幅は105nmに維持した。)。図11Aは、0.53から0.80まで変化された露光スレッショルド値についての曲線を有するBossungグラフ1100を示す。
線1101、1102、1103は、目標CD(65nm)、上限値(CD+=69nm)及び下限値(CD−=61nm)を意味し、この値は目標CDから約±6.2%のCD変化マージンに基づいたものである。図11Bは、工程ウィンドウ105(CD工程ウィンドウ)をグラフに示したものであるが、露光及び焦点変化に応じて、それぞれ高いCD数値及び低いCD数値の曲線1106、1107を含む。図8の例示的なパターンについてのこのようなシミュレーションにおいて、最適焦点は0μm及び最適露光量は29.10に決定され、図10Bに示されているように使用できる工程ウィンドウ1108を得る。工程ウィンドウ1108は、相対的に広く、これは大きい焦点誤差余裕(焦点深度(DOF)=0.25μmである。)があることを意味する。工程ウィンドウ1108は、増加された高さを有し(図10Bと比較して)、これは図10Bの場合に比べて増加された露光幅余裕(EL=3.44%)があることを意味する。
図11A及び図11BのBossung曲線は、図9AのBossung曲線に比べて増加されたCD線形度を示す。さらに、図11AのBossung曲線は、図10Aの場合に比べて増加されたCD線形度を示す。結局、シミュレーション結果は、非印刷内部位相移動領域を有するようにデザインされたマスクフィーチャーを用いてサブ波長のフィーチャーを精密に印刷することにおいて、増加された工程ウィンドウを得ることができることを意味する。図5A及び図5Bに示されている内部位相棒パターンを有する例示的な棒フィーチャーは単に例示的なものであり、本発明の思想は異なる形態及び構造のサブ波長のフィーチャーを印刷するための工程ウィンドウを増加させるために容易に適用できる。
本発明の他の側面で、内部位相移動領域を有するマスクフィーチャーは、テストパターンを製造するために使用され、このテストパターンはフォトリソグラフィ工程の間焦点変化のサイズ及び方向がより効率的に測定できるようにする。そうしてマスクパターンは、露光システムの焦点がCD均一性を示すように調節できるようにする。実際に、以下で説明する本発明の実施形態によって焦点測定と共に露光工程の自動調節が遂行でき、このようにしてフォトレジストが焦点深度範囲内にある投射光学システム最適のイメージ形成平面(すなわち、最適の焦点平面)に合わせられることができる。そうして高解像度及び精度にフォトマスクパターンがフォトレジスト層に転写できる。投射光学システムの最適焦点平面位置から焦点変化のサイズ及び方向を測定する例示的な方法が提供される。
図12A及び図12Bは、本発明の一実施形態による焦点を測定する方法を概略的に示す。特に、図12Aは本発明の一実施形態によるマスク基板1201及びマスクテストパターン1202を含む例示的なフォトマスク1200を示す。マスクテストパターン1202は、ピッチPほど離れた二つのテスト構造T1、T2を含む。一般に、テスト構造T1、T2は、それぞれ内部位相移動領域B1、B2を有する長棒要素である。テスト構造は、図5を参照して説明した長棒要素と構造において類似し、図6を参照して説明した方法を使用して製造できる。
テスト構造T1、T2は、位相棒B1、B2によって行われた位相移動差が180度になるようにデザインされる。例えば、第1のテスト構造T1は、周辺の光透過領域内で透過される光と90度位相移動された光を透過するようにデザインされた位相棒B1を有するように形成できる。特に、90度位相移動を起こせば、トレンチの深さd1は、d1*(ηglassair)=(1/4)λによって決定される。第2のテスト構造T2は、周辺の光透過領域内で透過される光と270度位相移動された光を透過するようにデザインされた位相棒B2を有するように形成できる。特に、270度位相移動を起こせば、トレンチの深さd2は、d2*(ηglassair)=(3/4)λによって決定される。テスト構造T1、T2は、線幅周縁で同一なCDを有するように形成され、ここでCDはマスクパターンについての一番狭いCDと同一なように選択される。1ミクロン又はこれより狭いCDについて、ピッチPはCDの約10倍又はそれ以上になるように選択される。
図12Aのマスクパターンは、図12Bに示されている印刷されたテストパターンを有するように光に露光される。特に、図12Bはその上に形成されたフォトレジストパターン1211を有する基板1210を概略的に示す。フォトレジストパターン1211は、図12AにあるそれぞれのマスクテストパターンであるT1及びT2に対応される印刷されたテストフィーチャーT1´、T2´を含む。印刷されたテストフィーチャーT1´は、幅CD1を有し、印刷されたテストフィーチャーT2´は、幅CD2を有するものと示されている。図12Aで、マスクテストフィーチャーT1、T2は、同じCD幅を有するように形成される。本発明の一実施形態によって、容易に焦点変化を測定するため、同一な照明によって形成された印刷されたテストフィーチャーT1´、T2´の幅差(すなわち、CD2−CD1)が測定されて分析できる。特に、図13A〜図13Bを参照して、以下で詳細に説明されるものであるが、幅差(CD2−CD1)は、焦点変化のサイズ及び方向を決定するため使用される。そうしてフォトリソグラフィ工程の間焦点調整が行われる。
図13A〜図13Cは、本発明の一実施形態による焦点測定方法を概略的に示すが、このような方法は焦点変化のサイズ及び方向がフォトリソグラフィ工程の間印刷されたテスト構造の測定されたCD値に基づいて決定できるようにする。特に、図13A及び図13Bは、図12Aに示されているような例示的なマスクテストパターンについて実験及び/又はコンピュータシミュレーションによって誘導された焦点−露光マトリックステストデータをグラフに示す。図13A及び図13Bは、焦点及び露光エネルギー変化に応じるそれぞれの印刷されたテスト構造T1´、T2´(図12B)についてのCD(ライン幅)変化を示すBossung図である。焦点−露光マトリックステストデータは数学的モデルを立てることに使用されるが、このモデルは印刷されたテスト構造について測定されたCD値による焦点及び露光変化の間の相関関係を限定し、一時的な(ウェーハ間)変化又はダイ内でウェーハの(スペース的)変化を確認する。図13Cは、図12Bで印刷されたテスト構造T1´、T2´についてのCD(CD2−CD1)測定値の差による(最適焦点からの)焦点変化のサイズ及び方向を決定する方法をグラフに示す。図12の例示的なマスクテストパターンは一定した方式にデザインされるが、この方式は、テスト構造T1、T2の焦点を通じたCD特性が、対応されるBossung曲線を有し、この曲線が最適焦点位置(例えば、0焦点誤差)を基準として対向する方向に移動されるようにし、実質的に互いに鏡像を有するようにすることである。特に、図13Aに示されているように、例示的なテスト構造T1(90度)についてのBossung曲線は焦点誤差位置D+にその中心が置かれ、最適焦点位置D(一実施形態で0焦点誤差を有することと仮定する。)の右側に移動される。さらに、図13Bに示されているように、例示的なテスト構造T2(270度)についてのBossung曲線は焦点誤差位置D−にその中心が置かれ、最適焦点位置Dの左側に移動される。また、図13AのBossung曲線は図13Bの鏡像である。言い換えれば、特定露光エネルギーについて、D+のサイズはD−と同一であり、焦点変化は測定されたCD2の変化と反対される測定されたCD1の変化を惹起する。このような特徴は、一定した関係を示すが、これは特定工程について最適焦点位置(例えば、0焦点誤差)からの±焦点変化に応じてCD変化差(CD2−CD1)のサイズが線形的に変化する関係である。
例えば、図13Cは図13A及び図13Bの四角窓に示されているデータについて焦点誤差(μm、x−軸)によるCD(CD2−CD1)変化(nm、y−軸)を示したものである。一実施形態で、0である焦点誤差位置D(最適焦点)で、CD差(CD2−CD1)=0は工程の焦点が最適焦点にあることを意味する。点P1で、CD2−CD1の数値が約±20nmであることは、工程で約−0.10ミクロン程度の焦点誤差がある状態に焦点変化があることを意味する。反面、点P2で、CD2−CD1の数値が約−20nmであることは、工程で約+0.10ミクロン程度の焦点誤差がある状態に焦点変化があることを意味する。従って、図13Cは焦点変化のサイズ及び方向全てを測定できる方法を示す。
図12Aの例示的なマスクテストパターンは、フォトマスク構造に具現されて印刷されたテスト構造を提供するが、このような構造はリソグラフィ製造工程において、印刷されたテスト構造の測定されたCD(ライン幅)の間の相対的な差に基づいて、焦点変化のサイズ及び方向を正確で効率的に決定することに使用できる。フォトマスク構造は、回路レイアウトを有するように製造でき、一つ又はその以上のテストパターン構造が計画によって半導体素子パターン内に相異なる位置に配置できるが、得られた印刷されたテストパターンがCD測定において、容易に発見でき、確認できながらも印刷されたテストパターンを有する半導体素子の性能を阻害しないように配置される。例えば、フォトマスクテスト構造は得られた印刷されたテスト構造がウェーハからチップを分離できるようにする相異なるウェーハダイの間のスクライブライン(又はスペース)内に形成できる。
特定したフォトリソグラフィ工程について、図13A及び図13Bに示されているような焦点−露光マトリックスデータは、特定工程についての各段階のフォトマスクについて得られることができ、そうして(図13Cにグラフに示されているような)印刷されたテスト構造のCDの間の差に基づいた焦点誤差の程度と方向を定量化するモデル又は公式を立てることができる。例えば、フォトマスク製造に先立って、リソグラフィ製造工程を正確にシミュレーションし、リソグラフィ工程変数による(図12Aに示されているような)例示的なマスクテストパターンを有する回路レイアウトの行動を予測するためフォトリソグラフィシミュレーション装置が使用できる。例えば、遂行されたレイアウトパターンについて工程変数(例えば、焦点変化)の変化に起因した最小線幅変化をシミュレーションするため、知られた商業用シミュレーション装備を使用してシミュレーションが遂行できる。シミュレーションのため、焦点、露光量及びステッパー設定、レジスト変数及びCDに影響を及ぼす異なる変数のようなフォトリソグラフィ装備の設定値がシミュレーション装備に入力されて処理できる。シミュレーション装置は、露光装置の露光量及び焦点変化に応じる最小線幅の変化を計算でき、焦点−露光量データマトリックスを作り出す。
リソグラフィシミュレーション装備は、全体的な焦点及び露光ウィンドウについての包括的なリソグラフィ工程モデルを立てる方法を含む。シミュレーション結果は、テストレチクルを製造することに使用できる。このようなテストレチクルは、実験的にFEMデータを得るため使用できるが、このデータは、シミュレーションデータと共に例えば、焦点変化(図13C)を決定するリソグラフィ工程モデル及び公式を修正又は最適化することに使用される。
図14は、フォトリソグラフィシステム1400の概略的なダイアグラムであり、本発明の一実施形態による焦点測定システムを含む。一般に、システム1400は、露光システム1401と、フォトレジスト現像システム1402と、CD測定システム1403と、焦点測定システム1404と、工程変数モデル及びFEMデータ貯蔵所1405と、工程変数制御システム1406と、を含む。
露光システム1401は、フォトマスクによってフォトレジストがコーティングされたウェーハを露光させるための露光装置を含むが、このようなフォトマスクは、本発明の一実施形態によるテスト構造だけではなく、回路レイアウトパターンも含む。露光システム1401は、縮小投射露光システム(ステッパー)のような知られたシステムのうちいずれか一つを含むことができるが、ここでマスクパターンは、縮小されたサイズにフォトレジスト上に投射される。最適焦点及び最適露光量のような露光装備の初期工程変数は特定フォトマスクと関連されたFEMデータによって決定される最適の変数に合わせて設定される。露光に次いで、露光されたウェーハは現像システム1402に送られるが、ここで露光されたフォトレジストパターンは、後露光ベーク工程を経た後、フォトレジストの露光された(又は露光されない)領域を除去するための化学工程を経る。露光及び現像工程の結果にパターン化されたレジスト層を有するウェーハを得る。
現像工程後に、レジストがパターン化されたウェーハは、CD測定システム1403に送られるが、ここで例えば、印刷されたテスト構造のCDが測定される。CD測定システム1403は、ウェーハ分析システムの一部であることができるが、この分析システムは、自動及び/又は受動にウェーハを分析して欠陥を発見するか、或いはパターンの数値を測定するなどの分析を行うことができる。CD測定装備1403は、光学オーバーレイ装備、散乱測定器、走査電子顕微鏡、原子力顕微鏡を含む知られた計測装備を用いて遂行できる。CD測定システム装備1403は、印刷されたテスト構造のCDを測定できるが、ライン幅を光学的に直接測定するか、或いはイメージ処理方法を使用して測定でき、このようなイメージ処理方法は、特定フォトマスク及び露光条件と関連された一つ又はその以上の基本イメージを現在の光学イメージと比較することによってCDを決定する。
焦点測定システム1404は、測定されたCDデータを処理して、ウェーハが印刷されるとき焦点変化を測定する。特に、前述したように、リソグラフィ工程の焦点変化のサイズ及び方向は、印刷されたテスト構造の測定されたCD差を決定し、特定印刷されたテスト構造についての当該工程変数の数学的モデルを用いてCD差値を焦点及び露光変化と連関させることによって決定できる。もし測定されたCDが変化すれば、焦点測定システム1404は、適切な制御信号及び変数を工程変数制御システム1406に発生及び出力して露光装備1401の工程変数(焦点)を必要に応じて調節するようになる。一実施形態で、測定システム1404及び制御システム1406の機能は全体的に自動化できる。他の実施形態で、このような機能は半自動化できるが、ここで、例えば焦点測定システム1404は、焦点変化に関して作業者に警報音を鳴らし、こうして作業者が工程変化を確認するようにし、その後受動に露光システムの工程変数を調節するようにするか、或いは必要な調節のため工程変数制御システムに適切な命令を提供するようにできる。
ここで、説明した例示的なシステム及び方法は、ハードウェア、ソフトウェア、ファームウェア、特別な目的のプロセッサー又はこれらの多様な組合せ形態で遂行できる。
一実施形態で、例示的な実施形態は一つ又はそれ以上のプログラム貯蔵装置(例えば、ハードディスク、磁気フロッピー(登録商標)ディスク、RAM、CDROM、DVD、ROM、フラッシュメモリなど)で具体化され、適切な構造を含むどんな装置又は機器としても実行可能なプログラム命令を含むアプリケーションであるソフトウェア内で遂行できる。添付した図面に示されている例示的なシステムモジュール及び方法の段階は、ソフトウェア内でより好ましく遂行できるため、システム構成要素(又は工程段階の流れ)の間の実際関係は、アプリケーションがプログラムされる方式によって異なる。ここで、説明したところに基づいて、当業者は本発明で遂行されたこと及びこれと類似したこと又は本発明の構成を類推して実施すことができる。
本発明の一実施形態によるマスクテストパターンは、ブライトフィールド、ダークフィールド、又は位相移動マスクと共に使用でき、又は他の発光ソースのためデザインされたレチクルと共に使用でき、そしてポジティブ又はネガティブフォトレジスト、二重層、多重層又は表面イメージングレジストを含むリソグラフィ工程に使用できる。
以上、添付した図面を参照して本発明の好適な実施形態を説明したが、当業者であれば、本発明の技術的思想や必須的な特徴を変更せずに他の具体的な形態で実施されうることを理解することができる。したがって、上述した好適な実施形態は、例示的なものであり、限定的なものではないと理解されるべきである。
本発明の焦点変化を測定するシステム及び方法は、半導体素子の製造工程であるフォトレジスト工程に適用されうる。
バイナリーマスク構造を用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 バイナリーマスク構造を用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 バイナリーマスク構造を用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 EAPSMを用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 EAPSMを用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 EAPSMを用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 AAPSMを用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 AAPSMを用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 AAPSMを用いる通常的なフォトリソグラフィ工程を概略的に示す図面である。 ライン幅対露光量による焦点の間の変数曲線を含む例示的なBossung図である。 フォトレジストがコーティングされた基板を露光するためレチクルを用いるリソグラフィ投射工程を概略的に示す図面である。 本発明の一実施形態によるフォトマスク構造を概略的に示す図面である。 本発明の一実施形態によるフォトマスク構造を概略的に示す図面である。 図5A及び図5Bの例示的なフォトマスクを用いてフォトリソグラフィ工程を概略的に示す図面である。 本発明の一実施形態によるフォトマスク構造を製造するための方法を概略的に示す図面である。 本発明の一実施形態によるフォトマスク構造を製造するための方法を概略的に示す図面である。 本発明の一実施形態によるフォトマスク構造を製造するための方法を概略的に示す図面である。 本発明の一実施形態によるフォトマスク構造を製造するための方法を概略的に示す図面である。 本発明の一実施形態によるフォトマスク構造を製造するための方法を概略的に示す図面である。 本発明の一実施形態によるフォトマスク構造を製造するための方法を概略的に示す図面である。 通常的なフォトマスクパターンを示す図面である。 本発明の一実施形態によるフォトマスクパターンを示す図面である。 図7のフォトマスクパターンに遂行されたコンピュータシミュレーションに基づいて得られたリソグラフィ工程ウィンドウをグラフに示したものである。 図7のフォトマスクパターンに遂行されたコンピュータシミュレーションに基づいて得られたリソグラフィ工程ウィンドウをグラフに示したものである。 図8のフォトマスクパターンに遂行されたコンピュータシミュレーションに基づいて得られたリソグラフィ工程ウィンドウをグラフに示す図面である。 図8のフォトマスクパターンに遂行されたコンピュータシミュレーションに基づいて得られたリソグラフィ工程ウィンドウをグラフに示す図面である。 図8のフォトマスクパターンに遂行されたコンピュータシミュレーションに基づいて得られたリソグラフィ工程ウィンドウをグラフに示す図面である。 図8のフォトマスクパターンに遂行されたコンピュータシミュレーションに基づいて得られたリソグラフィ工程ウィンドウをグラフに示す図面である。 本発明の一実施形態による焦点変化をモニタリングすることに使用するテストパターンを含むフォトマスク構造を概略的に示す図面である。 図12Aの例示的なフォトマスク構造を用いてレジストがコーティングされたウェーハを露光して得た印刷されたテストパターンを概略的に示す図面である。 測定されたCD及び焦点変化の相関関係を示す工程変数を含む焦点−露光マトリックスをグラフに示す図面である。 測定されたCD及び焦点変化の相関関係を示す工程変数を含む焦点−露光マトリックスをグラフに示す図面である。 本発明の一実施形態によって測定されたCDに基づいて焦点方向変化を決定することを示す焦点対応図である。 本発明の一実施形態による工程変化を測定することに使用する光学ウェーハ分析システムを概略的に示す図面である。
符号の説明
10 バイナリーマスク
11、21、31 マスクパターン
12、55、1201 マスク基板
13、23、33 電気場曲線
15、25、35 フォトレジスト層
16、26、36 半導体基板
20 EAPSM
21a ラインフィーチャー
21b スペースパターン
30 AAPSM
45 レチクル
47 フォトレジスト層
48 半導体基板
50、1200 フォトマスク
51 長棒要素
51´ マスク物質層
52 第1の光遮断要素
53 位相棒
54 第2の光遮断要素
60 フォトレジスト層
60a フォトレジストパターン
61 第2のフォトレジストパターン
70、80 フォトマスクパターン
71 長棒
72 補助フィーチャー
81 例示的な棒
1211 フォトレジストパターン
E1、E2、E3、E4、E5 露光エネルギー

Claims (11)

  1. 第1の印刷テストフィーチャー及び第2の印刷フィーチャーを含む印刷テストパターンを含むフォトレジストパターンが形成された半導体ウェーハを得、
    前記第1の印刷テストフィーチャーの印刷最小線幅CD1及び前記第2の印刷テストフィーチャーの印刷最小線幅CD2を決定し、
    前記印刷最小線幅CD1、CD2の間の相対的なCD差を決定し、
    前記決定された相対的なCD差に基づいてリソグラフィ工程についての最適焦点設定からの焦点誤差のサイズ及び方向を決定することを含み、
    前記半導体ウェーハを得る段階は、半導体ウェーハ上に形成されたフォトレジスト層でフォトマスクのマスクパターンイメージを印刷する段階を含み、前記マスクパターンは、少なくとも一つのパターンサイズが目標CDと同一なように形成された第1のテストフィーチャー及び第2のテストフィーチャーを含むマスクテストパターンを含み、
    前記マスクテストパターンは、ピッチPほど分離された第1の長棒要素及び第2の長棒要素を含み、これら第1の長棒要素及び第2の長棒要素の間には、印刷されないフィーチャーとして回折効果を補償し、前記第1の長棒要素と第2の長棒要素を連結する格子状の補助フィーチャーが設けられていることを特徴とするリソグラフィ工程の焦点測定方法。
  2. 焦点誤差条件を補正するため露光装備の焦点設定を自動に調整することをさらに含むことを特徴とする請求項1に記載のリソグラフィ工程の焦点測定方法。
  3. 前記印刷テストパターンは、焦点誤差のサイズ及び前記相対的なCD差の間に線形的な関係が存在するように形成されることを特徴とする請求項1または2に記載のリソグラフィ工程の焦点測定方法。
  4. 焦点設定は、前記相対CD差が約0に決定されるとき、前記最適焦点設定にあるものとすることを特徴とする請求項1から3の何れか一項に記載のリソグラフィ工程の焦点測定方法。
  5. 該当される焦点−露光マトリックスデータを用いて焦点誤差及び相対的なCD差の間の線形的な関係を定量化するリソグラフィ工程モデルを立てることを含むことを特徴とする請求項1から4の何れか一項に記載のリソグラフィ工程の焦点測定方法。
  6. 前記印刷最小線幅CD1、CD2は、自動に決定されることを含むことを特徴とする請求項1から5の何れか一項に記載のリソグラフィ工程の焦点測定方法。
  7. 前記第1のテストフィーチャー及び前記第2のテストフィーチャーは、全焦点CD特性を有する第1の印刷テストフィーチャー及び第2の印刷テストフィーチャーを形成するように製造され、 前記全焦点CD特性は、最適焦点位置についてサイズは同じで方向は反対になるように移動されたそれぞれの第1の焦点−露光曲線及び第2の焦点−露光曲線を作り出し、最適焦点位置において実質的に鏡像であることを特徴とする請求項1に記載のリソグラフィ工程の焦点測定方法。
  8. 前記第1の印刷テストフィーチャー及び前記第2の印刷テストフィーチャーは、フィーチャーPほど分離された長棒要素であり、
    前記印刷最小線幅CD1、CD2は、前記印刷された棒フィーチャーのそれぞれの幅を測定して決定することを特徴とする請求項1から7の何れか一項に記載のリソグラフィ工程の焦点測定方法。
  9. 前記ピッチPは、目標CDの約10倍又はその以上の範囲にあることを特徴とする請求項8に記載のリソグラフィ工程の焦点測定方法。
  10. フォトマスク素子上に形成されたマスクテストパターンイメージを転写してフォトレジスト層内に前記印刷テストパターンを形成することを含むことを特徴とする請求項1から9の何れか一項に記載のリソグラフィ工程の焦点測定方法。
  11. 前記第1の棒要素及び前記第2の棒要素は、目標CDと同一なライン幅を有し、
    前記第1の長棒要素は、90度位相移動された光について実質的に100%の透過率を提供することに適した内部非印刷フィーチャーを含み、
    前記第2の長棒要素は、270度位相移動された光について実質的に100%の透過率を提供することに適した内部非印刷フィーチャーを含むことを特徴とする請求項1から10の何れか一項に記載のリソグラフィ工程の焦点測定方法。
JP2006112545A 2005-04-15 2006-04-14 フォトマスクのテストパターンイメージから印刷されたテストフィーチャーを用いるフォトリソグラフィ工程における焦点変化を測定するシステム及び方法 Expired - Fee Related JP5371179B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US67162605P 2005-04-15 2005-04-15
US60/671,626 2005-04-15
US67366905P 2005-04-21 2005-04-21
US60/673,669 2005-04-21
US11/324,739 US7642019B2 (en) 2005-04-15 2006-01-03 Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US11/324,739 2006-01-03

Publications (3)

Publication Number Publication Date
JP2006303498A JP2006303498A (ja) 2006-11-02
JP2006303498A5 JP2006303498A5 (ja) 2009-05-28
JP5371179B2 true JP5371179B2 (ja) 2013-12-18

Family

ID=37108867

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006112545A Expired - Fee Related JP5371179B2 (ja) 2005-04-15 2006-04-14 フォトマスクのテストパターンイメージから印刷されたテストフィーチャーを用いるフォトリソグラフィ工程における焦点変化を測定するシステム及び方法

Country Status (4)

Country Link
US (2) US7642019B2 (ja)
JP (1) JP5371179B2 (ja)
KR (1) KR100714480B1 (ja)
DE (1) DE102006017938B4 (ja)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4588368B2 (ja) * 2004-06-15 2010-12-01 富士通セミコンダクター株式会社 露光計測方法及び装置、並びに半導体装置の製造方法
US7642019B2 (en) 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
DE602005021106D1 (de) * 2005-10-03 2010-06-17 Imec Alternierende Phasenmaske
US7596420B2 (en) * 2006-06-19 2009-09-29 Asml Netherlands B.V. Device manufacturing method and computer program product
US7493590B1 (en) * 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
DE102006056625B4 (de) * 2006-11-30 2014-11-20 Globalfoundries Inc. Verfahren und Teststruktur zum Bestimmen von Fokuseinstellungen in einem Lithographieprozess auf der Grundlage von CD-Messungen
JP5084239B2 (ja) * 2006-12-06 2012-11-28 キヤノン株式会社 計測装置、露光装置並びにデバイス製造方法
KR101769258B1 (ko) 2007-01-18 2017-08-17 가부시키가이샤 니콘 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
DE102007054994A1 (de) * 2007-11-17 2009-05-20 Carl Zeiss Sms Gmbh Verfahren zur Reparatur von Phasenverschiebungsmasken
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US9046788B2 (en) * 2008-05-19 2015-06-02 International Business Machines Corporation Method for monitoring focus on an integrated wafer
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
US7932004B1 (en) * 2008-10-02 2011-04-26 Kla-Tencor Corporation Feature identification for metrological analysis
EP2207064A1 (en) * 2009-01-09 2010-07-14 Takumi Technology Corporation Method of selecting a set of illumination conditions of a lithographic apparatus for optimizing an integrated circuit physical layout
KR101087874B1 (ko) * 2009-06-29 2011-11-30 주식회사 하이닉스반도체 광학 근접 효과 보상 방법
KR101082103B1 (ko) * 2009-07-01 2011-11-10 주식회사 하이닉스반도체 광 근접효과 보정의 검증방법
US8400634B2 (en) * 2010-02-08 2013-03-19 Micron Technology, Inc. Semiconductor wafer alignment markers, and associated systems and methods
CN102193304B (zh) * 2010-03-12 2012-12-05 中芯国际集成电路制造(上海)有限公司 光掩模版和使用所述光掩模版的测试方法
JP5790644B2 (ja) * 2010-04-30 2015-10-07 株式会社ニコン 検査装置および検査方法
JP5221611B2 (ja) * 2010-09-13 2013-06-26 株式会社東芝 ドーズデータ生成装置、露光システム、ドーズデータ生成方法および半導体装置の製造方法
US8792080B2 (en) 2011-01-27 2014-07-29 International Business Machines Corporation Method and system to predict lithography focus error using simulated or measured topography
NL2008702A (en) * 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
US9360662B2 (en) 2011-10-20 2016-06-07 Samsung Electronics Co., Ltd. Optical measurement system and method for measuring critical dimension of nanostructure
KR20130067332A (ko) * 2011-11-16 2013-06-24 삼성디스플레이 주식회사 노광용 마스크 및 그 마스크를 사용한 기판 제조 방법
CN104025255B (zh) * 2011-12-30 2016-09-07 英特尔公司 用于工艺优化的相位调谐的技术
RU2509718C1 (ru) * 2012-08-07 2014-03-20 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Оптическая измерительная система и способ измерения критического размера
US9411223B2 (en) 2012-09-10 2016-08-09 Globalfoundries Inc. On-product focus offset metrology for use in semiconductor chip manufacturing
US9261775B2 (en) * 2013-03-11 2016-02-16 Carl Zeiss Sms Gmbh Method for analyzing a photomask
TWI544452B (zh) * 2013-06-25 2016-08-01 Hitachi High Tech Corp A sample preparation device for a sample observation apparatus, and a sample observation apparatus
US9411249B2 (en) 2013-09-23 2016-08-09 Globalfoundries Inc. Differential dose and focus monitor
JP6189242B2 (ja) * 2014-03-28 2017-08-30 Hoya株式会社 フォトマスクの製造方法、フォトマスク及び表示装置の製造方法
WO2016012316A1 (en) * 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
KR102235615B1 (ko) * 2014-07-29 2021-04-02 삼성전자주식회사 노광 공정 계측용 기판 타겟 및 노광 공정 계측 방법과 이를 이용한 집적회로 소자의 제조 방법
KR102246872B1 (ko) 2014-07-29 2021-04-30 삼성전자 주식회사 포커스 계측 마크를 포함하는 포토마스크, 포커스 모니터 패턴을 포함하는 계측용 기판 타겟, 노광 공정 계측 방법, 및 집적회로 소자의 제조 방법
KR102238708B1 (ko) 2014-08-19 2021-04-12 삼성전자주식회사 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법
NL2017123A (en) 2015-07-24 2017-01-24 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
US11402752B2 (en) 2015-10-02 2022-08-02 Arizona Board Of Regents On Behalf Of The University Of Arizona Fabrication of optical interconnect structures for a photonic integrated circuit
WO2017102299A1 (en) 2015-12-17 2017-06-22 Asml Netherlands B.V. Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement
US10276375B2 (en) * 2016-11-18 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Assistant pattern for measuring critical dimension of main pattern in semiconductor manufacturing
TW201830168A (zh) 2016-12-08 2018-08-16 美商精微超科技公司 用於重構晶圓之微影製程之對焦控制的掃描方法
WO2018114246A2 (en) * 2016-12-23 2018-06-28 Asml Netherlands B.V. Method and apparatus for pattern fidelity control
JP2019028171A (ja) * 2017-07-27 2019-02-21 Hoya株式会社 フォトマスクの検査方法、フォトマスクの製造方法、及びフォトマスク検査装置
KR102368435B1 (ko) * 2017-07-28 2022-03-02 삼성전자주식회사 기판 검사 장치, 기판 검사 방법 및 이를 이용한 반도체 소자의 제조 방법
US10811492B2 (en) 2018-10-31 2020-10-20 Texas Instruments Incorporated Method and device for patterning thick layers
WO2020141040A1 (en) * 2019-01-03 2020-07-09 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP4292822A1 (en) 2022-06-13 2023-12-20 3C Project Management Limited A monolithic inkjet printhead and ink compositions

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300786A (en) * 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US6063531A (en) * 1998-10-06 2000-05-16 Advanced Micro Devices, Inc. Focus monitor structure and method for lithography process
JP3264368B2 (ja) 1998-10-16 2002-03-11 日本電気株式会社 縮小投影型露光装置の調整方法
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
US6440616B1 (en) * 1999-09-28 2002-08-27 Kabushiki Kaisha Toshiba Mask and method for focus monitoring
WO2002061505A1 (fr) * 2001-01-31 2002-08-08 Nikon Corporation Masque, procede de mesure de caracteristique optique, procede de reglage d'un appareil d'exposition, procede d'exposition et procede de fabrication du dispositif
JP2003057800A (ja) 2001-08-09 2003-02-26 Mitsubishi Electric Corp フォーカスモニタ方法およびフォーカスモニタ用装置ならびに半導体装置の製造方法
JP3997066B2 (ja) 2001-08-20 2007-10-24 株式会社日立製作所 電子線を用いたプロセス変動監視システムおよび方法
JP2003151875A (ja) * 2001-11-09 2003-05-23 Mitsubishi Electric Corp パターンの形成方法および装置の製造方法
US6884552B2 (en) 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
KR100450674B1 (ko) 2002-03-12 2004-10-01 삼성전자주식회사 포토 마스크, 그 제조 방법 및 이를 이용한 웨이퍼 노광설비의 광학적 특성을 공정 중에 측정하는 방법
JP3850746B2 (ja) * 2002-03-27 2006-11-29 株式会社東芝 フォトマスク、フォーカスモニター方法、露光量モニター方法及び半導体装置の製造方法
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
TWI257524B (en) * 2002-12-09 2006-07-01 Asml Netherlands Bv A method for determining parameters for lithographic projection, a computer system and computer program therefor, a method of manufacturing a device and a device manufactured thereby
DE10258423B4 (de) * 2002-12-13 2005-08-18 Infineon Technologies Ag Verfahren zur Charakterisierung eines Linsensystems
JP2004253589A (ja) * 2003-02-20 2004-09-09 Renesas Technology Corp フォーカスモニタ用光学マスク、露光装置、および半導体装置の製造方法
JP3854234B2 (ja) * 2003-02-24 2006-12-06 株式会社東芝 フォーカスモニタ方法及びマスク
US6964032B2 (en) * 2003-02-28 2005-11-08 International Business Machines Corporation Pitch-based subresolution assist feature design
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US7642019B2 (en) 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US20060234137A1 (en) 2005-04-15 2006-10-19 Samsung Electronics Co., Ltd. Photomask structures providing improved photolithographic process windows and methods of manufacturing same

Also Published As

Publication number Publication date
JP2006303498A (ja) 2006-11-02
US20100081068A1 (en) 2010-04-01
US7855037B2 (en) 2010-12-21
KR20060109310A (ko) 2006-10-19
US7642019B2 (en) 2010-01-05
US20060234136A1 (en) 2006-10-19
DE102006017938B4 (de) 2011-07-28
KR100714480B1 (ko) 2007-05-04
DE102006017938A1 (de) 2006-11-16

Similar Documents

Publication Publication Date Title
JP5371179B2 (ja) フォトマスクのテストパターンイメージから印刷されたテストフィーチャーを用いるフォトリソグラフィ工程における焦点変化を測定するシステム及び方法
KR100763222B1 (ko) 향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크구조 및 그 제조 방법
US7327436B2 (en) Method for evaluating a local flare, correction method for a mask pattern, manufacturing method for a semiconductor device and a computer program product
US5965306A (en) Method of determining the printability of photomask defects
CN106019850B (zh) Euv焦点监控系统和方法
US6673638B1 (en) Method and apparatus for the production of process sensitive lithographic features
US7396621B2 (en) Exposure control method and method of manufacturing a semiconductor device
CN1862385B (zh) 使用测试特征检测光刻工艺中的焦点变化的系统和方法
EP1500974A2 (en) A method, program product and apparatus of simultaneous optimization for na-sigma exposure settings and scattering bars opc using a device layout
KR100571373B1 (ko) 리소그래피장치의 교정방법, 리소그래피장치의 교정에사용하는 마스크, 리소그래피장치, 디바이스제조방법,이것에 의해 제조된 디바이스
JP4398852B2 (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
JP2006085174A (ja) リソグラフィ装置およびデバイス製造方法
KR100674964B1 (ko) 포토마스크 교정 방법 및 시스템 장치
US20050105068A1 (en) Reticle, exposure monitoring method, exposure method and manufacturing method for semiconductor device
JP4620048B2 (ja) 計測学ツール較正方法および装置
JP2007294934A (ja) 計測方法及び装置、露光装置及び方法、調整方法、並びに、デバイス製造方法
KR20110012798A (ko) 포토레지스트 선정을 위한 시뮬레이션 방법
TWI715971B (zh) 光罩及其形成方法
US20130309869A1 (en) Lithography mask and method of manufacturing semiconductor device
Sturtevant Patterning Process Model Challenges for 14 nm
JP2008192834A (ja) 露光装置のフレア測定方法と露光装置の管理方法
KR20100074771A (ko) 오버레이 마스크 및 그 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090413

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090413

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110815

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130820

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130917

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees