DE102005063118A1 - Zylinderkondensator und Speichereinrichtung und Verfahren zu deren Herstellung - Google Patents

Zylinderkondensator und Speichereinrichtung und Verfahren zu deren Herstellung Download PDF

Info

Publication number
DE102005063118A1
DE102005063118A1 DE102005063118A DE102005063118A DE102005063118A1 DE 102005063118 A1 DE102005063118 A1 DE 102005063118A1 DE 102005063118 A DE102005063118 A DE 102005063118A DE 102005063118 A DE102005063118 A DE 102005063118A DE 102005063118 A1 DE102005063118 A1 DE 102005063118A1
Authority
DE
Germany
Prior art keywords
layer
lower electrode
conductive
forming
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102005063118A
Other languages
English (en)
Inventor
Jae Hyun Suwon Kang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DongbuAnam Semiconductor Inc
Original Assignee
DongbuAnam Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by DongbuAnam Semiconductor Inc filed Critical DongbuAnam Semiconductor Inc
Publication of DE102005063118A1 publication Critical patent/DE102005063118A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Es sind eine Zylinderspeichereinrichtung und ein Verfahren zum Herstellen eines Kondensators offenbart, wobei vereinfachte Herstellung durch Überbelichtung mit einer Maske (110) realisiert wird, welche mehrere Löcher hat, wobei das Verfahren das Ausbilden eines Kontaktloches (201) in einer Isoltionsschicht (200) auf einem Halbleitersubstrat (100) aufweist; das Bilden einer leitfähigen Schicht auf der Isolationsschicht, um das Kontaktloch auzufüllen; das Bilden einer Fotolackschicht (140) auf der leitfähigen Schicht; das Bilden eines Fotolackschichtmusters durch Überbelichten und das Erzeugen eines Seitenkeulen-Phänomens; das Bilden einer zylindrischen unteren Elektrode (450) durch Bemusterung der leitfähigen Schicht unter Verwendung des Fotolackschichtmusters als Maske; und das Bilden einer dielektrischen Schicht (800) und einer oberen Elektrode (900), welche die untere Elektrode überdecken.

Description

  • Die vorliegende Erfindung bezieht sich auf Speichereinrichtungen und Verfahren, insbesondere auf einen Zylinderkondensator und/oder eine Zylinderspeichereinrichtung (OCS) und Verfahren zu deren Herstellung unter Nutzung eines Seitenkeulenphänomens.
  • Ein dynamischer Speicher mit wahlfreiem Zugriff (DRAM) ist einer von vielen typischen Speichereinrichtungen. Bei einer weit bekannten Ausführungsform besitzt der DRAM eine Einheitszelle einschließlich eines Transistors und eines Kondensators. Im Vergleich zum Transistor ist der Kondensator allgemein relativ groß. In dieser Hinsicht wurden, um die Integration des DRAM zu verbessern, Bemühungen, die Größe des Kondensators zu vermindern, wobei die Speicherkapazität beibehalten wird, durchgeführt.
  • Der DRAM wird üblicherweise verwendet, höhere Integration zu erlangen, als allgemein mit einem statischen Speicher mit wahlfreiem Zugriff (SRAM) verfügbar ist. Eine DRAM-Zelle besitzt allgemein einen Feldeffekttransistor (FET) und einen Kondensator zum Speichern eines Binärdatenbits. Wie in 1 gezeigt ist, kann beispielsweise die DRAM-Zelle 100 den Transistor 1 und den Kondensator 4 enthalten. Der Transistor 1 ist mit einer Bitleitung 2 an einem Source-Anschluss und mit einer Wortleitung 3 am Gate-Anschluss des Transistors gekoppelt. Der Drain-Anschluss des Transistors 1 ist mit einer Speicherelektrode 5 (anders bezeichnet als "untere Elektrode") des Kondensators 4 gekoppelt. Ein dielektrisches Material 6 ist zwischen der unteren Elektrode 5 und einer Plattenelektrode 7 (anders als "obere Elektrode" bezeichnet) angeordnet, um eine Ladung darin zu speichern.
  • Die Leistungskenndaten einer DRAM-Zelle sind eng mit der Kapazität des Speicherzellenkondensators verwandt. Beispielsweise werden die Niederspannungskenndaten und die unscharfen Fehlerkenndaten der Speicherzelle verbessert, wenn die Kapazität des Speicherzellenkondensators ansteigt. Da jedoch Halbleiterspeichereinrichtungen höher integriert werden, schrumpft der horizontale Bereich, der für den Zellenkondensator verfügbar ist. Dies tendiert dazu, dass die Kapazität durch Begrenzen des Oberflächenbereichs der Kondensatorelektroden vermindert wird. Somit werden Verfahren benötigt, die Kapazität des Speicherzellenkondensators zu steigern, wobei hohe Zellenintegration und verlässlicher Betrieb beibehalten wird.
  • Es wurde eine Anzahl von Verfahren vorgeschlagen, die Kapazität eines Speicherzellenkondensators zu steigern, während hohe Speicherzellenintegration beibehalten wird. Diese Verfahren umfassen die Verwendung eines Dünnfilms, um die dielektrische Schicht des Kondensators zu bilden, wobei ein Material mit einer hohen Dielektrizitätkonstante für die dielektrische Schicht verwendet wird, und das Vergrößern des effektiven Bereichs einer Kondensatorelektrode, um einen Zylinderkondensator zu bilden und/oder durch Züchten von halbkugelförmigen Körnern (HSGs) auf der Fläche der Kondensatorelektrode. Die aktuelle Diskussion fokussiert sich auf verschiedene Verfahren zum Bilden eines Zylinderkondensators, die arbeiten, um die Zellenkapazität zu steigern, wobei der Elektrodenflächenbereich in einer vertikalen bevorzugt zur einer horizontalen Richtung vergrößert wird.
  • Die Speicherkapazität des Kondensators kann berechnet durch C = ε × A/d, wobei C eine Kondensatorspeicherfähigkeit ist, A eine Fläche einer Elektrode ist, und d ein Abstand zwischen zwei Elektroden ist. Das heißt, dass die Speicherkapazität proportional zu einer Dielektrizitätskonstante ε einer dielektrischen Substanz ist und der Fläche der Elektrode, um die dielektrische Substanz zu überdecken. Außerdem ist die Speicherkapazität umgekehrt proportional zum Abstand zwischen den beiden Elektroden.
  • Folglich können sich Verfahren zum Verbessern der Kondensatorspeicherfähigkeit auf das Verbessern der dielektrischen Eigenschaften der dielektrischen Substanz und auf das Modifizieren einer geometrischen Form des Kondensators fokussieren. Bei einem Verfahren zum Modifizieren der geometrischen Form des Kondensators kann der Kondensator eine zylindrische Form haben. Wenn in diesem Fall der Kondensator eine zylindrische Form hat, ist es möglich, die Oberfläche der Elektrode im Kondensator zu vergrößern, wodurch die effektive Bereichseinheit des Kondensators vermindert wird.
  • Die Herstellung eines zylindrischen Kondensators kann jedoch verschiedene Probleme im Herstellungsprozess zur Folge haben. Beispielsweise ist es bei einem typischen Herstellungsprozess für einen zylindrischen Kondensator unmöglich, eine zylindrische Form im Kondensator mit einer Maske während des Fotoprozesses zu erlangen. Als Folge davon kann dies mehrere Prozessschritte erforderlich machen, um die zylindrische Form des Kondensators herzustellen, wodurch der Herstellungsprozess kompliziert wird. Außerdem kann der oben erwähnte Herstellungsprozess einen Nassätzprozess aufweisen, der den Wafer beschädigen kann. Folglich besteht eine Notwendigkeit, einen neuen Herstellungsprozess zu entwickeln, mit dem die oben erwähnten Probleme beim Vermindern der Größe des Kondensators überwunden werden können.
  • Ein Verfahren zum Herstellen eines Kondensators nach dem Stand der Technik wird wie folgt beschrieben.
  • 2A bis 2H sind Querschnittsansichten eines Prozesses zum Herstellen eines zylindrischen Kondensators gemäß dem Stand der Technik.
  • Wie in 2A gezeigt ist, ist eine Isolationszwischenschicht 20 (welche eine oder mehrere Isolationsschichten enthalten kann, beispielsweise USG, FSG, TEOS und/oder Silizium-Nitrid, beispielsweise einen USG/FSG/TEOS/USG-Stapel) auf einem Halbleitersubstrat 10 gebildet, und eine Nitridschicht 30 ist auf der Isolationszwischenschicht 20 aufgebracht. Dann wird die Nitridschicht 30 bemustert, um eine Bodenplattenöffnung zu bilden, die dann als Äztstopper oder Maske für den folgenden Prozess verwendet wird. Das heißt, dass die Nitridschicht 30 auf einem Bereich des Substrats verbleibt, der den zylindrischen Kondensator nicht bildet. Dann wird ein vorher festgelegter Bereich der Isolationszwischenschicht 20 durch Fotolithografie beseitigt, wodurch ein Kontaktloch 21 gebildet wird. Danach wird eine leitfähige Schicht, beispielsweise eine erste Polysiliziumschicht 40 auf der Isolationszwischenschicht 20 aufgebracht, um das Kontaktloch 21 vollständig zu füllen.
  • Wie in 2B gezeigt ist, ist eine Siliziumoxidschicht 50 (beispielsweise Siliziumdioxid, beispielsweise USG oder TEOS) auf der ersten Polysiliziumschicht 40 aufgebracht, um eine Fläche entsprechend einem inneren Teil einer im Wesentlichen geformten unteren Elektrode zu bilden, welche eine zylindrische Form hat.
  • Gemäß 2C wird eine Fotolackschicht auf der Siliziumoxidschicht 50 aufgebracht, und dann wird eine Belichtung und ein Entwicklungsprozess dazu durchgeführt, wodurch ein Fotolackmuster 60 gebildet ist, welches einen Kondensatorbereich begrenzt.
  • Wie in 2D gezeigt ist, werden Bereiche der Siliziumoxidschicht 50 selektiv unter Verwendung des Fotolackschichtmusters 60 als Maske entfernt, wodurch ein Siliziumoxidmuster 55 gebildet wird. Danach wird das Fotolackmuster 60 vollständig beseitigt.
  • Gemäß 2E wird eine Schicht 70 aus einem leitfähigen Material (beispielsweise eine zweite Polysiliziumschicht) auf der ersten Polysiliziumschicht 40 und dem Siliziumoxidmuster 55 (beispielsweise durch eine übereinstimmende Ausbringungstechnik, beispielsweise CVD) gebildet. In diesem Zeitpunkt sind die erste und die zweite Polysiliziumschicht 40 und 70 miteinander in den Bereichen mit Ausnahme des Siliziumoxidmusters 55 elektrisch verbunden.
  • Wie in 2F gezeigt ist, werden die erste und die zweite Polysiliziumschicht 40 und 70 selektiv durch anisotropisches Trockenätzen (beispielsweise RIE oder reaktives Ionenätzen) beseitigt. Folglich verbleibt die zweite Polysiliziumschicht 70 an einer Seitenwand des Siliziumoxidmuster 55, und die erste Polysiliziumschicht 40 verbleibt unterhalb des Siliziumoxidmusters 55 und der zweiten Polysiliziumschicht 70, wodurch eine zylindrische untere Elektrode gebildet wird (beispielsweise eine Kombination von Polysiliziumstrukturen 40 und 70). In diesem Zeitpunkt wird der anisotropische Trockenätzprozess unter Verwendung der Nitridschicht 30 als Ätzstopper durchgeführt.
  • Wie in 2G gezeigt ist, wird das Siliziumoxidmuster 55 vollständig beseitigt, wobei die untere Elektrode des zylindrischen Kondensators zurückgelassen wird. Das Siliziumoxidmuster 55 kann durch Nassätzen entfernt werden.
  • Wie in 2H gezeigt ist, wird eine dielektrische Schicht 80 (beispielsweise ein Siliziumoxid, beispielsweise TEOS-Oxid) (konform) auf der gesamten Fläche des Substrats einschließlich der unteren Elektrode des Kondensators aufgebracht, um das Dielektrikum eines Kondensators zu bilden. Dann wird ein leitfähiges Material 90 für die obere Elektrode des Kondensators (durch Überlagerungs-Ablagerung, beispielsweise Sputtern, PVD, Aufdampfen oder CVD) auf der dielektrischen Schicht 80 gebildet. Die obere Elektrode des Kondensators wird durch Glätten des leitfähigen Materials 90 fertig gestellt (d.h., durch Zurückätzen oder Polieren), und es kann ein endgültiger Fotolithografieschritt durchgeführt werden, das leitfähige Material 90 von Bereichen außerhalb der vertikalen Strukturen 70 der unteren Elektrode des Kondensators zu beseitigen.
  • Wie in 3 gezeigt ist, kann eine DRAM-Zelle 200 über dem Halbleitersubstrat 210 gebildet sein. Im allgemeinen kann das Substrat 210 ein Siliziumsubstrat sein und es kann Transistorendiffusionsbereiche 212 und Isolationsbereiche 214 aufweisen, wie dies durch den Stand der Technik bekannt ist. Transistor-Gate-Elektroden 220 können auf der Substratschicht in einer normalen Weise gebildet sein, indem ein Stapel von einer oder mehreren dielektrischen und leitfähigen Schichten gebildet wird (beispielsweise Polysilizium oder optional Metallsilizid). Anschließend kann das Zwischenebenen-Dielektrikum zwischen den Schichten, welches eine USG-Schicht 230, eine FSG-Schicht 240, eine zweite USG-Schicht 230, eine TEOS-Schicht 260 und eine Silizium-Nitrid-Schicht 270 enthalten kann, auf der leitfähigen Schicht der Gate-Elektroden 220 gebildet sein. Die Silizium-Nitridschicht 270 ist allgemein bemustert, wie oben beschrieben, um eine Bodenplattenöffnung zu bilden, wonach dann die Schichten 230 bis 260 bemustert werden, um über eine Öffnung, welche eine leitfähige Schicht freilegt, (allgemein Wolfram-Kontakte zum Source-/Drain-Anschluss 212) der Gate-Elektroden 220 zu bilden. Nachdem die Öffnungen gebildet sind, kann leitfähiges Material 280 (üblicherweise Polysilizium) auf die Silizium-Nitridschicht 270 und innerhalb der Öffnung abgelagert werden. Durch Füllen der Öffnungen mit dem leitfähigen Material kann eine Bodenplatte und ein leitfähiger Stecker gebildet werden, um elektrischen Kontakt mit der darunterliegenden leitfähigen Schicht der Gate-Elektroden 220 herzustellen. Nachdem Teile der Polysiliziumschicht von der Oberfläche der Silizium-Nitridschicht 270 beseitigt sind, werden die obere und die untere Elektrode des Speicherzellenkondensators 290 in einem Mehrfachschrittprozess gebildet, wie teilweise oben beschrieben wurde. Bei einer Alternative zu den Schritten, die mit Hilfe von 2H beschrieben wurden, kann eine dielektrische Schicht 285 eine Überlagerung sein, die auf den unteren Elektrodenstrukturen 40 und 70 und auf der Nitridschicht 30 aufgebracht ist, danach geglättet und durch Fotolithografie bemustert, um das Dielektrikum 285 innerhalb der zylindrischen unteren Elektrode 40/70 selektiv zu beseitigen. Dann werden ein übereinstimmendes Kondensatordielektrikum (ähnlich dem Dielektrikum 80, siehe 2H) und eine weitere leitfähige Schicht 295 aufgebracht, um einen Vor-Kondensator 290 zu bilden. Ein Kondensator wird im Wesentlichen durch Glättung und Entfernen der leitfähigen Schicht 285 über der oberen Fläche des Kondensatordielektrikums gebildet (d.h., außerhalb der unteren Elektrode des Kondensators), wie hier beschrieben wurde.
  • Das Verfahren zum Herstellen der unteren Elektrode des zylindrischen Kondensators hat jedoch die folgenden Nachteile. Zunächst, wie oben beschrieben, um die untere Elektrode des zylindrischen Kondensators zu bilden, kann Fotolithografie mehrere Male durchgeführt werden, wodurch die Herstellungsprozessschritte kompliziert werden und die Ausbeute verringert wird. Außerdem neigen die Zeit und die Kosten zur Herstellung des Kondensators dazu, sich mit der Anzahl von Fotolithografieschritten und weiteren Verarbeitungsschritten zu erhöhen. Die große Anzahl von Verarbeitungsschritten kann die Ausbeute vermindern, wobei die Wahrscheinlichkeit auf Fehler ansteigt.
  • Bei dem Herstellungsprozessbeispiel nach dem Stand der Technik kann außerdem das Siliziumoxidmuster durch Nassätzen entfernt werden, wodurch die Polysiliziumschicht oder die untere Elektrode beschädigt werden kann. Außerdem kann ein Nassätzmittel des Rand des Wafers durchdringen, wodurch ein Chip auf oder in der Nähe des Waferrands beschädigt wird. Daher besteht die Notwendigkeit nach einem verbesserten Verfahren zum Bilden eines Zylinderspeicher-Zellenkondensators. Durch Reduzieren der Anzahl von Verarbeitungsschritten kann das verbesserte Verfahren vorteilhaft die Verarbeitungszeit und die Herstellungskosten vermindern, während die Ausbeute erhöht wird.
  • Folglich richtet sich die vorliegende Erfindung auf ein Verfahren zum Herstellen eines Kondensators, wobei im Wesentlichen ein oder mehrere Probleme aufgrund von Beschränkungen und Nachteilen des Standes der Technik vermieden werden.
  • Eine Aufgabe der vorliegenden Erfindung besteht darin, ein Verfahren zum Herstellen eines Kondensators und einer Zylinderspeichereinrichtung (OCS) bereitzustellen, welche durch ein solches Verfahren hergestellt werden kann, um vereinfachte Herstellungsprozessschritte zu realisieren, indem eine Gesamtbelichtung mit einer Maske durchgeführt wird, welche mehrere Löcher hat. Bei einer Ausführungsform verbessert die vorliegende Erfindung herkömmliche Verfahren, wobei zumindest die Verarbeitungszeit, die Herstellungskosten vermindert werden und möglichst die Ausbeute gesteigert wird. Insbesondere verwendet das hier beschriebene Verfahren eine einheitliche Phasenverschiebungsmaske (PSM) und einen Belichtungsprozess, um einen zylinderförmigen Fotolack zu bilden, der während eines nachfolgenden Ätzprozesses verwendet werden kann, um die zylinderförmige untere Elektrode der Speichereinrichtung zu bilden.
  • Zusätzliche Vorteile, Aufgaben und Merkmale der Erfindung sind teilweise in der Beschreibung herausgestellt, welche folgt und die besonders dem Fachmann bei Prüfung des nachfolgenden deutlich werden und können aus der Praxis der Erfindung erlernt werden. Die Aufgaben und weiteren Vorteile der Erfindung können durch die Struktur realisiert und erlangt werden, welche insbesondere in der Beschreibung und deren Ansprüche sowie den angehängten Zeichnungen herausgestellt wird.
  • Um diese Aufgaben und weiteren Vorteile und gemäß dem Zweck der Erfindung zu lösen, wie diese hier ausgeführt und breit beschrieben ist, umfasst ein Verfahren:
    Bilden einer Isolationsschicht auf einem Halbleitersubstrat, Bilden eines Kontaktloches in der Isolationsschicht; Bilden einer leitfähigen Schicht auf der Isolationsschicht, um das Kontaktloch aufzufüllen; Bilden einer Fotolackschicht auf der leitfähigen Schicht; Bilden eines Fotolackschichtmusters in der Fotolackschicht durch Überbelichtung, um ein Seitenkeulenphänomen zu erzeugen; Bilden einer zylindrischen unteren Elektrode durch Bemustern der leitfähigen Schicht unter Verwendung des Fotolackschichtmusters als Maske; und Bilden einer dielektrischen Schicht und einer oberen Elektrode, die die untere Elektrode überdecken.
  • In diesem Zeitpunkt weist der Schritt zum Bilden des (ringröhrenförmigen) Fotolackschichtmusters das Positionieren einer Maske über der Fotolackschicht auf, wobei die Maske ein Übertragungsteil entsprechend dem Kontaktloch hat; das Überbelichten der Fotolackschicht gegenüber dem Licht, welches durch die Maske läuft; und das Entwickeln der überbelichteten Fotolackschicht. Außerdem kann die Maske ein Halbübertragungsteil aufweisen, über welches ein Prozentsatz von Licht übertragen wird (beispielsweise mit einem Lichtdurchlässigkeitsgrad von 6% bis 15%); und ein Übertragungsteil entsprechend dem Bereich für das Kontaktloch, über welches Licht mit 100% übertragen wird (oder einen Lichtdurchlässigkeitsgrad von 100% hat).
  • Ein Energiepegel der Überbelichtung kann von ungefähr vom eineinhalbfachen bis zum zweieinhalbfachen so hoch sein wie der Energiepegel einer Belichtung zum Bemustern des Kontaktloches.
  • Außerdem weist die leitfähige Schicht eine leitfähige Polysiliziumschicht auf. Außerdem umfasst der Bemusterungsprozess der leitfähigen Schicht RIE (reaktives Ionenätzen).
  • Bei einer weiteren Ausführungsform stellt die Erfindung ein Verfahren zum Herstellen einer Zylinderspeichereinrichtung bereit, wobei das Verfahren aufweist: Bilden einer ersten leitfähigen Schicht über einem Halbleitersubstrat; Bilden einer Fotolackschicht auf der ersten leitfähigen Schicht; Überbelichten der Fotolackschicht mit Strahlung, welche über eine Phasenverschiebungsmaske läuft, um ein Muster in der Fotolackschicht zu erzeugen, welches zumindest eine im Wesentlichen zylinderförmige Struktur hat; und Ätzen von Bereichen der ersten leitfähigen Schicht, die nicht durch die zylinderförmige Struktur überdeckt ist, um eine untere Elektrode der Zylinderspeichereinrichtung zu bilden.
  • Die Erfindung betrifft außerdem eine zylinderförmige Speichereinrichtung, die einen Stecker in einer Dielektrikschicht auf einem Halbleitersubstrat aufweist, wobei der Stecker ein leitfähiges Material aufweist; und eine untere Elektrode auf der dielektrischen Schicht und in Kontakt mit dem Stecker, wobei die untere Elektrode das leitfähige Material aufweist und wobei Seitenwände der unteren Elektrode eine im Wesentlichen Zylinderform aufweisen und eine flache obere Fläche haben.
  • Es soll verstanden sein, dass sowohl die obige allgemeine Beschreibung als auch die folgende ausführliche Beschreibung der vorliegenden Erfindung beispielhaft und erläuternd sind und dazu dienen sollen, eine weitere Erläuterung der Erfindung wie beansprucht bereitzustellen.
  • Die beiliegenden Zeichnungen, die vorgesehen sind, ein weiteres Verständnis der Erfindung bereitzustellen und die eingebunden und einen Teil dieser Anmeldung bilden, zeigen Ausführungsformen der Erfindung und dienen zusammen mit der Beschreibung dazu, das Prinzip der Erfindung zu erläutern.
  • 1 ist ein schematisches Diagramm eines Beispiels einer DRAM-Zelle;
  • 2A bis 2H sind Querschnittsansichten eines Prozesses zum Herstellen eines zylindrischen Kondensators nach dem Stand der Technik;
  • 3 ist eine Querschnittsansicht einer DRAM-Zelle, welche gemäß einem bekannten Halbleiterprozess gebildet ist;
  • 4 zeigt ein Profilbeispiel eines Fotolacks, welches während eines Fotolithografieprozesses unter Verwendung einer Binärmaske gebildet wird;
  • 5 zeigt ein Profilbeispiel eines Fotolacks, der während eines Fotolithografieprozesses unter Verwendung einer Phasenverschiebungsmaske (PSM) gebildet wird;
  • 6 ist eine Draufsicht einer Maske gemäß einer Ausführungsform der vorliegenden Erfindung;
  • 7 ist eine grafische Darstellung zum Darstellen der Intensität von Licht, welches durch eine Maske von 4 läuft;
  • 8 zeigt Beispiele von Phasenverschiebungsqualitäten einer Fotolithografiemaske mit einem Phasenverschiebungsmaterial;
  • 9 ist eine Querschnittsansicht zum Darstellen eines simulierten Musters, welches gebildet wird, wenn dies mit einem normalen Energiepegel mit einer Maske von 2 belichtet wird;
  • 10 ist eine Draufsicht zum Darstellen eines simulierten Musters, welches gebildet wird, wenn dies mit einem normalen Energiepegel mit einer Maske von 2 belichtet wird;
  • 11 ist eine grafische Darstellung, welche eine Teilung in Bezug auf eine Seitenkeulenbildung zeigt;
  • 12 ist eine Querschnittsansicht zum Darstellen eines simulierten Musters, welches gebildet wird, wenn dies mit einem übergroßen Energiepegel mit einer Maske von 6 belichtet wird;
  • 13 ist eine Draufsicht zum Darstellen eines simulierten Musters, welches gebildet wird, wenn dies mit einem übermäßigen Energiepegel mit einer Maske von 6 belichtet wird;
  • 14A ist eine Fotografie eines SEM zum Darstellen eines ebenen Wafermusters, wenn dies mit einem übermäßigen Energiepegel mit einer Maske von 2 belichtet und entwickelt wird;
  • 14B ist eine Fotografie des SEM zum Darstellen eines Waferquerschnittsmusters, wenn dieses mit einem übergroßen Energiepegel mit einer Maske von 2 belichtet und entwickelt wird; und
  • 15A bis 15D sind Querschnittsansichten des Verfahrens zum Herstellen eines zylindrischen Kondensators nach der vorliegenden Erfindung.
  • Es wird ausführlich auf die bevorzugte Ausführungsformen der vorliegenden Erfindung bezuggenommen, von denen Beispiele in den beiliegenden Zeichnungen gezeigt sind. Wenn immer möglich werden die gleichen Bezugszeichen durchwegs in den Zeichnungen verwendet, um die gleichen oder ähnlichen Teile zu bezeichnen.
  • Anschließend wird ein Verfahren zum Herstellen eines Kondensators nach der vorliegenden Erfindung mit Hilfe der beiliegenden Zeichnungen beschrieben.
  • Bei dem Verfahren zum Herstellen des Kondensators nach der vorliegenden Erfindung kann ein ringröhrenförmiges oder zylinderförmiges Muster (beispielsweise in einem Fotolack) unmittelbar auf dem Wafer durch einen Fotoprozess gebildet werden, um dadurch einen vereinfachten Herstellungsprozess zu realisieren. Außerdem, da Nassätzen nicht durchgeführt wird, ist es möglich, Beschädigung in Bezug auf den Wafer zu vermindern. Folglich kann, um unmittelbar ein ringröhrenförmiges oder zylinderförmiges Muster auf dem Wafer zu bilden, man absichtlich ein Seitenkeulenphänomen während des Fotoprozesses erzeugen, wodurch ein Fotoresistmuster, welches eine zylindrische, kreisförmige ringröhrenförmige Form (in Draufsicht) hat, gebildet werden kann.
  • Das heißt, wenn ein optimaler Pegel an Überbelichtung mit einer Dämpfungs-PSM (Phasenverschiebungsmaske) bei dem Fotoprozess zum Bilden eines Kontaktloches durchgeführt wird, kann ein zylindrisches oder ringröhrenförmiges Muster auf dem Wafer anstelle einer Hohlform gebildet werden. Sogar, obwohl das zylindrische oder ringröhrenförmige Muster in der Maske nicht existiert, ist es möglich, das zylindrische oder ringröhrenförmige Muster auf dem Wafer zu bilden. Im Fall des zylindrischen oder ringröhrenförmigen Musters, welches eine Dicke unterhalb von 100 nm hat, kann es sehr schwierig sein, das Muster auf der Maske unter Verwendung eines früheren Maskenherstellungsverfahrens zu bilden.
  • Bei der bevorzugten Ausführungsform der Erfindung ist es möglich, ein zylindrisches oder ringröhrenförmiges Fotolackmuster auf dem Wafer ohne das Seitenkeulenphänomen zu bilden oder das Problem bei der Dämpfungs-PSM zu erzeugen.
  • Bei der Dämpfungs-PSM wird anstelle, die Umgebungsbereiche des gewünschten Musters durch ein Lichtschirmungs-Chromschicht vollständig abzuschirmen, Licht durch die Umgebungsbereiche der Maske bei einem Durchlässigkeitsgrad von 6% bis 15% gelassen. Das übertragende Licht hat jedoch eine entgegengesetzte Phase zu der des Lichts, welche durch den bemusterten Bereich läuft. Wenn Licht, welches entgegengesetzte Phasen hat, in dieser Weise verwendet wird, ist es möglich, den Kontrast im Wafer (oder im Fotolack, der darauf aufgebracht ist), zu verbessern.
  • 4 und 5 zeigen Fotolackprofilbeispiele, die durch Verwendung einer Binärmaske (4) und einer alternierenden Phasenverschiebungsmaske (5) während des Belichtungsschrittes eines Fotolithografieprozesses gebildet werden können. In einem Beispiel (gezeigt in 4) kann eine Binärmaske 300 eine Schicht aus lichtundurchlässigem Material 310 (beispielsweise Chrom) aufweisen, welches entweder auf oder innerhalb einer Schicht aus transparenten Material 320 (beispielsweise Glas) gebildet oder eingebettet ist. Wenn eine Schicht aus Fotolackmaterial dem Licht ausgesetzt ist, welches über die transparenten Bereiche der Binärmaske 300 übertragen wird, werden belichtete Bereiche des Fotolacks "geschrumpft", um ein Fotolackprofil 330 zu erzeugen. Das Muster innerhalb der Binärmaske 300 kann jedoch nicht genau auf den Fotolack 330 übertragen werden. Beispielsweise wird die Intensität des Lichts, welches auf eine Fläche der Fotolackschicht übertragen wird, als "Luftbild" bezeichnet. Wie in 4 gezeigt ist, stellt das Luftbild, welches durch die Binärmaske 300 erzeugt wird, relativ niedrigen Kontrast zwischen den hellen und dunklen Bereichen bereit. Ein derart niedriger Kontrast im Luftbild oder im Intensitätsbild verursacht häufig nicht gleichförmiges Schrumpfen und Profildeformation im nachfolgend gebildeten Fotolack 330.
  • Um die Profildeformation zu überwinden, kann eine alternierende Phasenverschiebungsmaske 350 verwendet werden, eine Schicht aus Fotolackmaterial 380 zu belichten, wie in 5 gezeigt ist. Bei einem Beispiel kann die Phasenverschiebungsmaske 350 durch Ersetzen von undurchlässigem Material 310 durch eine Schicht aus Phasenverschiebungsmaterial 360 gebildet werden, beispielsweise teilweise durchlässigem oder "Halbton-Film". Wenn man so verfährt, kann die Phasenverschiebungsmaske 350 dazu verwendet werden, den Kontrast zwischen hellen und dunklen Bereichen des Luftbilds zu vergrößern, um dadurch die Genauigkeit zu steigern, mit der das Maskenmuster auf die Fotolackschicht übertragen werden kann. Anders ausgedrückt kann die Phasenverschiebungsmaske 350 dazu verwendet werden, ein Fotolackprofil 380 mit im Wesentlichen wenig oder keiner Profilverformung zu bilden.
  • 6 ist eine Draufsicht einer Maske 110 gemäß einer ersten Ausführungsform der vorliegenden Erfindung. 7 ist eine grafische Darstellung zum Zeigen der Intensität des Lichts, welches durch eine Maske von 6 läuft.
  • Zunächst wird eine Maske 110 nach der vorliegenden Erfindung vorbereitet, wie in 6 gezeigt ist. Das heißt, dass die Maske 110 mit einem halbdurchlässigen Teil 120 und einem Übertragungsteil 130 versehen ist. In diesem Zeitpunkt wird Licht durch das halbdurchlässige Teil 120 mit einem Durchlässigkeitsgrad von 6% bis 15% übertragen, und das Licht wird mit 100% über das Übertragungsteil 130 übertragen. Das heißt, dass die Maske 110 vorgesehen oder dazu dienen soll, ein Kontaktloch zu bilden (beispielsweise für oder ähnlich dem Kontaktloch 21 in 2A2H, welches dem Kontaktloch 201 in 15A–D unten entspricht). Das Übertragungsteil 130 entspricht dem Bereich für das Kontaktloch, und das halbdurchlässige Teil 120 entspricht den verbleibenden Bereichen der Maske, die unmittelbar das Übertragungsteil umgeben (beispielsweise zumindest eine Hälfte einer Kontaktlochbreite, jedoch allgemein innerhalb von 2–5 Kontaktlochbreiten (vorzugsweise 2–3 Kontaktlochbreiten)). Die Phasenverschiebungsmaske 110 kann daher mehrere Hochübertragungsbereiche aufweisen, welche innerhalb einer Matrix von Reihen und Spalten angeordnet sind. Obwohl diese im Wesentlichen als Quadrat oder Rechteck bezüglich der Form gezeigt ist, können die Hochübertragungsbereiche und/oder Löcher 120 andere Formen bei alternativen Ausführungsformen der Erfindung aufweisen. Phasenverschiebungsmaterial (oder "Niedrigübertragungs-Material") 120 kann über oder eingebettet sein innerhalb einer Schicht aus transparenten Material (nicht gezeigt), beispielsweise Glas oder einem anderem Material, welches zumindest teilweise gegenüber der Wellenlänge von Licht optisch transparent ist, welches von einer Fotolithografie-Strahlungsenergiequelle emittiert wird.
  • Wenn ein Belichtungsprozess mit der Maske 110 durchgeführt wird, ist die Intensität des Lichts, welches den Wafer erreicht, in 7 gezeigt. Gemäß 7 ist unter der Annahme, dass die Intensität des Lichts, welches durchläuft oder dem Übertragungsteil 130 entspricht, gleich "1", die Intensität des Lichts entsprechend dem halbdurchlässigen Teil 120, über welches das Licht mit einem Durchlässigkeitsgrad von 6% oder 15% übertragen wird, kann als 0,1 bis 0,5 gemäß einer Teilung angesehen werden (oder einem Abstand von Mitte zu Mitte zwischen benachbarten Übertragungsteilen 130). In diesem Fall wird ein virtuelles Bild, welches auf dem Wafer durch eine kleine Spitze gebildet wird, als Seitenkeule bezeichnet, wo das übertragene Licht überlappt (oder konstruktiv stört), um beabsichtigt den Kantrast zu verbessern. Bei dem üblichen Prozess hat, da ein Schwellenwert eines Fotolacks PR größer ist als ein Spitzenwert entsprechend dem halbdurchlässigen Teil 130 zwischen den Übertragungsteilen 120 im Allgemeinen keine Wirkung auf das tatsächliche PR-Muster.
  • Allgemein ausgedrückt werden die kleinen Spitzenintensitäten oder "Seitenkeilen" in der grafischen Darstellung von 7 bei der vorliegenden Erfindung dazu verwendet werden, um zu helfen, die gewünschten zylinderförmigen Strukturen innerhalb des Fotolackmaterials zu bilden, indem ausgewählte Bereiche des Fotolackmaterials beseitigt werden, die unter den teilweisen Übertragungsbereichen 120 der PSM liegen. Die bloße Anwesenheit von Seitenkeulen – ein gemeinsames Phänomen beim üblichen Belichtungsprozess – kann jedoch nicht durch sich selbst notwendigerweise das gewünschte Muster bereitstellen. Abstelle davon können andere Faktoren, die die Teilung zwischen den mehreren Übertragungsteilen (oder Löchern) 130 und die Zusammensetzung/Dicke des bestimmten Phasenverschiebungsmaterials, welches bei der PSM verwendet wird, aufweisen (jedoch nicht darauf beschränkt sind), eine Rolle bei der Bereitstellung des zylinderförmigen Musters im Fotolackmaterial spielen. Beispielsweise kann die Materialzusammensetzung und/oder Dicke des Phasenverschiebungsmaterials so gewählt werden, dass Licht, welches durch das Phasenverschiebungsmaterial übertragen wird, bezüglich der Phase um ungefähr 180° verschoben wird. Wenn ungefähr von Nichtverschiebungsbereichen beabstandet (d.h., einem der mehreren Übertragungsteile 130), können Überlappungsbereiche (oder konstruktiv-störende Bereiche) von benachbarten intensiven Bildern kombiniert werden, um Seitenkeulen zu erzeugen, welche den Intensitätsschwellenwert des Belichtungsfotolackmaterials übersteigen. Ein solches Konzept ist in 8 gezeigt.
  • 9 ist eine Querschnittsansicht, welche ein simuliertes Muster zeigt, welches gebildet wird, wenn ein Fotolack bis zu einem normalen Energiepegel des Lichts belichtet wird, welches durch eine Maske von 6 läuft. 10 ist eine Draufsicht, welche ein simuliertes Muster zeigt, welches gebildet wird, wenn ein Fotolack bis zu einem normalen Energiepegel von Licht, welches durch eine Maske von 6 läuft, gebildet wird.
  • Das heißt, ein Fotolack 140 wird auf einem Substrat aufgebracht, und es wird ein normaler Belichtungsprozess und Entwicklungsprozess darauf mit der Maske von 6 durchgeführt. Als Ergebnis wird der Fotolack 140, welche dem Übertragungsteil 130 der Maske entspricht, selektiv beseitigt, um dadurch ein Kontaktlochmuster 150 zu bilden.
  • Im Fall der vorliegenden Erfindung wird jedoch eine Überbelichtung anstelle der normalen Belichtung mit der Maske von 6 durchgeführt.
  • Wenn die Überbelichtung unter Verwendung der Maske von 6 durchgeführt wird, vergrößert sich die Intensität einer Spitze entsprechend dem Halbübertragungsteil 130 zwischen den Übertragungsteilen 120, so dass der Spitzenwert größer ist als der Schwellenwert des Fotolacks PR. Folglich bildet die Überbelichtung ein Muster auf dem Bereich des Fotolacks entsprechend dem halbdurchlässigen Teil 130 zwischen dem Übertragungsteil 120. In diesem Zeitpunkt ist die Überbelichtung von ungefähr dem eineinhalbfachen bis zu ungefähr dem zweieinhalbfachen eines Energiepegels der normalen Belichtung.
  • Dieses Muster entspricht allgemein der gewünschten Musterform. In 7 ist die Intensität von Licht durch ein eindimensionales 1D-Verfahren gezeigt. Wenn jedoch die Intensität von Licht durch ein zweidimensionales 2D-Verfahren gezeigt ist, kann die Seiten keule rundum das Muster in einem vorher festgelegten Intervall beobachtet werden. Das heißt, wenn das Muster, welches in der Maske gebildet ist, einem Kreis entspricht, wird die Seitenkeule ebenfalls als Kreis ausgebildet, um dadurch eine ringröhrenförmige oder zylinderförmige Gestalt in einer Schicht aus Material auf dem halbleitförmigen Wafer zu bilden. Außerdem kann die Dicke der Ringröhre (oder Zylinderwand) durch die Intensität der Überbelichtung und der Teilung des Musters gesteuert werden.
  • 11 ist eine grafische Darstellung, welche die Teilung als Funktion der Seitenkeulenbildung zeigt. Wie in 11 gezeigt ist, kann eine Teilung von ungefähr 800 Manometern (nm) oder weniger die Seitenkeulenbildung bereitstellen, die benötigt wird, das gewünschte Muster bei dieser Ausführungsform zu erlangen. Jedoch können größere oder kleinere Mitten-zu-Mitten-Lochabstände bei anderen Ausführungsformen der Erfindung ausreichend sein.
  • 12 ist eine Querschnittsansicht, welche ein simuliertes Muster zeigt, welches gebildet wird, wenn ein Fotolack über eine Maske von 6 überbelichtet wird. 13 ist eine Draufsicht, welche ein simuliertes Muster zeigt, welches gebildet wird, wenn ein Fotolack über eine Maske von 6 überbelichtet wird. 14A ist eine Fotografie von oben nach unten einer SEM, welche ein Wafermuster zeigt, wenn ein Fotolack über eine Maske von 6 überbelichtet und entwickelt wird. 14B ist eine SEM-Fotografie, welche ein Waferquerschnittsmuster zeigt, wenn ein Fotolack über eine Maske von 6 überbelichtet und entwickelt wird.
  • Zunächst wird ein Fotolack 140 auf einem Substrat aufgebracht und danach wird eine Überbelichtung und ein Entwicklungsprozess darauf durchgeführt, wodurch der Fotolack 140 entsprechend einer Grenze zwischen dem Übertragungsteil 130 und dem halbdurchlässigen Teil 120 in einer kreisförmigen oder zylindrischen Form (d.h., "Ringröhrenform") verbleibt (oder gebildet wird), und die verbleibenden Bereiche des Fotolacks 140 beseitigt werden. Das heißt, bei Überbelichtung mit einem übergroßen Energiepegel wird die Seitenkeule durch die Musterform des Übertragungsteils 130 erzeugt, wodurch das Fotolackmuster auf dem Wafer in einer kreisförmigen oder ringröhrenförmigen Form gebildet wird.
  • Wie in 14A und 14B gezeigt ist, kann das Fotolackmuster, welches auf dem Wafer im Wesentlichen durch Überbelichtung gebildet wird, durch eine SEM-Fotografie geprüft werden. Das heißt, dass 14A und 14B bestätigen, dass in aktueller Praxis ein kreisförmiges oder ringröhrenförmiges Fotolackmuster auf dem Wafer durch Überbelichtung gebildet werden kann (unter Verwendung des "Seitenkeulen"-Phänomens und/oder einer Pha senverschiebungs-Kontaktmaske), was ein zylindrisches Muster zur Folge hat, welches im Material unterhalb des Fotolacks gebildet wird, der nachfolgend geätzt ist.
  • 14A–B zeigen entsprechend Kopf- und Querschnittsansichten von Versuchsergebnissen, die erhalten werden, nachdem zylinderförmige Strukturen innerhalb des Fotolacks (PR) gebildet sind und antireflektierende Bodenüberzugsschichten (BARC) über einer dielektrischen Schicht (beispielsweise TEOS) gebildet sind. Insbesondere beweisen die elektronischen Abtastmikrograf-Bilder (SEM) von 14A–B, dass das oben erläuterte Verfahren dazu verwendet werden kann, Strukturen innerhalb der Fotolackschicht zu bilden (oder unmittelbar darunter), die eine im Wesentlichen zylindrische Form und eine im Wesentlichen konstante Dicke haben. Weitere Versuche (d.h., partiales Ätzen von Bereichen der dielektrischen Schicht, die nicht durch den zylinderförmigen Fotolack überdeckt sind und antireflektive Bodenüberzugsstrukturen) zeigen, dass die zylinderförmigen PR-Strukturen dazu verwendet werden können, eine untere Elektrode zu bilden, deren Seitenwände ebenfalls im Wesentlichen eine Zylinderform und eine im Wesentlichen konstante Dicke haben.
  • Wie mit Hilfe von 12, 13, 14A und 14B erläutert wurde, kann eine Überbelichtung, welche unter Verwendung einer Kontaktmaske durchgeführt wird (6, üblicherweise verwendet, um ein Kontaktlochmuster zu bilden), ein kreisförmiges oder ringröhrenförmiges Fotolackmuster und eine zylindrische Struktur in dem Material (Materialien), das unter dem Fotolackmuster liegt, bilden. Folglich ist es bei einer bevorzugten Ausführungsform der vorliegenden Erfindung möglich, mehrere Prozessschritte auszulassen, um die Zylinderform einer Kondensatorelektrode nach dem Stand der Technik zu bilden. Da außerdem die vorliegende Zylinderstruktur eine ebene obere Fläche hat (im Gegensatz zu der nach dem Stand der Technik), kann der vorliegende Kondensator (oder die OCS-Speichereinrichtung) eine größere mechanische Haltbarkeit, verbesserte elektrische Kenndaten (aufgrund vergrößerter Gleichförmigkeit von physikalischen Eigenschaften am obersten Teil der zylindrischen unteren Kondensatorelektrode) und/oder verbesserte Merkmale und/oder Kenndaten haben. Außerdem ist es möglich, Schaden in Bezug auf den Wafer zu verringern, da das bevorzugte Verfahren nach der vorliegenden Erfindung kein Nassätzen benötigt.
  • Ein Verfahren zum Herstellen des Kondensators nach der vorliegenden Erfindung wird mit Hilfe der beiliegenden Zeichnungen beschrieben.
  • 15A bis 15D sind Querschnittsansichten eines Prozessbeispiels zum Herstellen einer zylindrischen Kondensatorelektrode nach der vorliegenden Erfindung.
  • Wie in 15A gezeigt ist, wird eine Isolationszwischenschicht 200 (ähnlich oder identisch der Isolationsschicht 20 nach dem Stand der Technik) auf einem Halbleitersubstrat 100 gebildet, und eine Ätzstoppschicht 300 (beispielsweise ein Silizium-Nitrid) wird auf der Isolationszwischenschicht 200 aufgebracht. Danach wird die Ätzstoppschicht 300 bemustert und selektiv entfernt. Das heißt, dass die Nitridschicht 300 auf dem Teil der Isolationszwischenschicht 200 verbleibt, welches den Zylinderkondensator nicht bildet.
  • Danach wird ein vorher festgelegter Bereich der Isolationszwischenschicht 200 durch Fotolithografie selektiv beseitigt, um dadurch das Kontaktloch 201 zu bilden. Danach wird eine leitfähige Schicht, beispielsweise eine erste Polysiliziumschicht 400 auf der Isolationszwischenschicht 200 aufgebracht, um das Kontaktloch 201 vollständig aufzufüllen. Alternativ kann die dielektrische Schicht 201 und die Ätzstoppschicht 300 überlagert aufgebracht werden, mit einer ersten Maske (nicht gezeigt) bemustert werden und geätzt werden, um eine Öffnung zu bilden, welche eine darunterliegende leitfähige Struktur freilegt (beispielsweise Kontakte 220 von 3). Eine zweite Maske (ebenfalls nicht gezeigt) kann dann verwendet werden, um eine größere Öffnung (beispielsweise für die Bodenplatte des Zylinders) innerhalb der Ätzstoppschicht 300 zu bilden, ähnlich einem "dualen Damaszierung"-Verfahren, um Kupfermetallisierung zu bilden. Durch Bilden einer größeren Öffnung in der Ätzstoppschicht 300 als in der dielektrischen Schicht 201 werden Seitenwandflächen der Ätzstoppschicht seitlich von Seitenwandflächen der dielektrischen Schicht beabstandet, wodurch Raum für die Basis einer nachfolgend gebildeten unteren Elektrode bereitgestellt wird.
  • Bei der vorliegenden Erfindung kann die dielektrische Schicht 201 eine dielektrische Zwischenpegelschicht (ILD) aufweisen, die beispielsweise eine darunterliegende Bitleitung von einem nachfolgend gebildeten Kondensator abschirmen kann. Die dielektrische Schicht 201 kann jedoch einen anderen Zweck bei alternativen Ausführungsformen der Erfindung haben. Als solches kann die dielektrische Schicht 201 eine oder mehrere Schichten von im Wesentlichen beliebigem dielektrischen Material, welches durch den Stand der Technik bekannt ist, aufweisen, beispielsweise Siliziumdioxid (SiO2), Siliziumdioxid auf Tetraäthylorthosilikat (TEOS), Silizium-Nitrid (SixNy), Siliziumdioxid/Silizium-Nitrid/Silizium-Dioxid (ONO), Siliziumkarbid, siliziumreiches Oxid (SRO), "Schwarzer Diamant" oder SiOC-Isolator oder Karbon-Polymere. Alternativ kann die dielektrische Schicht 201 aus einem Permittivitäts-Dielektrikum (niedriges-k) gebildet sein, welches allgemein durch den Stand der Technik bekannt ist als ein Material mit einer Dielektrizitätskonstante von weniger als ungefähr 3,5. Ein Dielektrikum mit niedrigem k, welches allgemein in Verwendung ist, von dem geglaubt wird, einen konformen Film herzustellen, ist Siliziumdioxid, welches mit Fluor dotiert ist. In einigen Fällen kann die dielektrische Schicht 201 nicht dotiert sein. Alternativ kann die dielektrische Schicht 201 dotiert sein, um beispielsweise Borphosphorsilikat- Glas (BPSG), Phosphorsilikat-Glas (PSG) Borsilikat-Glas (BSG) oder Fluorsilikat-Glas (FSG) zu bilden. Außerdem kann die dielektrische Schicht 201 durch Gasphasenabscheidung (CVD), atmosphärischen Druck-CVD (APCVD), Niederdruck-CVD (LPCVD), plasmaverbessertem CVD (PECVD) oder durch irgendeine andere durch den Stand der Technik bekannte Einrichtung aufgebracht sein.
  • Im Anschluss an die Ablagerung kann die dielektrische Schicht 201 geglättet werden, um in einigen Fällen eine im Wesentlichen ebene obere Fläche der dielektrischen Schicht 201 bereitzustellen. Beispielsweise kann die dielektrische Schicht 201 unter Verwendung eines Schleuder-Glas-Prozesses (SOG) oder eines Rückätzverfahrens, beispielsweise CVD/Vorspann-Sputter-Ätzrücktechnik oder Aufopferungsschicht-Ätzen geglättet werden. Jedoch kann gewünscht werden, ein globales Glättungsverfahren zu nutzen, beispielsweise chemisches mechanisches Polieren (CMP) bei Sub-Micron-Haltbleiter-Technologien, bei denen Multi-Pegel-Zwischenverbindungssysteme verwendet werden (dies wird bei Halbleiterspeichereinrichtung vorgefunden und/oder verwendet). Im Anschluss an das Glätten kann die dielektrische Schicht 201 bis zu einer Tiefe von ungefähr 3000 Å bis ungefähr 15000 Å gebildet sein, bevorzugt zwischen ungefähr 6000 Å bis ungefähr 12000 Å. Jedoch können größere oder kleinere Tiefen der dielektrischen Schicht 201 in Abhängigkeit von konstruktiven Ausbildungen der bestimmten Einrichtung, die zu bilden ist, geeignet sein.
  • Anschließend wird die Ätzstoppschicht 300 über einer oberen Fläche der dielektrischen Schicht 20 gebildet. Im Allgemeinen kann die Ätzstoppschicht 500 eine oder mehrere Schichten aufweisen, die unterschiedliche Ätzkenndaten als das leitfähige Material haben, welches verwendet wird, um nachfolgend die leitfähige Schicht 400 zu bilden. In einigen Fällen kann die Ätzstoppschicht 300 eine Einzelschicht aus Siliziumnitrid aufweisen, da dieses gut an vielen Materialien haftet und eine relativ gute Ätzselektivität hat, verglichen zu Polysilizium oder Siliziumdioxid. Bei einem Beispiel kann die Siliziumnitridschicht durch Aufbringen einer Schicht aus Siliziumdioxid (beispielsweise durch einen CVD-Prozess) gebildet sein, der in einem nachfolgenden Verarbeitungsschritt mit Nitrid behandelt wird. Siliziumnitrid oder Siliziumdioxynitrid können ebenfalls durch einen CVD-Prozess unter Verwendung einer Siliziumquelle gebildet werden, beispielsweise Silan oder Chlorsilan (SiHyCIy, wobei x und y jeweils eine ganze Zahl von 1 bis 3 sind, so dass x + y = 4, beispielsweise Dichlorsilan (SiCl2H2)), und einer Stickstoffquelle, beispielsweise Stickstoff (N2), Salpeteroxid (N2O), oder Ammoniak (NH3). Es können jedoch eine oder mehrere Schichten anderer dielektrischer Materialien, die durch den Stand der Technik bekannt sind, um unterschiedliche Ätzkenndaten als die leitfähige Schicht 400 zu haben, bei anderen Ausführungsformen der Erfindung verwendet werden.
  • Im Anschluss an die Aufbringung kann die Ätzstoppschicht 300 geglättet werden, um in einigen Fällen eine Ätzstoppschicht 300 mit einer im Wesentlichen ebenen oberen Fläche bereitzustellen. Beispielsweise kann die Ätzstoppschicht 300 unter Verwendung von einem der oben erwähnten Glättungsverfahren geglättet werden. In jedem Fall kann die Ätzstoppschicht 300 allgemein bis zu einer Tiefe von ungefähr 500 Å bis ungefähr 5000 Å gebildet werden. Um sicherzustellen, dass die Basis der nachfolgend-gebildeten unteren Elektrode ausreichend dick ist, wird die Ätzstoppschicht 300 vorzugsweise bis zu einer Tiefe von ungefähr 1000 Å bis ungefähr 3000 Å gebildet, besonders bevorzugt von ungefähr 2000 Å bis ungefähr 3000 Å. Größere oder kleinere Tiefen für die Ätzstoppschicht 300 können in Abhängigkeit von den Materialien, welche für solche Schichten verwendet werden, und den Ausbildungseinzelheiten der zylinderförmigen Speichereinrichtung geeignet sein.
  • Nachdem die dielektrische Schicht und die Ätzstoppschicht gebildet und bemustert sind, wie in 15A gezeigt ist und hier beschrieben wurde, kann eine Schicht aus leitfähigem Material 400 auf der dielektrischen Schicht und der Ätzstoppschicht und innerhalb der Öffnungen, die darin gebildet sind, gebildet werden. Wie oben angemerkt kann ein Basisbereich der unteren Elektrode dadurch gebildet werden, dass die Öffnung, die innerhalb der Ätzstoppschicht 300 gebildet ist, aufgefüllt wird. Durch Auffüllen der Öffnung innerhalb der dielektrischen Schicht 201 mit leitfähigem Material 400 kann ein leitfähiger Stecker ebenfalls gebildet werden, um eine darunterliegende leitfähige Schicht zu kontaktieren (beispielsweise Gate-Elektroden 220 von 2). Geeignete Materialien für die leitfähige Schicht 400 können, sind jedoch nicht darauf beschränkt, aufweisen dotiertes oder nichtdotiertes Polysilizium und im Wesentlichen jegliches Metall oder leitfähige Verbindung, die eine oder mehrere Metalle aufweist, beispielsweise Aluminium, Kobalt, Kobalt-Silit, Kupfer, Eisen, Nickel, Nickel-Eisen-Chrom, Platin, Tantal, Tantal-Nitrid, Titan, Titan-Nitrid, Titan-Silizit, Wolfram, Wolfram-Silizid, Molybdän-Silizid oder eine andere Verbindung dieser Metalle. Die leitfähige Schicht 400 kann unter Verwendung von herkömmlichen Sputtern oder irgendeiner anderen bekannten oben beschriebenen Aufbringungstechnik gebildet sein. Vorzugsweise weist die leitfähige Schicht 400 ein Metall oder anderes leitfähiges Material auf, welches durch CVD aufgebracht ist, beispielsweise Polysilizium oder Wolfram.
  • In jedem Fall wird die leitfähige Schicht 400 bis zu einer Tiefe gebildet, die allgemein von einer Höhe der nachfolgend gebildeten unteren Elektrode abhängig ist. Beispielsweise kann die Tiefe der leitfähigen Schicht 400 im Bereich zwischen ungefähr 2000 Å und ungefähr 10000 Å liegen, insbesondere zwischen ungefähr 3000 Å und ungefähr 8000 Å. Nach Glättung und/oder Ätzen der leitfähigen Schicht 400 kann die nachfolgend gebildete untere Elektrode eine Höhe HLE von ungefähr 2000 Å bis ungefähr 10000 Å zeigen, insbesondere zwischen ungefähr 3000 Å und ungefähr 8000 Å. Größere oder kleinere Höhen für die untere Elektrode können in Abhängigkeit von einer gewünschten Kapazität der zylinderförmigen Speichereinrichtung geeignet sein.
  • Wie in 15B gezeigt ist, ist eine Fotolackschicht 500 auf der ersten Polysiliziumschicht 400 aufgebracht, und danach wird die Maske von 6 über der Fotolackschicht 500 positioniert. Dann wird der Überbelichtungs- und Entwicklungsprozess darauf durchgeführt, wodurch das zylinderförmige kreisförmige oder ringröhrenförmige Fotolackschichtmuster 500 gebildet wird.
  • In diesem Fall kann wie oben beschrieben das Überbelichten eines Fotolacks unter Verwendung der Maske von 6 die Seitenkeule durch die Musterform des Übertragungsteils 130 erzeugen. Als Ergebnis wird das kreisförmige, zylindrische oder ringröhrenförmige Fotolackschichtmuster 500 auf dem Bereich entsprechend der Grenze zwischen dem Übertragungsteil 130 und dem halbdurchlässigen Teil 120 gebildet.
  • Allgemein kann der Fotolack einen tiefen ultravioletten Fotolack, einen I-Steg-Fotolack, einen G-Steg-Fotolack oder einen anderen Fotolack, beispielsweise einen X-Strahl-Fotolack oder einen X-Strahl-Fotolack aufweisen. Als solches kann der Fotolack unter Verwendung der Fotolithografie wie hier beschrieben bemustert werden, um ein Muster 500 zu erzeugen, wie in 15B gezeigt ist und wie hier ausführlicher beschrieben wurde. Bei einigen Ausführungsformen kann der Fotolack eine Schicht aus antireflektierendem Überzugsmaterial (ARC) auf dessen Bodenfläche aufweisen. Eine ARC-Schicht weist üblicherweise einen Polymerfilm auf, der hoch-absorbierend und nichtbleichend bei der Wellenlänge von Licht ist, welches verwendet wird, den Fotolack während der Fotolithografie zu belichten. In einigen Fällen können der Fotolack oder die ARC-Schichten durch Schleudern aufgebracht sein, oder sie können mittels Gasphasenabscheidungsverfahren (CVD) oder Sputter-Verfahren gebildet sein. In den meisten Fällen kann der Fotolack bis zu einer Tiefe von ungefähr 3000 Å bis ungefähr 10000 Å gebildet sein. Jedoch können größere oder kleinere Tiefen dazu verwendet werden, den Fotolack zu bilden, der in Abhängigkeit von der Dicke der darunterliegenden leitfähigen Schicht und anderen Parametern des Herstellungsprozesses zu bemustern ist.
  • Um das Fotolackmuster 500, welches in 15B gezeigt ist, herzustellen, werden Auswahlbereiche des Fotolacks einer Strahlung (beispielsweise ultraviolettem Licht) ausge setzt, um die Löslichkeit dieser Bereiche zu ändern. Nach dem Belichtungsschritt wird der Fotolack mit einer Lösung gewaschen, der bevorzugt die Bereiche des Fotolacks mit höherer Löslichkeit entfernt. Wenn eine ARC-Schicht enthalten ist, verhindert das Vorhandensein des antireflektierenden Überzugs unterhalb des Fotolacks vorteilhaft, dass sich Strahlungswellen über den Fotolack ausbreiten, damit diese nicht zurück nach oben durch den Fotolack durch darunterliegende Materialien reflektiert werden. Es ist bekannt, dass stehende Wellen im Fotolack durch konstruktive und zerstörende Interferenz reflektierter und einfallender Funkwellen verursacht werden. Diese stehenden Wellen tragen zum Auflösungsverlust und zu Fehlern im Fotolack bei. Daher kann die Einbeziehung einer ARC-Schicht helfen, die Fotolackprofildeformation zu verhindern, zumindest bis zu einem gewissen Grad.
  • Wie hier beschrieben wird die Fotolackschicht mit einem Fotolithografieprozess bemustert, wobei eine (in etwa) spezielle Maskenausbildung verwendet wird, um das Muster 500 in der Fotolackschicht zu erzeugen. Wie in 15B gezeigt ist, kann das Muster 500 zumindest eine im Wesentlichen zylinderförmige Struktur aufweisen. Es sei jedoch angemerkt, dass, obwohl lediglich eine zylinderförmige Struktur gezeigt ist, eine beliebige Anzahl von Strukturen innerhalb der Fotolackschicht gemäß den konstruktiven Spezifikationen der resultierenden Einrichtungen gebildet sein kann. Allgemein jedoch umfasst das Muster 500 ein reguläres oder sich wiederholendes Muster von Zylindern in einer Reihen-Spalten-Matrix.
  • Bezugnehmend auf 15C werden die freigelegten Bereiche der ersten Polysiliziumschicht 400 selektiv in einem Anisotropen-Trockenätzungsprozess geätzt, beispielsweise RIE (reaktives Ionenätzen), wobei das Fotolackmuster 500 als Ätzmaske verwendet wird, um dadurch eine zylindrische untere Elektrode 450 zu bilden. In diesem Zeitpunkt kann anisotropisches Trockenätzen der ersten Polysiliziumschicht 400 unter Verwendung einer Nitridschicht als Ätzstoppschicht 300 durchgeführt werden.
  • In einigen Fällen kann die leitfähige Schicht 400 durch ein Ätzmittel geätzt werden, welches in etwa selektiv in Bezug auf die leitfähige Schicht 400 (beispielsweise Polysilizium) ist als die Ätzstoppschicht 300 (beispielsweise Siliziumnitrid). Bei einem Beispiel kann die leitfähige Schicht 400 im Wesentlichen zwei Mal so schnell wie die Ätzstoppschicht 300 geätzt werden, wobei eine chemische Ätzzusammensetzung ausgewählt wird, welche ungefähr zwei Mal so selektiv ist, in Bezug auf die Materialzusammensetzung der leitfähigen Schicht 400. In anderen Beispielen können chemische Ätzzusammensetzungen zum selektiven Ätzen der leitfähigen Schicht 400 zumindest 5, 10 oder 50 oder mehr Mal schneller sein als die Ätzstoppschicht 300 verwendet werden kann. Unter Verwendung einer chemischen Ätzzusammensetzung, welche die leitfähige Schicht 400 selektiv ätzt, ermöglicht der Ätzpro zess, dass er beendet wird, wenn eine obere Schicht der Ätzstoppschicht 300 freigelegt ist, oder bevor irgendein signifikantes Ätzen der Schicht 300 auftritt. Nachdem die leitfähige Schicht geätzt ist, um die untere Elektrode 450 zu bilden, können verbleibende Bereiche des Fotolacks und/oder der antireflektierenden Überzugsschichten von der Oberfläche der unteren Elektrode beseitigt werden, wenn dies notwendig ist. Beispielsweise kann ein Ätzverfahren, welches in Bezug auf die Materialzusammensetzung des Fotolacks und den antireflektierenden Überzugsschichten selektiver ist, als gegenüber Nitrid, Oxid oder Silizium, dazu verwendet werden, die verbleibenden Bereiche zu entfernen.
  • Zusätzlich oder alternativ, um chemische Ätzzusammensetzungen auszuwählen, kann der Ätzprozess, der verwendet wird, die untere Elektrode 450 zu bilden, über eine optische Endpunktermittlung beendet werden. Beispielsweise kann ein Endpunkt des Ätzprozesses ermittelt werden, wenn eine obere Fläche der Ätzstoppschicht 300 frei ist. Beispiele von Verfahren, welche allgemein für die optische Endpunktermittlung verwendet werden, umfassen die Laser-Interfermometry, das Laser-Reflexionsvermögen und die optische Emissions-Spektroskopie. Bei einem Beispiel kann ein optisches Emissions-Spektroskopie-Endpunktermittlungssystem verwendet werden, um bestimmte Komponenten des Plasma, während des Ätzprozesses erzeugt wird, zu überwachen. Einige der optischen Emissions-Spektroskopie-Komponenten, beispielsweise die Wellenlänge von Licht, welche durch ein oder mehrere Ätzprodukte emittiert wird, sind für das Material, welches geätzt wird, spezifisch. Somit kann durch Überwachen der Wellenlänge des Lichts, welche spezifisch für das Material ist, welches geätzt wird, das System ermitteln, wenn eine Schicht des Materials entfernt wurde, um eine darunterliegende Schicht des Materials freizulegen.
  • Durch Verwendung des zylinderförmigen Musters 500, um die leitfähige Schicht 400 zu ätzen, kann die untere Elektrode 450 mit Seitenwänden gebildet werden, welche eine im Wesentlichen zylindrische Form haben (gesehen vom Kopf nach unten) und eine im Wesentlichen konstante Dicke längs einer vertikalen Länge der unteren Elektrode (obwohl in einigen Fällen die Zylinderseitenwände 450 eine leichte Verdickung an Stellen zeigen können, die um 90° weg voneinander längs des Radius oder des Durchmessers der Seitenwand sind; siehe beispielsweise 13).
  • Wie in 15D gezeigt ist, ist eine dielektrische Schicht 800 auf einer gesamten Fläche des Halbleitersubstrats einschließlich der unteren Kondensatorelektrode 450 aufgebracht, und danach ist ein zweites leitfähiges Material (beispielsweise Polysilizium) auf der dielektrischen Schicht 800 aufgebracht, um dadurch eine obere Kondensatorelektrode 900 zu bilden. In einigen Fällen jedoch kann die untere Elektrode 900 durch Aufbringen von einem oder mehreren Metallen oder Metalllegierungs-Schichten gebildet sein (beispielsweise unter diejenigen Metallen und Legierungen, die hier irgendwo beschrieben sind). Die Materialzusammensetzung der Schicht (Schichten), welche verwendet wird, um die obere Elektrode 900 zu bilden, kann ähnlich für oder im Wesentlichen unterschiedlich von der Materialzusammensetzung der unteren Elektrode 450 sein. Bei einer Ausführungsform kann die obere Elektrode 900 CVD W aufweisen (mit einer geeigneten darunterliegenden Barriere oder Stegschichten).
  • Das Material der oberen Elektrode 900 (welches im Zylinder aufgebracht ist, einschließlich Silizium) wird allgemein durch eine Maske geschützt (beispielsweise von herkömmlichem Fotolack; nicht gezeigt), während das Material außerhalb des Zylinders entfernt wird (allgemein durch Ätzen), um die fertiggestellte obere Elektrode zu bilden. Bei vorgegebener Dicke der Zylinderseitenwand 450 liefert das vorliegende Erfahren eine bestimmte Grenze zur Fehlerausrichtung während des Bildungsprozesses der oberen Elektrode. Man kann auch die Höhe der oberen Elektrode 900 durch Ätzen (üblicherweise einen herkömmlichen Rückätzprozess unter Verwendung von Trockenätzen) oder chemisch-mechanisches Polieren (CMP) reduzieren, allgemein, bis die obere Fläche der dielektrischen Schicht 800 (über Seitenwänden 450) frei ist. Danach können elektrische Verbindung zu den Elektroden in herkömmlicher Weise gebildet werden.
  • Die dielektrische Schicht 800 kann im Wesentlichen irgendein dielektrisches Material, welches durch den Stand der Technik bekannt ist, aufweisen, beispielsweise Bor-Phosphor-Silikat-Glas (BPSG), nichtdotiertes Silikat-Glas (USG), aufgeschleudertes Glas (SOG), Tetraäthylorthosilikat (TEOS) oder eine Oxidschicht, die eines oder mehreren der folgenden Legierungen aufweist: Silizium (Si), Stickstoff (N), Aluminium (Al), Tantal (Ta), Barium (Ba), Strontium (Sr), Titan (Ti) und Blei (Pb). Um die Kapazität der Speichereinrichtung zu verbessern, kann die dielektrische Schicht 800 jedoch ein dielektrisches Material mit einer relativ hohen Dielektrizitätskonstante (k) aufweisen, beispielsweise (jedoch nicht darauf beschränkt) Aluminiumoxid (Al2O3), Tantal-Pentoxid (Ta2O5), Barium-Titanat (BaTiO3) und Titanoxid (TiO2).
  • Somit betrifft die Erfindung auch eine zylinderförmige Speichereinrichtung, welche einen Stecker in einer dielektrischen Schicht auf einem Halbleitersubstrat aufweist, und eine untere Elektrode auf der dielektrischen Schicht und in Kontakt mit dem Stecker, wobei die Seitenwände der unteren Elektrode im Wesentlichen eine zylindrische Form haben und eine flache obere Fläche haben. Der Stecker und die untere Elektrode sind allgemein einstückig. Anders ausgedrückt weisen der vorliegende Stecker und die untere Elektrode allgemein das gleiche leitfähige Material auf, und haben keine erkennbaren Korngrenzen zwischen ih nen. Bei einer Ausführungsform können einstückige Stecker und untere Elektroden aus Material gebildet sein, welches in einem einzelnen Schritt aufgebracht ist (beispielsweise leitfähiges Material 400 in 15A). Alternativ kann der Stecker in einem ersten Schritt gebildet sein, und Material für die untere Elektrode in einem zweiten Schritt aufgebracht sein, danach kann der Stecker und das untere Elektrodenmaterial getempert werden, so dass das Material des Steckers und der unteren Elektrode rekristallisieren, oder dessen kristallografische Morphologie Änderungen ausreichend sich ändern, um jegliches erkennbare Korngrenzen zu reduzieren oder zu beseitigen, welche längs der Materialgrenze der unteren Elektrode des Steckers vor dem Tempern existiert haben können.
  • Wie oben beschrieben hat das Verfahren zum Herstellen des Kondensators nach der vorliegenden Erfindung die folgenden Vorteile.
  • Zunächst können Seitenkeulen durch Überbelichtung erzeugt werden, gemäß der Musterform des Übertragungsteils einer PSM (Phasenverschiebungsmaske) zum Bemustern von Kontaktlöchern, wodurch ein kreisförmiges, zylindrisches oder ringröhrenförmiges Muster gebildet wird. Danach wird die untere Elektrode des zylindrischen Kondensators so gebildet, dass sie ein zylindrisches oder ringröhrenförmiges Muster hat. Somit ist es möglich, einen vereinfachten Herstellungsprozess zu realisieren und die Herstellungskosten zu vermindern. Außerdem wird die gesamte Herstellungszeit vermindert, wodurch die Produktivität verbessert wird.
  • Außerdem kann bei dem Verfahren zum Herstellen des Kondensators nach der vorliegenden Erfindung Nassätzen vermieden werden, so dass es möglich ist, zu verhindern, dass der Wafer beschädigt wird, wodurch die Ausbeute verbessert wird.
  • Es wird deutlich sein, dass der Fachmann verschiedene Modifikationen und Variationen bei der vorliegenden Erfindung ausführen kann, ohne den Rahmen der Erfindung zu verlassen. Somit soll die vorliegende Erfindung die Modifikation und Variationen dieser Erfindung abdecken, vorausgesetzt, dass sie innerhalb des Rahmens der beigefügten Patentansprüche und deren Äquivalente fallen.

Claims (22)

  1. Verfahren zum Herstellen eines Kondensators, welches aufweist: Bilden einer Isolationsschicht (200) auf einem Halbleitersubstrat(100), Bilden eines Kontaktloches (201) in der Isolationsschicht (200); Bilden einer leitfähigen Schicht (400) auf der Isolationsschicht (200), um das Kontaktloch (201) aufzufüllen; Bilden einer Fotolackschicht (500) auf der leitfähigen Schicht (400); Bilden eines Fotolackschichtmusters in der Fotolackschicht (500) durch Überbelichtung, um ein Seitenkeulenphänomen zu erzeugen; Bilden einer zylindrischen unteren Elektrode (450) durch Bemustern der leitfähigen Schicht (400) unter Verwendung des Fotolackschichtmusters als Maske; und Bilden einer dielektrischen Schicht (800) und einer oberen Elektrode (900), die die untere Elektrode (450) überdecken.
  2. Verfahren nach Anspruch 1, wobei der Schritt zum Bilden des Fotolackschichtmusters aufweist: Positionieren einer Maske (110) über der Fotolackschicht (500), wobei die Maske ein Übertragungsteil entsprechend dem Kontaktloch hat; Überbelichten der Fotolackschicht unter Verwendung der Maske; und Entwickeln der überbelichteten Fotolackschicht.
  3. Verfahren nach Anspruch 2, wobei die Maske aufweist: ein halbdurchlässiges Teil (120), durch welches Licht mit einem Durchlässigkeitsgrad von 6% bis 15% überragen wird; und ein Übertragungsteil (130) entsprechend dem Bereich des Kontaktlochs (201), durch welches das Licht bei ungefähr 100% übertragen wird.
  4. Verfahren nach Anspruch 2, wobei ein Energiepegel der Überbelichtung ungefähr eineinhalbfach bis ungefähr zweieinhalbfach so hoch ist wie ein Energiepegel zur Bemusterung des Kontaktloches.
  5. Verfahren nach Anspruch 1, wobei die leitfähige Schicht (400) eine leitfähige Polysiliziumschicht aufweist.
  6. Verfahren nach Anspruch 1, wobei der Bemusterungsprozess der leitfähigen Schicht RIE (reaktives Ionenätzen) aufweist.
  7. Verfahren zum Herstellen einer Zylinderspeichereinrichtung, wobei das Verfahren aufweist: Bilden einer ersten leitfähigen Schicht (400) über einem Halbleitersubstrat (100); Bilden einer Fotolackschicht (140) auf der ersten leitfähigen Schicht; Überbelichten der Fotolackschicht mit Strahlung, welche über eine Phasenverschiebungsmaske läuft, um ein Muster in der Fotolackschicht zu erzeugen, welches zumindest eine im Wesentlichen zylinderförmige Struktur hat; und Ätzen von Bereichen der ersten leitfähigen Schicht, die nicht durch die zylinderförmige Struktur überdeckt ist, um eine untere Elektrode (450) der Zylinderspeichereinrichtung zu bilden.
  8. Verfahren nach Anspruch 7, wobei der Schritt zum Ätzen von Bereichen der ersten leitfähigen Schicht eine untere Elektrode (450) mit Seitenwänden erzeugt, welche im Wesentlichen eine zylindrische Form aufweisen und eine konstante Dicke längs einer vertikalen Länge der unteren Elektrode.
  9. Verfahren nach Anspruch 7, wobei nach dem Ätzschritt das Verfahren außerdem aufweist: Beseitigen verbleibender Bereiche der Fotolackschicht; Bilden einer zweiten dielektrischen Schicht auf der unteren Elektrode, die von der ersten leitfähigen Schicht gebildet ist; und Bilden einer zweiten leitfähigen Schicht auf der zweiten dielektrischen Schicht, um eine obere Elektrode (900) der Zylinderspeichereinrichtung zu bilden.
  10. Verfahren nach Anspruch 7, wobei vor dem Bilden der ersten leitfähigen Schicht das Verfahren aufweist: Bilden einer ersten dielektrischen Schicht (200) über dem Halbleitersubstrat (100); und Bilden einer Ätzstoppschicht (300) auf Bereichen der ersten dielektrischen Schicht, so dass die erste leitfähige Schicht im Wesentlichen auf der Ätzstoppschicht und der ersten dielektrischen Schicht gebildet ist.
  11. Verfahren nach Anspruch 10, wobei die Schritte zum Bilden der ersten dielektrischen Schicht und der Ätzstoppschicht außerdem aufweisen: Ätzen der ersten dielektrischen Schicht (200), um eine erste Öffnung (201) innerhalb der ersten dielektrischen Schicht (200) zu bilden, um eine darunterliegende leitfähige Struktur freizulegen; und Ätzen der Ätzstoppschicht, um eine zweite Öffnung innerhalb der Ätzstoppschicht zu bilden, wobei gegenüberliegende Seitenwände der zweiten Öffnung seitlich von gegenüberliegenden Seitenwänden der ersten Öffnung beabstandet sind.
  12. Verfahren nach Anspruch 11, wobei der Schritt zum Bilden der ersten leitfähigen Schicht das Aufbringen eines leitfähigen Materials innerhalb der ersten und der zweiten Öffnung umfasst, um einen leitfähigen Stecker zu bilden, um die darunterliegende leitfähige Struktur zu kontaktieren.
  13. Verfahren nach Anspruch 12, wobei das leitfähige Material Polysilizium aufweist.
  14. Verfahren nach Anspruch 7, wobei die Phasenverschiebungsmaske ein hohlförmiges oder ringröhrenförmiges Muster darin aufweist.
  15. Zylinderspeichereinrichtung, welche aufweist: einen Stecker in einer dielektrischen Schicht auf einem Halbleitersubstrat, wobei der Stecker ein leitfähiges Material aufweist; und eine untere Elektrode auf der dielektrischen Schicht und in Kontakt mit dem Stecker, wobei die untere Elektrode das leitfähige Material aufweist und wobei Seitenwände der unteren Elektrode im Wesentlichen eine Zylinderform und eine flache obere Fläche haben.
  16. Zylinderspeichereinrichtung nach Anspruch 15, wobei der Stecker und die untere Elektrode einstückig sind.
  17. Zylinderspeichereinrichtung nach Anspruch 15, die außerdem eine Ätzstoppschicht auf der dielektrischen Schicht aufweist, wobei eine Öffnung in der Ätzstoppschicht einen größeren Durchmesser hat als eine Öffnung in der dielektrischen Schicht für den Stecker und diese komplett überlappt.
  18. Zylinderspeichereinrichtung nach Anspruch 15, wobei eine Basis der unteren Elektrode die Öffnung in der Ätzstoppschicht auffüllt.
  19. Zylinderspeichereinrichtung nach Anspruch 15, wobei die Ätzstoppschicht eine Dicke von ungefähr 2000 Å bis ungefähr 3000 Å hat.
  20. Zylinderspeichereinrichtung nach Anspruch 15, wobei das leitfähige Material Polysilizium aufweist.
  21. Zylinderspeichereinrichtung nach Anspruch 15, wobei die Seitenwände der unteren Elektrode eine im Wesentlichen konstante Dicke haben.
  22. Zylinderspeichereinrichtung nach Anspruch 15, die außerdem eine dielektrische Schicht aufweist, die die Seitenwände der unteren Elektrode ausfüllen, und eine obere leitfähige Elektrode, die im Wesentlichen einen Zylinder auffüllt, der durch die im Wesentlichen zylindrischen Seitenwände der unteren Elektrode begrenzt ist.
DE102005063118A 2004-12-30 2005-12-30 Zylinderkondensator und Speichereinrichtung und Verfahren zu deren Herstellung Ceased DE102005063118A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2004-0117124 2004-12-30
KR1020040117124A KR100670396B1 (ko) 2004-12-30 2004-12-30 사이드 로브 현상을 이용한 실린더형 커패시터 형성 방법

Publications (1)

Publication Number Publication Date
DE102005063118A1 true DE102005063118A1 (de) 2006-10-05

Family

ID=36639406

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005063118A Ceased DE102005063118A1 (de) 2004-12-30 2005-12-30 Zylinderkondensator und Speichereinrichtung und Verfahren zu deren Herstellung

Country Status (4)

Country Link
US (2) US7402486B2 (de)
JP (1) JP4416727B2 (de)
KR (1) KR100670396B1 (de)
DE (1) DE102005063118A1 (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7728372B2 (en) * 2006-05-10 2010-06-01 International Business Machines Corporation Method and structure for creation of a metal insulator metal capacitor
KR100950470B1 (ko) * 2007-06-22 2010-03-31 주식회사 하이닉스반도체 반도체 메모리소자의 스토리지전극 형성방법
US7985681B2 (en) * 2007-06-22 2011-07-26 Micron Technology, Inc. Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
TW200933878A (en) * 2008-01-21 2009-08-01 Ind Tech Res Inst Memory capacitor and manufacturing method thereof
JP5259661B2 (ja) * 2010-09-07 2013-08-07 株式会社東芝 パターン形成方法
JP5481366B2 (ja) 2010-12-22 2014-04-23 東京エレクトロン株式会社 液処理方法および液処理装置
KR20130007375A (ko) * 2011-07-01 2013-01-18 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9379013B1 (en) * 2014-12-04 2016-06-28 Sony Corporation Method for forming a self-aligned contact in a damascene structure used to form a memory device
TWI690958B (zh) * 2018-09-19 2020-04-11 鈺冠科技股份有限公司 電容器元件的前處理設備與電容器元件的快速製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960008865B1 (en) * 1992-07-15 1996-07-05 Samsung Electronics Co Ltd Method for manufacturing a capacitor in semiconductor memory device
US5468578A (en) * 1994-09-26 1995-11-21 Micron Technology, Inc. Method of making masks for phase shifting lithography to avoid phase conflicts
KR100207463B1 (ko) * 1996-02-26 1999-07-15 윤종용 반도체 장치의 커패시터 제조방법
US5728618A (en) * 1997-06-04 1998-03-17 Vanguard International Semiconductor Corporation Method to fabricate large capacitance capacitor in a semiconductor circuit
TW406417B (en) * 1998-08-06 2000-09-21 United Microelectronics Corp Manufacture of the cylinder-type capacitor of DRAM
US6228736B1 (en) * 1998-08-07 2001-05-08 Taiwan Semiconductor Manufacturing Company Modified method for forming cylinder-shaped capacitors for dynamic random access memory (DRAM)
US6403416B1 (en) * 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
JP3367460B2 (ja) * 1999-04-09 2003-01-14 日本電気株式会社 半導体装置の製造方法およびこれに用いるフォトマスク
US6171903B1 (en) * 1999-05-26 2001-01-09 United Microelectronics Corp. Method for forming a cylinder-shaped capacitor using a dielectric mask
US6265280B1 (en) * 1999-11-29 2001-07-24 Chartered Semiconductor Manufacturing, Inc. Method for manufacturing a cylindrical semiconductor capacitor
JP4646367B2 (ja) * 2000-08-25 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
KR100382732B1 (ko) * 2001-01-10 2003-05-09 삼성전자주식회사 반도체 소자의 실린더형 커패시터 제조 방법
KR100464860B1 (ko) * 2002-06-12 2005-01-06 삼성전자주식회사 포토레지스트 패턴 형성 방법, 이를 이용한 캐패시터 형성방법 및 캐패시터
US7189495B2 (en) * 2003-05-29 2007-03-13 Macronix International Co., Ltd. Method of forming photoresist pattern free from side-lobe phenomenon
KR100524973B1 (ko) * 2003-06-25 2005-10-31 삼성전자주식회사 커패시터를 포함하는 반도체 소자의 제조방법

Also Published As

Publication number Publication date
KR20060079353A (ko) 2006-07-06
JP4416727B2 (ja) 2010-02-17
US20060145229A1 (en) 2006-07-06
US20080283892A1 (en) 2008-11-20
JP2006191008A (ja) 2006-07-20
KR100670396B1 (ko) 2007-01-16
US7402486B2 (en) 2008-07-22

Similar Documents

Publication Publication Date Title
DE102005063118A1 (de) Zylinderkondensator und Speichereinrichtung und Verfahren zu deren Herstellung
DE19933480B4 (de) Verfahren zur Herstellung eines zylindrischen Kondensators
EP1412969B1 (de) Verfahren zum herstellen einer selbstjustierten struktur auf einem halbleiter-wafer
DE10350510B4 (de) Integrierte Schaltungsvorrichtungen mit Sicherungsstrukturen, die Pufferschichten enthalten, und Verfahren zur Herstellung derselben
DE69737783T2 (de) Verfahren zur Herstellung eines Halbleiterspeicherbauteils
DE4336003A1 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE19930295C2 (de) Säulenförmiger Speicherknoten eines Kondensators und Verfahren zur Herstellung desselben
DE19719699A1 (de) Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE4318660A1 (de) Halbleiterspeichereinrichtung mit einem Kondensator und Herstellungsverfahren dafür
DE10021385A1 (de) Verfahren zur Erzeugung einer unteren Kondensatorelektrode unter Verwendung einer CMP-Stoppschicht
DE10025209A1 (de) Halbleitereinrichtung
DE102019117664A1 (de) Verfahren zum Herstellen von selbstjustierten Gittern in einem BSI-Bildsensor
DE102004020938B3 (de) Verfahren zum Herstellen einer ersten Kontaktlochebene in einem Speicherbaustein
DE102004031896A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102006037751A1 (de) Verfahren zur Ausbildung eines dotierten Abschnitts und eines Transistors
DE4232621C1 (de) Herstellverfahren für ein selbstjustiertes Kontaktloch und Halbleiterstruktur
DE19720220A1 (de) Halbleiter-Speichervorrichtung
DE10012198B4 (de) Zylindrisches Kondensatorbauelement mit innenseitigem HSG-Silicium und Verfahren zu seiner Herstellung
DE4238404B4 (de) Verfahren zur Herstellung einer Halbleiterspeichervorrichtung
DE102015105953A1 (de) Bildsensor und Verfahren zu seiner Ausbildung
DE4113999A1 (de) Integrierte halbleitereinrichtung und herstellungsverfahren fuer diese
DE10029036C1 (de) Verfahren zur Erhöhung der Trenchkapazität
DE10031881A1 (de) Halbleitereinrichtung und Verfahren zur Herstellung der Halbleitereinrichtung
DE19719909A1 (de) Zweifaches Damaszierverfahren

Legal Events

Date Code Title Description
ON Later submitted papers
OP8 Request for examination as to paragraph 44 patent law
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final

Effective date: 20130125