DE102004013626A1 - Verfahren und Vorrichtung zur Abscheidung dünner Schichten - Google Patents

Verfahren und Vorrichtung zur Abscheidung dünner Schichten Download PDF

Info

Publication number
DE102004013626A1
DE102004013626A1 DE102004013626A DE102004013626A DE102004013626A1 DE 102004013626 A1 DE102004013626 A1 DE 102004013626A1 DE 102004013626 A DE102004013626 A DE 102004013626A DE 102004013626 A DE102004013626 A DE 102004013626A DE 102004013626 A1 DE102004013626 A1 DE 102004013626A1
Authority
DE
Germany
Prior art keywords
thin
film production
gas
rooms
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102004013626A
Other languages
English (en)
Other versions
DE102004013626B4 (de
Inventor
Katsushi Kishimoto
Yusuke Hukuoka
Akira Shimizu
Katsuhiko Nomoto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of DE102004013626A1 publication Critical patent/DE102004013626A1/de
Application granted granted Critical
Publication of DE102004013626B4 publication Critical patent/DE102004013626B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45593Recirculation of reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Durch die Erfindung ist eine Abscheidungsvorrichtung geschaffen, die eine deutliche Verringerung des Gasgesamtverbrauchs, eine Vereinfachung des Gesamtaufbaus und eine Kostensenkung der Vorrichtung selbst dann ermöglicht, wenn Gas in mehrere Dünnfilm-Herstellräume (20a, 20b) eingespeist wird. Die Vorrichtung verfügt über mehrere Dünnfilm-Herstellräume (20) zum Herstellen jeweils desselben Dünnfilms. In jedem dieser Dünnfilm-Herstellräume sind Quellengas-Zuführöffnungen (10) vorhanden, durch die mindestens ein Quellengas zugeführt werden kann. Aus mindestens einem (20a) der mehreren Dünnfilm-Herstellräume kann ein Auslassgas durch einen Auslassgas-Strömungspfad (14) in mindestens einen anderen (20b) derselben eingespeist werden. In den erstgenannten Dünnfilm-Herstellraum (20a) wird ein Verdünnungsgas eingespeist, und das Auslassgas wird von einem nach außen führenden Auslassstutzen (9) des anderen Dünnfilm-Herstellraums(20b) nach außen ausgelassen.

Description

  • Die Erfindung betrifft ein Verfahren und eine Vorrichtung zur Abscheidung dünner Schichten aus z. B. Halbleitern. Genauer gesagt, betrifft die Erfindung das Zuführen und Entladen von Gas bei einem derartigen Verfahren und einer derartigen Vorrichtung.
  • Herkömmlicherweise existieren als Abscheidungsvorrichtungen, die dazu verwendet werden, Dünnfilme bei z. B. Halbleiterbauteilen herzustellen, Plasmareaktoren vom Typ, bei dem ein Reaktionsgas unter Ausnutzung einer Plasmachemietechnik parallel in mehrere Entladungsräume eingespeist wird.
  • Ein Beispiel hierzu ist in US-A-4,264,393 offenbart. Der dort offenbarte Plasmareaktor ist so konzipiert, dass dasselbe Reaktionsgas gleichzeitig in die mehreren Entladungsräume eingespeist wird. Daher ist die Strömungsrate des Gases proportional zur Anzahl N der Entladungsräume. Wenn z. B. das für einen der Entladungsräume erforderliche Reaktionsgas SiH4/H2 = 5/500 (sccm) ist, beträgt die Gesamtströmungsrate des Gases SiH4:5xN (sccm), H2:500xN (sccm).
  • Außerdem gehören zu Abscheidungsverfahren, wie sie zum Herstellen von Dünnfilmen wie bei Halbleiterbauteilen verwendet werden, solche vom Typ, bei dem ein Reaktionsgas und ein Nicht-Reaktionsgas durch verschiedene Systeme geleitet werden. Ein beispielhaftes Verfahren dieses Typs ist in JP-A-04-164895 offenbart. Dieses Verfahren bildet eine Epitaxiezüchtungstechnik für einen Halbleiterfilm, bei der das Reaktionsgas parallel oder schräg auf ein Substrat eingeblasen wird und ein Dispersionsgas unter Druck auf das Substrat geblasen wird. Für dieses Abscheidungsverfahren wurde eine Technik vorgeschlagen, bei der das Reaktionsgas und das Dispersionsgas über verschiedene Systeme zugeführt werden. Außerdem wird, gemäß diesem Abscheidungsverfahren, epitaktisches Wachstum deutlich dadurch gefördert, dass das Reaktionsgas unmittelbar über dem Substrat parallel zu diesem zugeführt wird, ein Dispersionsgas über verschiedene Systeme auf das Substrat geblasen wird, der Wärmewiderstand, wie er in Zusammenhang mit dem Erwärmen des Substrats auftritt, beschränkt wird und das Gas nahe dem Substrat gleichmäßig zugeführt wird. Jedoch zeigen die oben beschriebene Abscheidungsvorrichtung und das zugehörige Abscheidungsverfahren die nachfolgend beschriebenen Probleme.
  • Beim in US-A-4,264,393 offenbarten Plasmareaktor sollte das Reaktionsgas, wenn es in die mehreren Entladungsräume eingeleitet wird, mit ausreichenden Einheitsmengen zugeführt wer den. In diesem Fall ist die Gesamtströmungsrate das N-fache der Strömungsrate der Reaktionsgase pro Entladungsraum. Dies führt zu einem deutlichen Anstieg der Strömungsrate des Gases, das insgesamt zu verarbeiten ist. Dies hat eine Vergrößerung der Abmessungen der Konstruktion eines Entladungssystems zur Folge (hinsichtlich z. B. eines Auslass-Leitungssystems, Ventildurchmessern oder der Pumpenausstoßkapazität), was entsprechend zu einer Erhöhung der Vorrichtungskosten führt. Wenn dem Reaktionsgassystem z. B. eine Glasreinigungsvorrichtung zugeordnet ist, ist eine Vergrößerung der Verarbeitungsanlage unvermeidlich, was einen weiteren Kostenanstieg zur Folge hat.
  • Beim in JP-A-04-164895 offenbarten Abscheidungsverfahren geht es um eine Technik, bei der Gase in ein Reaktionsgas und ein Dispersionsgas aufgeteilt werden und diese Gase über verschiedene Systeme gesondert zugeführt werden. Genauer gesagt, wird bei dieser Technik dafür gesorgt, dass GaN (Galliumnitrid) auf Saphir mit einem Durchmesser von 2 Zoll (ungefähr 50,8 mm) aufwächst. Jedoch ist bei einer Abscheidungsprozedur für z. B. für eine Flüssigkristallzelle großer Fläche oder einer Solarzelle mit einer Substratfläche von ungefähr 1 m2 der zentrale Bereich des Substrats um einige zehn Zentimeter von einem Endbereich desselben entfernt. Dies erschwert es, mit der herkömmlichen Abscheidungstechnik das Reaktionsgas gleichmäßig über das gesamte Substrat zuzuführen.
  • Die Erfindung zielt darauf ab, eine effektive Ausnutzung eines Reaktionsgases und eine Verringerung des Gasgesamtverbrauchs bei Bearbeitungsschritten für Abscheidung auf Substraten mit großer Fläche, wie z. B. Flüssigkristall- oder Solarzellen, zu erzielen. Genauer gesagt, liegt der Erfindung die Aufgabe zugrunde, eine Abscheidungsvorrichtung und ein Abscheidungsverfahren zu schaffen, die eine deutli che Verringerung des Gasgesamtverbrauchs, eine Vereinfachtung des Gesamtaufbaus der Vorrichtung und eine Kostensenkung derselben selbst dann ermöglichen, wenn Gas in mehrere Dünnfilm-Herstellräume eingeleitet wird.
  • Diese Aufgabe ist durch die Abscheidungsvorrichtung gemäß dem beigefügten Anspruch 1 und das Abscheidungsverfahren gemäß dem beigefügten Anspruch 12 gelöst. Vorteilhafte Ausgestaltungen und Weiterbildungen sind Gegenstand jeweiliger abhängiger Ansprüche.
  • Bei der erfindungsgemäßen Abscheidungsvorrichtung, insbesondere in der Ausgestaltung gemäß den Ansprüchen 2 und/oder 3, kann Verdünnungsgas durch einen von Dünnfilm-Herstellräumen und einen anderen derselben gemeinsam genutzt werden, was zu einer Verringerung des Gasgesamtverbrauchs beiträgt.
  • Bei der Abscheidungsvorrichtung gemäß dem beigefügten Anspruch 4 wird nur Auslassgas mit dem für den einen Dünnfilm-Herstellraum und einen anderen der Dünnfilm-Herstellräume gemeinsam verwendeten Verdünnungsgas zur Außenseite des Systems ausgelassen, was es ermöglicht, den Gasverbrauch sicher zu senken.
  • Bei einer Abscheidungsvorrichtung gemäß dem beigefügten Anspruch 5 oder 6 lässt sich durch die angegebene Anordnung von Auslassöffnungen nach außen, auch dann, wenn mehrere Dünnfilm-Herstellräume vorhanden sind, der Gasverbrauch senken.
  • Bei den Abscheidungsvorrichtungen gemäß den Ansprüchen 7 bis 9 kann die Zuführmenge des in einer Ebene zugeführten Quellengases (einschließlich des Verdünnungsgases), wodurch die Filmdicke und die Filmqualität deutlich beeinflusst werden, vergleichmäßigt werden. Ferner kann eine Dünnfilmherstellung unter Verwendung von Plasmareaktionen realisiert werden, wenn jeder der mehreren Dünnfilm-Herstellräume zwischen einer Kathoden- und einer Anodenelektrode, die einander gegenüber stehen, ausgebildet ist.
  • Bei erfindungsgemäßen Abscheidungsvorrichtungen können, gemäß dem Anspruch 10 oder 11, die mehreren Dünnfilm-Herstellräume in einer einzigen Reaktionskammer oder jeweils in einer einzelnen Reaktionskammer vorhanden sein.
  • Durch ein erfindungsgemäßes Abscheidungsverfahren werden dieselben Vorteile erzielt, wie sie oben für eine erfindungsgemäße Abscheidungsvorrichtung beschrieben sind.
  • Die Erfindung wird nachfolgend anhand von durch die beigefügten 1 bis 3 veranschaulichten Ausführungsformen näher veranschaulicht. Dabei zeigen diese drei Figuren jeweils einen schematischen Vertikalschnitt einer ersten, zweiten bzw. dritten Ausführungsform einer erfindungsgemäßen Abscheidungsvorrichtung.
  • ERSTE AUSFÜHRUNGSFORM
  • Bei der in der 1 dargestellten ersten Ausführungsform einer erfindungsgemäßen Abscheidungsvorrichtung 30 sind zwei Dünnfilm-Herstellräume 20 vorhanden, die jeweils aus mehreren Entladungsräumen, die jeweils durch eine Kathodenelektrode 2 und eine Anodenelektrode 4 eingebettet sind, in einer einzelnen Kammer 11 (Reaktionskammer) bestehen. In jedem der Dünnfilm-Herstellräume 20 sind Quellengas-Zuführöffnungen 10 vorhanden, und im Dünnfilm-Herstellraum 20 auf einer Seite, nämlich einem ersten Dünnfilm-Herstellraum 20a, ist ein Verdünnungsgas-Zuführstutzen 7 zum Einleiten eines Verdünnungsgases vorhanden. Dabei kann ein Auslassgas im ersten Dünnfilm-Herstellraum 20a durch einen Auslassgas-Strömungs pfad 14 in den Dünnfilm-Herstellraum auf der anderen Seite, d. h. einen zweiten Dünnfilm-Herstellraum 20b, eingespeist werden. Außerdem wird bei dieser Konfiguration das Auslassgas aus dem zweiten Dünnfilm-Herstellraum 20b durch einen in ihm vorhandenen nach außen führenden Auslassstutzen 9 durch eine Auslassleitung 16 über eine Drucksteuereinheit 22, eine Vakuumpumpe 21 und eine Gasreinigungsvorrichtung 23 nach außen ausgelassen. Die Konfiguration wird unten detaillierter beschrieben.
  • Die Reaktionskammer 11 besteht aus rostfreiem Stahl oder einer Aluminiumlegierung. Zusammengesetzte Teile der Kammer 11 sind durch O-Ringe oder ähnliche Komponenten vollständig abgedichtet. Die Auslassleitung 16, die Drucksteuereinheit 22 und die Vakuumpumpe 21 sind mit der Kammer 11 verbunden. Dadurch kann der Unterdruck in der Kammer 11 auf einen wahlfreien Wert eingestellt werden. Die Gasreinigungsvorrichtung 23 ist mit der Auslassseite der Vakuumpumpe 21 verbunden, um schädliche Substanzen zu entfernen, wie sie nach einer Reaktion mit dem in die Kammer 11 eingeleiteten Reaktionsgas (Quellengas) im Auslassgas enthalten sind.
  • In einem unteren Teil der Kammer 11 ist ein Anodenhalter 6 zum Halten der Anodenelektrode 4 vorhanden. Als Material des Anodenhalters 6 kann leitender rostfreier Stahl oder eine Aluminiumlegierung verwendet werden, jedoch kann zum Einstellen des Potenzials des Substrats auch eine isolierende Komponente (wie ein Keramikmaterial) verwendet werden.
  • Die Anodenelektrode 4 besteht aus einem Material mit Leitfähigkeit und Wärmebeständigkeit, wie rostfreiem Stahl, einer Aluminiumlegierung oder Kohlenstoff. Die Abmessungen der Anodenelektrode 4 werden so festgelegt, dass sie für die Abmessungen eines Glassubstrats geeignet sind, das dazu verwendet wird, einen Dünnfilm herzustellen. Bei der vorliegen den ersten Ausführungsform ist die Anodenelektrode 4 so bemessen, dass für ein Substrat von 900 – 1200 mm auf 400 – 900 mm die lange und die kurze Seite 1000 – 1500 mm bzw. 600 – 1000 mm lang sind.
  • In die Anodenelektrode ist an der Rückseite in Bezug auf den Dünnfilm-Herstellraum 20 ein Heizer 24 eingebaut. Unter Verwendung dieses Heizers 24 wird die Anodenelektrode 4 so erwärmt, dass ihre Temperatur im Bereich von der Raumtemperatur bis 300°C liegt. Bei der vorliegenden Ausführungsform ist für die Anodenelektrode 4 eine Vorrichtung verwendet, die aus einem eingeschlossenen Heizer, z. B. einem Mantelheizer, und einem eingeschlossenen Temperatursensor, wie einem Thermoelement, besteht, die in die Aluminiumlegierung eingebaut sind. Mit dieser Vorrichtung wird die Anodenelektrode 4 auf dem genannten Temperaturbereich erwärmt.
  • Die Kathodenelektrode 2 hat die Funktion einer Schauerplatte, in der mehrere Schaueröffnungen (Quellengas-Zuführöffnungen 10) auf der der Anodenelektrode 4 zugewandten Fläche verteilt sind. Bei dieser Konfiguration wird das Quellengas der Kathodenelektrode 2 von einem Quellengaseinlass 15 zugeführt, der dazu dient, das Quellengas von außen in die Kathodenelektrode 2 (Schauerplatte) zu leiten. Mittels der Quellengas-Zuführöffnungen 10 kann das eingeleitete Quellengas gleichmäßig verteilt und in den Dünnfilm-Herstellraum 20 eingespeist werden. Die Kathodenelektrode 2 ist mit einer hochfrequenten Plasmaanregungs-Spannungsquelle 12 und einer Impedanzanpasseinheit 13 verbunden.
  • Nachfolgend wird der Fall des Herstellens eines Kristallfilms aus nicht-einkristallinem Si gemäß einer Ausführungsform eines erfindungsgemäßen Abscheidungsverfahrens unter Verwendung der Abscheidungsvorrichtung 30 beschrieben.
  • Quellengas wird durch die mehreren Schaueröffnungen (Quellengas-Zuführöffnungen 10) in jeder einzelnen Kathodenelektrode 2 in die einzelnen Dünnfilm-Herstellräume 20 eingeleitet. Dabei wird von der Schauerplatte 2 das Quellengas (SiH4-Gas) in den ersten Dünnfilm-Herstellraum 20a eingeleitet, wohingegen durch ein anderes System das Verdünnungsgas (H2) über den Verdünnungsgas-Zuführstutzen 7 zugeführt wird. Das in den zweiten Dünnfilm-Herstellraum 20b eingespeiste Gas ist auf das Quellengas (SiH4-Gas) beschränkt.
  • Eine optimale Bedienung zum Herstellen des genannten Kristallfilms aus nicht-einkristallinem Si ist z. B. ein Gasverhältnis SiH4/H2 = 1 – 10/300 (sccm). In diesem Fall ist das Quellengas SiH4, von dem im Wesentlichen 100 % verbraucht werden, so dass davon ausgegangen werden kann, dass das Auslassgas des ersten Dünnfilm-Herstellraums 20a nur H2 (Verdünnungsgas) ist. Infolgedessen ist bei der Konfiguration der ersten Ausführungsform eine Trennwand 1 vorhanden, um den Auslassgas-Strömungspfad 14 einzugrenzen, und auch im Dünnfilm-Herstellraum 20b ist ein Quellengaseinlass 15 vorhanden, der Quellengas von außen in die Kathodenelektrode 2 (Schauerplatte) einleitet. Unter dieser Konfiguration wird das Quellengas (SiH4-Gas) zusätzlich in die Schauerplatte 2 geleitet, um den verbrauchten Teil an Quellengas zu kompensieren. Auch ist bei dieser Konfiguration der Verdünnungsgas-Zuführstutzen 7 nur seitens des ersten Dünnfilm-Herstellraums 20a vorhanden, und der nach außen führende Auslassstutzen 9 zum Auslassen des Auslassgases zur Außenseite des Systems ist nur seitens des zweiten Dünnfilm-Herstellraums 20b vorhanden. Diese Konfiguration ermöglicht es, dass das Verdünnungsgas im ersten Dünnfilm-Herstellraum 20a gemeinsam im zweiten Dünnfilm-Herstellraum 20b genutzt wird, so dass die Verbrauchsmenge an Verdünnungsgas deutlich gesenkt werden kann.
  • ZWEITE AUSFÜHRUNGSFORM
  • Die in der 2 schematisch dargestellte zweite Ausführungsform einer erfindungsgemäßen Abscheidungsvorrichtung 40 verfügt über denselben Grundaufbau wie die Abscheidungsvorrichtung 30 der ersten Ausführungsform. D. h., dass wiederum zwei Dünnfilm-Herstellräume 20 dadurch ausgebildet sind, dass mehrere Entladungsräume, die jeweils durch eine Kathodenelektrode 2 und eine Anodenelektrode 4 eingebettet sind, in einer einzelnen Reaktionskammer 11 vorhanden sind. In jedem der Dünnfilm-Herstellräume sind Quellengas-Zuführöffnungen 10 ausgebildet, und ein Auslassgas aus dem einen Dünnfilm-Herstellraum 20, nämlich einem ersten Dünnfilm-Herstellraum 20a, auf einer Seite ist in den Dünnfilm-Herstellraum 20, nämlich einen zweiten Dünnfilm-Herstellraum 20b, über einen Auslassgas-Strömungspfad 14 einleitbar. Außerdem wird bei diesem Aufbau das Auslassgas aus dem zweiten Dünnfilm-Herstellraum 20b von einem nach außen führenden Auslassstutzen 9, der im zweiten Dünnfilm-Herstellraum 20b vorhanden ist, durch eine Auslassleitung 16 über ein Druckeinstellventil 22, eine Vakuumpumpe 21 und eine Gasreinigungsvorrichtung 23 nach außen ausgegeben. Der Unterschied zur ersten Ausführungsform besteht darin, dass im ersten Dünnfilm-Herstellraum 20a kein gesonderter Verdünnungsgas-Zuführstutzen vorhanden ist. Für diese zweite Ausführungsform erfolgt nun eine Beschreibung zur Herstellung eines amorphen Si-Films.
  • Wenn ein amorpher Si-Film hergestellt wird, wird Quellengas durch die mehreren Schaueröffnungen (Quellengas-Zuführöffnungen 10) in jeder einzelnen Kathodenelektrode 2 in die einzelnen Dünnfilm-Herstellräume 20 eingeleitet. In diesem Fall wird das Quellengas (SiH4-Gas) dem ersten Dünnfilm-Herstellraum 20a von der Schauerplatte 2 zugeführt. Eine optimale Bedingung zum Herstellen eines amorphen Si-Films ist z. B. ein Gasverhältnis SiH4/H2 = 30 – 300/300 (sccm). Unter diesen Bedingungen werden, wenn wie bei der ersten Ausführungsform das Verdünnungsgas vom Rand des Dünnfilm-Herstellraums 20 im ersten Dünnfilm-Herstellraum 20a zugeführt wird, nur 10 – 20 % des Quellengases (SiH4) verbraucht. Dadurch bildet sich zur Seite des Auslassstutzens (Auslassgas-Strömungspfad 14) ausgehend von der Seite des Verdünnungsgas-Zuführstutzens 7 ein stationärer Gaskonzentrationsgradient auf. Dies bewirkt, dass auf der Seite des Auslassstutzens Quellengas mit hoher Konzentration vorhanden ist, wodurch keine gleichmäßige Abscheidung in der Ebene erfolgen kann. Aus diesem Grund wird, wie es in der 2 dargestellt ist, beim Herstellen eines amorphen Si-Films ein Gasgemisch (SiH4 + H2) aus dem Quellengas und dem Verdünnungsgas als Gas verwendet, das dem ersten Dünnfilm-Herstellraum 20a zuzuführen ist, und dieses Gasgemisch wird von der Schauerplatte 2 in der Ebene gleichmäßig zugeführt.
  • Nun sei angenommen, dass das Auslassgas aus dem ersten Dünnfilm-Herstellraum 20a als Speisegas verwendet wird, das in den zweiten Dünnfilm-Herstellraum 20b einzuspeisen ist. In diesem Fall kann als Speisegas für den zweiten Dünnfilm-Herstellraum 20b das Quellengas (SiH4-Gas) zum Auslassgas aus dem ersten Dünnfilm-Herstellraum 20a zugesetzt werden, um den Teil zu kompensieren, der im ersten Dünnfilm-Herstellraum 20a verbraucht wurde. Infolgedessen ist bei diesem Aufbau eine Trennwand 1 vorhanden, um den Auslassgas-Strömungspfad 14 zu begrenzen, und ein Quellengaseinlass 15 ist auch im Dünnfilm-Herstellraum 20b vorhanden, um Quellengas von außen in die Kathodenelektrode 2 (Schauerplatte) zu leiten. Unter Verwendung dieses Aufbaus wird Quellengas (SiH4-Gas) zusätzlich in die Schauerplatte 2 geliefert, um den verbrauchten Teil an Quellengas zu kompensieren.
  • Auch ist bei der zweiten Ausführungsform der nach außen füh rende Auslassstutzen zum Auslassen des Auslassgases zur Außenseite des Systems nur seitens des Dünnfilm-Herstellraums 20b vorhanden. Dieser Aufbau ermöglicht es, das Verdünnungsgas im ersten Dünnfilm-Herstellraum 20a auch im zweiten Dünnfilm-Herstellraum 20b zu verwenden. Demgemäß kann die Verbrauchsmenge an Verdünnungsgas deutlich gesenkt werden.
  • DRITTE AUSFÜHRUNGSFORM
  • Bei der in der 3 schematisch dargestellten Struktur der dritten Ausführungsform einer erfindungsgemäßen Abscheidungsvorrichtung 50 sind Dünnfilm-Herstellräume 20 dadurch ausgebildet, dass Entladungsräume, die jeweils durch eine Kathodenelektrode 2 und eine Anodenelektrode 4 eingebettet sind, gesondert in mehreren Reaktionskammern 11 vorhanden sind. In jedem der Dünnfilm-Herstellräume 20 sind Quellengas-Zuführöffnungen 10 vorhanden. Ein Auslassgas im Dünnfilm-Herstellraum 20 auf einer Seite der Kammer 11, d. h. in einem ersten Dünnfilm-Herstellraum 20a einer ersten Kammer 11a, ist in den Dünnfilm-Herstellraum 20 in der Kammer 11 auf der anderen Seite, d. h. einen zweiten Dünnfilm-Herstellraum 20b in einer zweiten Kammer 11b, durch einen Auslassgas-Strömungspfad 14, genauer gesagt eine Gaszuführleitung 3 zum Verbinden der einzelnen Kammern 11, einleitbar. Außerdem wird bei diesem Aufbau das Auslassgas aus dem zweiten Dünnfilm-Herstellraum 20b durch einen nach außen führenden Auslassstutzen 9, der im zweiten Dünnfilm-Herstellraum 20b vorhanden ist, durch eine Auslassleitung 16 über eine Druckeinstelleinheit 22, eine Vakuumpumpe 21 und eine Gasreinigungsvorrichtung 23 zu Außenseite des Systems ausgelassen. Der Unterschied gegenüber der zweiten Ausführungsform besteht darin, dass die einzelnen Dünnfilm-Herstellräume 20 unabhängig voneinander in einzelnen Reaktionskammern 11 vorhanden sind, wobei der Grundaufbau derselbe wie bei der Abscheidungsvorrichtung 40 der zweiten Ausführungsform ist, weswegen entsprechende Teile auch mit denselben Bezugszeichen gekennzeichnet sind.
  • Jede der Kammern 11 besteht aus rostfreiem Stahl oder einer Aluminiumlegierung. Zusammengesetzte Teile der Kammern 11 sind durch O-Ringe oder ähnliche Komponenten vollständig abgedichtet. Die Gaszuführleitung 3 oder die Auslassleitung 16 ist mit der Kammer 11 verbunden, um die einzelnen Auslassgase aus ihr auszulassen, und die Druckeinstelleinheit 22 ist in jede Leitungseinheit eingesetzt, damit der Unterdruck in jeder Einzelkammer 11 auf einen wahlfreien Wert eingestellt werden kann. Jedoch ist die Vakuumpumpe 21 in der Auslassleitung 16 der zweiten Kammer 11b vorhanden. Der Kathodenelektrode 2 der zweiten Kammer 11b muss eine große Menge an Auslassgas zugeführt werden, so dass die Gaszuführleitung 3 der ersten Kammer 11a einen ausreichenden Durchmesser aufweisen sollte, wobei sie auch mit der kürzesten Leitungslänge angebracht sein sollte. Die Gasreinigungsvorrichtung 23 ist mit der Auslassseite der Vakuumpumpe 21 verbunden, um schädliche Substanzen zu entfernen, wie sie im Auslassgas nach einer Reaktion mit dem in jede Einzelkammer 11 eingeleiteten Reaktionsgas (Quellengas) enthalten sind.
  • Die Kammern 11 sind jeweils wie folgt konfiguriert. Die Anodenelektrode 4 besteht aus einem Material mit Leitfähigkeit und Wärmebeständigkeit, wie rostfreiem Stahl, einer Aluminiumlegierung oder Kohlenstoff. Die Abmessungen der Anodenelektrode werden abhängig von den Abmessungen eines zum Herstellen des Dünnfilms verwendeten Glassubstrats festgelegt. Bei der vorliegenden Ausführungsform verfügt die Anodenelektrode für ein Substrat von 900 – 1200 mm auf 400 – 900 mm über eine Länge von 1000 – 1500 mm und eine Breite von 600 – 1000 mm.
  • In die Anodenelektrode 4 ist auf der Rückseite in Bezug auf den Dünnfilm-Herstellraum 20 ein Heizer 24 eingebaut, durch den die Anodenelektrode 4 auf eine Temperatur im Bereich von der Raumtemperatur bis 300°C erwärmt wird. Bei der vorliegenden Ausführungsform ist für die Anodenelektrode 4 eine Vorrichtung verwendet, die z. B. aus einem eingeschlossenen Heizer wie einem Mantelheizer oder einem eingeschlossenen Temperatursensor wie einem Thermoelement besteht, die in die Aluminiumlegierung eingebaut sind.
  • Die Kathodenelektrode 2 hat die Funktion einer Schauerplatte, bei der mehrere Schaueröffnungen (Quellengas-Zuführöffnungen) in der Fläche auf der der Anodenelektrode 4 zugewandten Seite verteilt sind. Bei dieser Konfiguration wird das Quellengas von einem Quellengaseinlass 15 in die Kathodenelektrode 2 (Schauerplatte) von außen her eingeleitet. Dadurch kann eingeleitetes Gas aus den Quellengas-Zuführöffnungen 10 gleichmäßig in den Dünnfilm-Herstellraum 20 eingeleitet und in ihm verteilt werden. Außerdem ist die Kathodenelektrode 2 mit einer hochfrequenten Plasmaanregungs-Spannungsquelle 12 und einer Impedanzanpasseinheit 13 verbunden.
  • Vom Quellengaseinlass 15 wird ein Gasgemisch (SiH4 + H2) aus dem Quellengas und dem Verdünnungsgas der Schauerplatte 2 in der ersten Kammer 11a zugeführt. Das Auslassgas aus der ersten Kammer 11a wird der Schauerplatte 2 in der zweiten Kammer 11b durch die Gaszuführleitung 3 (Auslassgas-Strömungspfad 14) zugeführt.
  • Ähnlich wie bei der in der 2 dargestellten zweiten Ausführungsform wird das Quellengas (SiH4-Gas) zur Kompensation ergänzend an den zweiten Dünnfilm-Herstellraum 20b geleitet. Infolgedessen ist an der zweiten Kammer 11b der Quellengaseinlass 15 dazu vorhanden, Quellengas von außen in die Kathodenelektrode 2 (Schauerplatte) einzuleiten. Dadurch kann im zweiten Dünnfilm-Herstellraum 20b der zweiten Kammer 11b ein Dünnfilm hergestellt werden, der identisch mit dem ist, der im ersten Dünnfilm-Herstellraum 20a hergestellt wird. Außerdem kann das Verdünnungsgas im ersten Dünnfilm-Herstellraum 20a auch im zweiten Dünnfilm-Herstellraum 20b verwendet werden, so dass die Nutzungsmenge an Verdünnungsgas deutlich gesenkt werden kann.
  • Zum Vereinfachen der Beschreibung wurde für die bisherigen Ausführungsformen jeweils ein Aufbau mit zwei Dünnfilm-Herstellräumen 20 beschrieben, jedoch ist zu beachten, dass für die Anzahl der Dünnfilm-Herstellräume 20 keine Beschränkung hierauf besteht. Auch besteht für die angegebenen Abmessungen, Materialien und dergleichen keine Beschränkung auf die bei den oben beschriebenen Ausführungsformen angegebenen Werte.
  • Für jede der oben beschriebenen einzelnen Ausführungsformen sei nun angenommen, dass drei oder mehr Dünnfilm-Herstellräume 20 vorhanden seien. Dann ist der Aufbau vorzugsweise dergestalt, dass, wie bei jeder vorstehend beschriebenen einzelnen Ausführungsform, die einzelnen Dünnfilm-Herstellräume 20 über einen Auslassgas-Strömungspfad 14 in Reihe miteinander verbunden sind und dass der Raum, in den Verdünnungsgas eingeleitet wird, auf einen einzigen der Dünnfilm-Herstellräume 20 beschränkt ist. Ferner ist auch der Raum zum Auslassen des Auslassgases zur Außenseite des Systems durch den nach außen führenden Auslassstutzen, die Auslassleitung 16 und dergleichen vorzugsweise auf einen einzelnen der Dünnfilm-Herstellräume 20 beschränkt. Bei einer solchen Konfiguration wird das Auslassgas aus einem Dünnfilm-Herstellraum 20 durch den Auslassgas-Strömungspfad 14 in einen anderen der Dünnfilm-Herstellräume 20 eingespeist. Dadurch kann, ähnlich wie bei jeder oben beschriebenen einzelnen Ausführungsform, das Verdünnungsgas auch in den folgenden Dünnfilm-Herstellräumen 20 gemeinsam genutzt werden. Demgemäß muss selbst bei einer Konfiguration mit einer größeren Anzahl von Dünnfilm-Herstellräumen 20 die Verwendungsmenge an Verdünnungsgas nicht erhöht werden, was es ermöglicht, diese Menge deutlich zu senken.
  • Wie oben beschrieben, können durch eine erfindungsgemäße Abscheidungsvorrichtung und ein erfindungsgemäßes Abscheidungsverfahren die Verbrauchsmengen an Gasen (insbesondere eines Verdünnungsgases) deutlich gesenkt werden. Dies ermöglicht eine entsprechende Senkung der Vorrichtungskosten für das Auslasssystem, das Gasreinigungssystem und dergleichen, und es ist möglich, auf billige Weise Halbleiterbauteile herzustellen, wie z. B. Solarzellen unter Verwendung von entweder Halbleiter-Dünnfilmen oder optischen Dünnfilmen, TFTs (Dünnschichttransistoren) oder lichtempfindlichen Bauteilen.

Claims (16)

  1. Abscheidungsvorrichtung mit mehreren Dünnfilm-Herstellräumen (20) zum Herstellen derselben Dünnfilme, dadurch gekennzeichnet, dass: – in jedem der mehreren Dünnfilm-Herstellräume Quellengas-Zuführöffnungen (10) vorhanden sind, die mindestens ein Quellengas zuführen können; und – ein Auslassgas aus mindestens einem der mehreren Dünnfilm-Herstellräume in einen anderen derselben eingespeist werden kann.
  2. Abscheidungsvorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass: – zusätzlich zu den Quellengas-Zuführöffnungen (10) ein Verdünnungsgas-Zuführstutzen (7) nur in einem oder mehreren ersten Dünnfilm-Herstellräumen (20a), die als Teil der mehreren Dünnfilm-Herstellräumen vorhanden sind, vorliegt; und – das Auslassgas aus dem ersten Dünnfilm-Herstellraum in mindestens einen von zweiten Dünnfilm-Herstellräumen (20b) eingeleitet werden kann, die Teil der mehreren Dünnfilm-Herstellräumen sind und nicht den ersten Dünnfilm-Herstellraum bilden.
  3. Abscheidungsvorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass: – ein Verdünnungsgas Quellengas-Zuführöffnungen (10) nur einem oder mehreren ersten Dünnfilm-Herstellräumen (20b), die als Teil der mehreren Dünnfilm-Herstellräumen vorhanden sind, zugeführt werden kann; und – das Auslassgas aus dem ersten Dünnfilm-Herstellraum in mindestens einen von zweiten Dünnfilm-Herstellräumen (20b) eingeleitet werden kann, die Teil der mehreren Dünnfilm-Herstellräumen sind und nicht den ersten Dünnfilm-Herstellraum bilden.
  4. Abscheidungsvorrichtung nach Anspruch 2 oder 3, dadurch gekennzeichnet, dass in mindestens einem der zweiten Dünnfilm-Herstellräume (20b) ein nach außen führender Auslassstutzen (9) vorhanden ist, um das Auslassgas in einen äußeren Abschnitt auszulassen, der nicht einer der mehreren Dünnfilm-Herstellräume ist.
  5. Abscheidungsvorrichtung nach Anspruch 4, dadurch gekennzeichnet, dass: – ein einzelner erster Dünnfilm-Herstellraum (20b) vorhanden ist; und – ein einzelner zweiter Dünnfilm-Herstellraum (20b) vorhanden ist, an dem der nach außen führende Auslassstutzen (9) vorhanden ist.
  6. Abscheidungsvorrichtung nach Anspruch 5, dadurch gekennzeichnet, dass dann, wenn die Anzahl der mehreren Dünnfilm-Herstellräume drei oder mehr ist, das Auslassgas aus dem zweiten Dünnfilm-Herstellraum (20b), an dem kein nach außen führender Auslassstutzen vorhanden ist, einem anderen der zweiten Dünnfilm-Herstellräume zuführbar ist.
  7. Abscheidungsvorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Quellengas-Zuführöffnungen (10) in Form mehrerer Verteiler einer Abscheidungsebene gegenüber stehend vorhanden sind.
  8. Abscheidungsvorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass jeder der mehreren Dünnfilm-Herstellräume (20) zwischen einer Kathodenelektrode (2) und einer Anodenelektrode (4), die einander gegenüber stehen, ausgebildet ist.
  9. Abscheidungsvorrichtung nach Anspruch 8, dadurch gekennzeichnet, dass die Quellengas-Zuführöffnungen (10) an der Kathodenelektrode (2) vorhanden sind.
  10. Abscheidungsvorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die mehreren Dünnfilm-Herstellräume (20) in einer einzelnen Reaktionskammer (11) ausgebildet sind.
  11. Abscheidungsvorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass jede der mehreren Dünnfilm-Herstellräume (20a, 20b) gesondert in jeweils einer Reaktionskammer (11a, 11b) ausgebildet ist.
  12. Abscheidungsverfahren zum gleichzeitigen Herstellen derselben Dünnfilme in mehreren Dünnfilm-Herstellräumen, dadurch gekennzeichnet, dass: – mindestens ein Quellengas in jeden der mehreren Dünnfilm-Herstellräume eingeleitet wird und – ein Auslassgas aus mindestens einem der mehreren Dünnfilm-Herstellräume in einen anderen derselben eingeleitet wird.
  13. Abscheidungsverfahren nach Anspruch 12, dadurch gekennzeichnet, dass: – zusätzlich zum Quellengas ein Verdünnungsgas in nur einen oder mehrere erste Dünnfilm-Herstellräume eingeleitet wird, die als Teil der mehreren Dünnfilm-Herstellräume vorhanden sind; und – das Auslassgas aus dem ersten Dünnfilm-Herstellraum in mindestens einen von zweiten Dünnfilm-Herstellräumen eingeleitet wird, der Teil der mehreren Dünnfilm-Herstellräume ist und nicht der erste Dünnfilm-Herstellraum ist.
  14. Abscheidungsverfahren nach Anspruch 12, dadurch gekennzeichnet, dass: – ein Gasgemisch aus dem Quellengas und einem Verdünnungsgas nur in einen oder mehrere erste Dünnfilm-Herstellräume eingeleitet wird, die als Teil der mehreren Dünnfilm-Herstellräume vorhanden sind; und – das Auslassgas aus dem ersten Dünnfilm-Herstellraum in mindestens einen von zweiten Dünnfilm-Herstellräumen eingeleitet wird, der Teil der mehreren Dünnfilm-Herstellräume ist und nicht der erste Dünnfilm-Herstellraum ist.
  15. Abscheidungsverfahren nach Anspruch 12, dadurch gekennzeichnet, dass: – das Auslassgas aus einem oder mehreren ersten Dünnfilm-Herstellräumen in mindestens einen von zweiten Dünnfilm-Herstellräumen eingeleitet wird, der Teil der mehreren Dünnfilm-Herstellräume ist und nicht der erste Dünnfilm-Herstellraum ist; und – die Strömungsrate eines zumindest das Quellengas enthaltenden Gases, das in den ersten Dünnfilm-Herstellraum einzuleiten ist, und die Konzentration des Quellengases verschieden von der Strömungsrate eines zumindest das Quellengas enthaltenden Gases, das in einen zweiten Dünnfilm-Herstellraum einzuleiten ist, in den das Auslassgas aus dem ersten Dünnfilm-Herstellraum eingeleitet wird, und der Konzentration des Quellengases sind.
  16. Abscheidungsverfahren nach einem der Ansprüche 13 bis 15, dadurch gekennzeichnet, dass Auslassgas aus mindestens einem der zweiten Dünnfilm-Herstellräume an einen externen Bereich ausgegeben wird, zu dem nicht die mehreren Dünnfilm-Herstellräume gehören.
DE102004013626A 2003-03-24 2004-03-19 Verfahren und Vorrichtung zur Abscheidung dünner Schichten Expired - Fee Related DE102004013626B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP03-080876 2003-03-24
JP2003080876A JP2004288984A (ja) 2003-03-24 2003-03-24 成膜装置及び成膜方法

Publications (2)

Publication Number Publication Date
DE102004013626A1 true DE102004013626A1 (de) 2004-10-21
DE102004013626B4 DE102004013626B4 (de) 2009-03-05

Family

ID=32984940

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004013626A Expired - Fee Related DE102004013626B4 (de) 2003-03-24 2004-03-19 Verfahren und Vorrichtung zur Abscheidung dünner Schichten

Country Status (3)

Country Link
US (1) US20040187785A1 (de)
JP (1) JP2004288984A (de)
DE (1) DE102004013626B4 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP4185483B2 (ja) * 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
JP2006196681A (ja) * 2005-01-13 2006-07-27 Sharp Corp プラズマ処理装置および同装置により製造された半導体素子
JP4584722B2 (ja) * 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
ES2373915T3 (es) * 2005-03-07 2012-02-10 Sharp Kabushiki Kaisha Aparato para tratamiento con plasma y método de manufactura de película de gas semiconductora utilizando el mismo.
US20090169341A1 (en) * 2008-01-01 2009-07-02 Dongguan Anwell Digital Machinery Ltd. Method and system for handling objects in chambers
JP5039576B2 (ja) * 2008-01-11 2012-10-03 シャープ株式会社 プラズマ処理装置
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
CN101882647B (zh) 2010-06-11 2012-01-25 深圳市创益科技发展有限公司 一种硅基薄膜太阳能电池活动夹具
CN101880868B (zh) 2010-06-11 2012-03-07 深圳市创益科技发展有限公司 一种硅基薄膜太阳能电池的沉积盒
CN101882646B (zh) 2010-06-11 2012-01-25 深圳市创益科技发展有限公司 薄膜太阳能电池沉积夹具
US9595661B2 (en) 2013-07-18 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory structure and method of forming the same

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
JPS5846057B2 (ja) * 1979-03-19 1983-10-14 富士通株式会社 プラズマ処理方法
US4287851A (en) * 1980-01-16 1981-09-08 Dozier Alfred R Mounting and excitation system for reaction in the plasma state
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
US4825806A (en) * 1984-02-17 1989-05-02 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Film forming apparatus
JPH0644554B2 (ja) * 1984-03-28 1994-06-08 株式会社富士電機総合研究所 プラズマcvd装置
US4664890A (en) * 1984-06-22 1987-05-12 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Glow-discharge decomposition apparatus
US5061359A (en) * 1985-01-17 1991-10-29 International Business Machines Corporation Plasma processing apparatus including three bus structures
US4676865A (en) * 1986-02-07 1987-06-30 Delarge Richard S Plasma desmear/etchback system and method of use
US4887005A (en) * 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5041201A (en) * 1988-09-16 1991-08-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
DE69032952T2 (de) * 1989-11-15 1999-09-30 Kokusai Electric Co Ltd Trocken-Behandlungsvorrichtung
JP2628404B2 (ja) * 1990-10-25 1997-07-09 日亜化学工業株式会社 半導体結晶膜の成長方法
US5082547A (en) * 1991-02-01 1992-01-21 Plasma Etch Plasma etching reactor
JPH05209279A (ja) * 1991-10-29 1993-08-20 Canon Inc 金属膜形成装置および金属膜形成法
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
JPH08279495A (ja) * 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
JPH0950992A (ja) * 1995-08-04 1997-02-18 Sharp Corp 成膜装置
JP3037597B2 (ja) * 1995-11-06 2000-04-24 三容真空工業株式会社 ドライエッチング装置
JP3844274B2 (ja) * 1998-06-25 2006-11-08 独立行政法人産業技術総合研究所 プラズマcvd装置及びプラズマcvd方法
US6349670B1 (en) * 1998-11-30 2002-02-26 Alps Electric Co., Ltd. Plasma treatment equipment
JP2000294393A (ja) * 1999-04-05 2000-10-20 Canon Inc 放電ランプの制御装置及び制御方法
US6821379B2 (en) * 2001-12-21 2004-11-23 The Procter & Gamble Company Portable apparatus and method for treating a workpiece
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
JP4185483B2 (ja) * 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
JP4584722B2 (ja) * 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
JP2006196681A (ja) * 2005-01-13 2006-07-27 Sharp Corp プラズマ処理装置および同装置により製造された半導体素子

Also Published As

Publication number Publication date
DE102004013626B4 (de) 2009-03-05
JP2004288984A (ja) 2004-10-14
US20040187785A1 (en) 2004-09-30

Similar Documents

Publication Publication Date Title
DE69011052T2 (de) Chemische Gasphasen-Beschichtungsanlage der In-Line-Bauart.
DE69126122T2 (de) Methode und apparat zum wachsen von verbindungshalbleiterkristallen
DE60035948T2 (de) Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
DE112008000169T5 (de) Gasbehandlungssysteme
DE60129380T2 (de) Vorrichtung und Verfahren zum Auftragen einer Dünnschicht auf einen Wafer durch Abscheidung von atomaren Schichten
EP1929066B1 (de) Vorrichtung und verfahren zur kontinuierlichen gasphasenabscheidung unter atmosphärendruck und deren verwendung
DE112006003315T5 (de) Gaskopf und Dünnfilm-Herstellungsvorrichtung
DE3923390C2 (de)
DE102008019023B4 (de) Vakuum-Durchlaufanlage zur Prozessierung von Substraten
DE102012209244B4 (de) Eine Vorrichtung zum Dünnfilm-Abscheiden
DE102004013626B4 (de) Verfahren und Vorrichtung zur Abscheidung dünner Schichten
EP2521804B1 (de) Inline-beschichtungsanlage
DE102008010041A1 (de) Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
DE60124674T2 (de) Heizelement für einen cvd-apparat
DE112012001864T5 (de) Halbleitersubstratbearbeitungssystem
DE102005038873A1 (de) Vielkammer-MOCVD-Aufwachsvorrichtung für hohe Geschwindigkeit/ hohen Durchsatz
DE112011102327T5 (de) Schichtbildungsvorrichtung
DE112010000869B4 (de) Plasmaverarbeitungsvorrichtung und Verfahren zum Bilden monokristallinen Siliziums
DE3644652A1 (de) Verfahren zur herstellung einer elektronischen vorrichtung mit einer vielschichtigen struktur und eine dadurch erhaltene elektronische vorrichtung
DE3336064A1 (de) Verfahren und vorrichtung zur erzeugung einer schicht auf einem substrat
DE102011110410A1 (de) Multilayer film formation method and film deposition apparatus used with the method
EP1861520B1 (de) Gaseinlassorgan f]r einen cvd-reaktor
DE2052221C3 (de) Verfahren zum Erzeugen einer Siliciumoxidschicht auf einem Süiciumsubstrat und Vorrichtung zur Durchführung dieses Verfahrens
DE68906802T2 (de) Verfahren zur Bildung eines funktionellen aufgedampften Films aus Gruppe -III- und -V-Atomen als Hauptkomponentenatome durch chemisches Mikrowellen-Plasma-Aufdampfverfahren.
DE102016212534B4 (de) Herstellungsverfahren und Vorrichtung zum Herstellen eines Siliziumkarbid-Epitaxialwafers

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20141001