CN1940977B - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN1940977B
CN1940977B CN2006101595715A CN200610159571A CN1940977B CN 1940977 B CN1940977 B CN 1940977B CN 2006101595715 A CN2006101595715 A CN 2006101595715A CN 200610159571 A CN200610159571 A CN 200610159571A CN 1940977 B CN1940977 B CN 1940977B
Authority
CN
China
Prior art keywords
conductive layer
layer
insulation course
substrate
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006101595715A
Other languages
English (en)
Other versions
CN1940977A (zh
Inventor
楠本直人
高桥秀和
小林由佳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1940977A publication Critical patent/CN1940977A/zh
Application granted granted Critical
Publication of CN1940977B publication Critical patent/CN1940977B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49855Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers for flat-cards, e.g. credit cards
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K19/00Record carriers for use with machines and with at least a part designed to carry digital markings
    • G06K19/06Record carriers for use with machines and with at least a part designed to carry digital markings characterised by the kind of the digital marking, e.g. shape, nature, code
    • G06K19/067Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components
    • G06K19/07Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components with integrated circuit chips
    • G06K19/077Constructional details, e.g. mounting of circuits in the carrier
    • G06K19/07749Constructional details, e.g. mounting of circuits in the carrier the record carrier being capable of non-contact communication, e.g. constructional details of the antenna of a non-contact smart card
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K19/00Record carriers for use with machines and with at least a part designed to carry digital markings
    • G06K19/06Record carriers for use with machines and with at least a part designed to carry digital markings characterised by the kind of the digital marking, e.g. shape, nature, code
    • G06K19/067Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components
    • G06K19/07Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components with integrated circuit chips
    • G06K19/077Constructional details, e.g. mounting of circuits in the carrier
    • G06K19/07749Constructional details, e.g. mounting of circuits in the carrier the record carrier being capable of non-contact communication, e.g. constructional details of the antenna of a non-contact smart card
    • G06K19/0775Constructional details, e.g. mounting of circuits in the carrier the record carrier being capable of non-contact communication, e.g. constructional details of the antenna of a non-contact smart card arrangements for connecting the integrated circuit to the antenna
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明的目的在于提供一种半导体装置,该半导体装置能够实现批量生产,而且具有跟现有的小型元件不同的结构。本发明的目的还在于提供一种可以提高强度,并可以抑制在制造步骤中的元件的损坏,且可靠性以及成品率高的半导体装置的结构以及其制造方法。本发明的半导体装置包括:具有集成电路的层;形成在具有集成电路的层上,而且与具有集成电路的层电连接的第一端子;形成在第一端子上,而且与第一端子电连接的起天线作用的导电层;形成在具有集成电路的层上,而且与具有集成电路的层、起天线作用的导电层、第一端子不电连接的第二端子。

Description

半导体装置
技术领域
本发明涉及一种安装有薄膜集成电路的半导体装置,其中所述薄膜集成电路具有存储器、微处理器(中央处理部分,MPU)等而且厚度像纸一样薄并具有弯曲柔性。本发明还涉及一种具有该薄膜集成电路以及天线的非接触型的半导体装置,其主要用于辨别人、动植物、商品和纸币等的卡、标签、签条等。
背景技术
近年,对于能够发送/接收数据的半导体装置的研究是一个热点,这种半导体装置被称为IC芯片、RF标签、无线标签、电子标签、无线处理器、无线存储器等。虽然已经投入实际应用的半导体装置大多由单晶硅衬底形成。但是,制作在玻璃衬底上的薄膜晶体管电路或将它转置在有机树脂膜等上的极薄型的半导体装置的产品化也逐渐在实现。
这种半导体装置由于其多用性而多以诸如薄片型、卡片型等的产品形态而被使用。因此,它需要为薄且/或具有柔软性,采用通过磨削以及研磨硅衬底或玻璃衬底的衬底背面而使半导体元件薄型化的方法,以及/或将元件制作得极小而避免发生弯曲损坏的结构。在转置到有机树脂膜等的情况下,通过选择被转置一侧的衬底的材料的性质或厚度而可以控制弯曲性。
在将天线接在半导体元件的外部的半导体装置中,通过ACP(各向异性导电胶,Anisotropic Conductive Paste)、ACF(各向异性导电膜,Anisotropic Conductive Film)、NCP(非导电胶,Non ConductivePaste)、NCF(非导电膜,Non Conductive Film)等,与薄型化了的元件电连接的端子和外部天线连接,并且由薄膜或树脂密封而可以制造诸如卡片或标签等薄型的产品。此外,在将天线直接形成在元件上的天线内装型的半导体装置中,通过直接用薄膜或树脂密封天线以及半导体元件,而可以制造跟将天线接在其外部的半导体装置同样的产品。作为天线,使用通过丝网印刷法等在树脂膜上形成导电性树脂来制造,而且使用具有弯曲性的天线。
通常,不管是在外部接上还是内部装有,半导体元件与天线都用最低限度的端子数目电连接,以进行授受电力以及信号。例如,在使用13.56MHz的频率的情况下,使用环形天线,将以其最内周和最外周为头的连接端子与元件中的电力供给兼信号输入/输出端子分别连接。此外,在使用更高频带的UHF带的情况下,采用以元件为中心在其左右配置柱状的天线,而且柱子的每个内端与元件中的电力供给兼信号输入/输出端子分别连接的结构(例如,参照专利文件1)。
[专利文件1]日本专利申请公开2005-202947号公报
然而,将元件与天线材料连接而制造的具有弯曲性的产品有以下问题,即对弯曲或拧等的动态压力弱,并且容易发生故障等等。上述问题是因以下的原因而引起的。即,当施加弯曲压力时元件衬底被损坏,或因一部分的连接部分成为支撑点而连接部分被损坏。
为了防止发生上述问题,需要使现有的元件自身更小,而且使元件自身不弯曲。为此发生以下问题,即在天线内装型的半导体装置中对天线的大小有限制,而这样会使通讯距离变短等。此外,还有由于元件面积有限制,存储容器等的大小和/或种类受到限定的问题。
再者,在元件面积为小的情况下,不能确保为了形成端子的宽广的面积,因此除了上述那样的限定以外,还需要设法夹着绝缘层在元件上设置端子等。为此存在一个问题就是制造元件的步骤数目会增加,而这又成为成品率下降或成本增加的主要原因之一。
此外,在端子数目少的情况下,当进行天线材料与端子的压力结合时,负荷集中在端子部分而损坏元件自身,或施加于每个端子的负荷不均匀,成为成品率下降的一个主要原因。
发明内容
在本发明中,提供可以进行批量生产,并且具有和现有的微型元件不同结构的半导体装置。再者,本发明的目的在于提供可以提高强度,并可以在制造步骤中抑制元件的损坏,且可靠性和成品率高的半导体装置的结构以及半导体装置的制造方法。
本发明的半导体装置包括形成在玻璃衬底等的硬质平面衬底上的晶体管、通过磨削和研磨上述硬质平面衬底的背面而具有弯曲性的元件、或者将包括晶体管的元件区域转置在具有弯曲性的树脂衬底等上来制造的元件。更详细地,本发明的半导体装置具有以下结构:将天线连接到通过磨削和研磨硬质平面衬底的背面而得到的薄型化的元件的结构,或将天线连接到将元件区域转置在具有弯曲性的其他树脂衬底等上而制造的元件的结构。并且通过在布线上以及天线一侧设置多个端子,而在元件面内的不同的位置设置多个电连接的部分。注意,在本发明中,多个端子不表示每个都电独立或信号独立的端子,而表示与布线中的任何一个连接的端子。通过形成比最低限度必要的端子数目更多的上述多个端子,分散成为弯曲的支撑点的区域,因此可以分散施加于一个端子的压力。
再者,作为本发明的半导体装置的结构之一,通过将起天线作用的导电层与端子或布线连接的地方设为三个或更多,而由双方的位置关系二维性地形成面,为此即使对一对的端子施加平行的弯曲压力,同样的压力也不会施加到其他端子上。因此,即使万一由于压力而发生连接端子的损坏,如果在同一布线上的其他端子结合没有问题,就不会损害元件的可靠性,而且可以实现冗余设计。此外,除了上述的结构以外,也可以追加形成多个与电无关的端子(以下,也表示为伪端子)。这种伪端子无助于冗余性,但是当布线位置在元件面内偏颇时是有效的,伪端子可以设置在元件内的任意位置。注意,本发明的伪端子可以设置在与电连接于天线以及晶体管的端子的位置对称的位置。以下,说明本发明半导体装置的详细的结构。
本发明的半导体装置包括:形成在集成电路上的绝缘层;形成在绝缘层的表面上的第一端子以及第二端子;形成在第一端子上而且与第一端子电连接的起天线作用的导电层,其中,第二端子与导电层电隔离。
本发明的半导体装置包括:形成在集成电路上的绝缘层;形成在绝缘层的表面上的第一端子;形成在第一端子上而且与第一端子电连接的起天线作用的导电层;设置在起天线作用的导电层上的衬底;在跟第一端子相同的表面上以相同的层而形成的与导电层电隔离的第二端子,其中,第一端子和起天线作用的导电层之间的距离,与第二端子和衬底之间的距离大约相同。
本发明的半导体装置包括:形成在集成电路上的绝缘层;形成在绝缘层的表面上的第一端子;形成在第一端子上而且与第一端子电连接的起天线作用的导电层;在跟第一端子相同的表面上以相同的层而形成的与导电层电隔离的第二端子;在跟起天线作用的导电层相同的表面上以相同的层而形成的与起天线作用的导电层电隔离的由导电材料构成的层。
本发明的半导体装置包括:形成在集成电路上的绝缘层;形成在绝缘层的表面上的两个第一端子;形成在第一端子上而且与第一端子电连接的起天线作用的导电层;在跟第一端子相同的表面上以相同的层而形成的与导电层电连接的一个或更多的第二端子。
所述集成电路包括晶体管。
本发明的半导体装置包括:形成在衬底上的晶体管;设置在晶体管上的第一绝缘层;通过设置在第一绝缘层中的开口部分与晶体管的源极或漏极连接的第一导电层;设置在第一绝缘层上的第二导电层;设置在第一绝缘层、第一导电层以及第二导电层上的第二绝缘层;为填充设置在第二绝缘层中的开口部分而设置的与第二导电层接触的第三导电层;设置在第二绝缘层上的由导电材料构成的层;通过导电性物质与第三导电层电连接的第四导电层,其中,由导电材料构成的层与第一导电层、第二导电层、第三导电层以及第四导电层电隔离。
本发明的半导体装置包括:形成在衬底上的晶体管;设置在晶体管上的第一绝缘层;通过设置在第一绝缘层中的开口部分与晶体管的源极或漏极连接的第一导电层;设置在第一绝缘层上的第二导电层;设置在第一绝缘层、第一导电层以及第二导电层上的第二绝缘层;为填充设置在第二绝缘层中的开口部分而设置的与第二导电层接触的第三导电层;设置在第二绝缘层上的由导电材料构成的层;通过导电性物质与第三导电层电连接的第四导电层;设置在第四导电层上的衬底,其中,由导电材料构成的层与第一导电层、第二导电层、第三导电层以及第四导电层电隔离。并且从第三导电层到第四导电层之间的距离与由第一导电材料构成的层和衬底之间的距离大约相同。
本发明的半导体装置包括:形成在衬底上的晶体管;设置在晶体管上的第一绝缘层;通过设置在第一绝缘层中的开口部分与晶体管的源极或漏极连接的第一导电层;设置在第一绝缘层上的第二导电层;设置在第一绝缘层、第一导电层以及第二导电层上的第二绝缘层;为填充设置在第二绝缘层中的开口部分而设置的与第二导电层接触的第三导电层;通过导电性物质与第三导电层电连接的第四导电层;与第一导电层、第二导电层、第三导电层以及第四导电层电隔离而且在跟第四导电层相同的表面上以相同的层设置的由导电材料构成的层。
本发明的半导体装置包括:形成在衬底上的晶体管;设置在晶体管上的第一绝缘层;通过设置在第一绝缘层中的开口部分与晶体管的源极或漏极连接的第一导电层;设置在第一绝缘层上的第二导电层;设置在第一绝缘层、第一导电层以及第二导电层上的第二绝缘层;为填充设置在第二绝缘层中的开口部分而设置的与第二导电层接触的第三导电层;设置在第二绝缘层上的由第一导电材料构成的层;通过导电性物质与第三导电层电连接的第四导电层;在跟第四导电层相同的表面上以相同的层设置的由第二导电材料构成的层,其中,由第一导电材料构成的层与第一导电层、第二导电层、第三导电层以及第四导电层电隔离,并且由第二导电材料构成的层与第一导电层、第二导电层、第三导电层以及第四导电层电隔离。
本发明的半导体装置包括:形成在衬底上的晶体管;设置在晶体管上的第一绝缘层;通过设置在第一绝缘层中的开口部分,与晶体管的源极或漏极连接的第一导电层;设置在第一绝缘层上的第二导电层;设置在第一绝缘层、第一导电层以及第二导电层上的第二绝缘层;为填充设置在第二绝缘层中的开口部分而设置的与第二导电层接触的三个或更多的第三导电层;通过导电性物质与第三导电层电连接的第四导电层。
通过采用本发明的结构,可以提高连接部分的机械强度。而且,通过使端子数目为三个或更多,可以二维性地形成面,所以即使对元件(半导体装置所具有的晶体管等)施加弯曲压力,也不会如现有的半导体装置那样,使端子相对于弯曲压力成为支撑点,因此可以不损坏元件的可靠性而设计。例如,在有三个端子的情况下,可以形成将端子为顶点的三角形的面,因此可以将施加于元件的弯曲压力分散到面内。
此外,通过如本发明的结构那样使连接端子的数目增多,而可以提高对来自各个方向的弯曲压力的接合的机械强度,也可以附加冗余性。此外,在使用与电无关的端子(以下,也称为伪端子)的情况下,当在布线上有面积的限制时,或者当布线位置在元件面内偏颇时,可以将伪端子设置在元件内任意的位置,而且有助于电连接端子的压力的分散。另外,通过将伪端子设置在与电连接端子对称的位置上,可以分散多种多样的弯曲压力,因此可以提高强度。而且,在制造步骤中,当进行为连接元件与天线的工艺的压力粘结工艺时,负荷均匀地分散于多个端子而可以抑制元件的损坏,所以可以提高成品率。
附图说明
图1A和1B为描述本发明的半导体装置的图;
图2A和2B为描述本发明的半导体装置的图;
图3A和3B为描述本发明的半导体装置的图;
图4A和4B为描述本发明的半导体装置的图:
图5A和5B为描述本发明的半导体装置的图;
图6A和6B为描述本发明的半导体装置的图;
图7A和7B为描述本发明的半导体装置的图;
图8A和8B为描述本发明的半导体装置的图;
图9A至9C为描述本发明的半导体装置的制造方法的图;
图10A和10B为描述本发明的半导体装置的制造方法的图;
图11A和11B为描述本发明的半导体装置的制造方法的图;
图12A和12B为描述本发明的半导体装置的制造方法的图;
图13A和13B为描述本发明的半导体装置的制造方法的图;
图14A和14B为描述本发明的半导体装置的制造方法的图;
图15A和15B为描述本发明的半导体装置的图;
图16A和16B为描述本发明的半导体装置的图;
图17A至17C为描述本发明的半导体装置的制造方法的图;
图18A和18B为描述本发明的半导体装置的制造方法的图;
图19A和19B为描述本发明的半导体装置的制造方法的图;
图20为描述本发明的半导体装置的制造方法的图;
图21A至21E为描述抗静电型的衬底的图;
图22为描述本发明的半导体装置的图;
图23A至23E为描述本发明的半导体装置的图。
本发明的选择图为图1。
具体实施方式
关于本发明的实施方式,使用附图详细地说明。但是,本发明不局限于以下的说明,所属领域的普通人员可以很容易地理解一个事实,就是其方式和详细内容可以被变换为各种各样的形式,而不脱离本发明的宗旨及其范围。因此,本发明不应该被解释为仅限定在以下所示的本实施方式所记载的内容中。注意,在以下说明的本发明的结构中,对各个附图中的共同部分使用相同的符号。
实施方式1
参照图1A、1B和图2A、2B,说明本实施方式中的半导体装置的结构。图1B为图1A的俯视图中的从点A到点B的截面图。而且,图2B为图2A的俯视图中的从点A到点B的截面图。
将衬底89和衬底20设置为衬底89的一个面与衬底20的一个面相对。在衬底89的一个面上形成具有集成电路的层11(参照图1B、图2B)。此外,在具有集成电路的层11上,设置与具有集成电路的层11所包括的布线电连接的端子12。注意,具有集成电路的层11包括多个晶体管。此外,端子12通过导电性物质(在此为具有导电性粒子10的树脂层14)与形成在衬底20的一个面上的起天线作用的导电层19电连接。注意,在此将以偶极天线作为起天线作用的导电层19的例子而说明。
再者,如图1A以及图1B所示,在具有集成电路的层11上,设置有与具有集成电路的层11所包括的布线以及起天线作用的导电层19不电连接(隔离)的端子13(以下,也表示为伪端子)。注意,关于伪端子的数目以及形成它的位置,本发明不局限于在附图上所记载的结构。就是说,设置一个或更多的伪端子13即可,而且可以任意改变形成伪端子的地方以及伪端子的数目。
通过设置该伪端子13,可以缓和施加于一个端子12的压力。即,在不设置伪端子的现有的结构中,施加于半导体装置的压力集中在端子12被连接的地方,结果连接部分(端子12与起天线作用的导电层19电连接的地方)被损坏。然而,通过采用本发明的结构,可以抑制连接部分的损坏。结果,跟现有的半导体装置相比,可以提高耐久性。此外,通过采用上述结构,因为可以防止因当粘结连接部分时施加的压力造成的半导体装置的损坏,所以可以提高成品率。
此外,如图2B所示的伪端子21,可以使伪端子21的厚度与在具有集成电路的层11和衬底20之间的端子12的厚度,以及起天线作用的导电层19的厚度的总和相等。就是说,也可以使端子12和起天线作用的导电层19之间的距离(间隔)d1,以及伪端子21和在其一个面上设置有起天线作用的导电层19的衬底20之间的距离(间隔)d2大约相同。注意,形成有端子12和起天线作用的导电层19的区域中的具有薄膜集成电路的层11和衬底20之间的距离(间隔)D1,与形成有伪端子21的区域中的具有薄膜集成电路的层11和衬底20之间的距离(间隔)D2大约相同。
通过采用这种结构,因为可以将施加于一个端子的外力进一步地分散,为此可以比图1A和1B所示的结构更加缓和施加于电连接的端子的外力。即,因为可以抑制连接部分的损坏并提高强度,所以可以提高成品率。
实施方式2
在本实施方式中,使用图3A和3B说明具有跟实施方式1所示的半导体装置不同形状的半导体装置的结构。本实施方式与实施方式1所示的结构的不同点在于,在将起天线作用的导电层具有在其一个面上的衬底上,除了起天线作用的导电层以外,还设置有由导电材料构成的层。注意,对跟实施方式1中的相同部分,将省略说明。
如图3A以及图3B所示,本发明的元件在具有集成电路的层11上具有伪端子13。注意,关于伪端子的数目以及形成它的位置,本发明不局限于在附图上所记载的结构。就是说,设置一个更多的伪端子13即可,而且可以任意改变形成伪端子的地方以及伪端子的数目。注意,在此作为起天线作用的导电层19将以偶极天线为例子而说明。
再者,在衬底20的设置有起天线作用的导电层19的面上,设置与起天线作用的导电层19、以及具有集成电路的层11所具有的布线不电连接(隔离)的由导电材料构成的层(以下,简称为伪导电层22)。注意,关于伪导电层的数目、形成它的位置和形状,本发明不局限于在附图上所记载的结构。在此,在跟伪端子13相对的位置上具有伪导电层22。
通过设置该伪端子13以及伪导电层22,可以缓和施加于一个端子12的压力。即,在不设置伪端子以及伪导电层的现有的结构中,施加于半导体装置的压力集中在端子12被连接的地方,结果连接部分(端子12与起天线作用的导电层19电连接的地方)被损坏。然而,通过采用本发明的结构,可以抑制连接部分的损坏。结果,跟现有的半导体装置相比,可以提高强度,因此可以提高成品率。
通过采用这种结构,因为可以将施加于端子12的压力更多地分散于伪端子13以及伪导电层22,所以与实施方式1所示的结构相比,可以更缓和施加到电连接的端子的压力。即,可以抑制连接部分的损坏而且提高强度。此外,通过采用上述结构,因为可以防止由于当粘结连接部分时施加于半导体装置的压力造成的半导体装置的损坏,所以可以提高成品率。
注意,虽然说明了设置伪端子和伪导电层的结构,但是也可以采用只设置伪导电层的结构。
实施方式3
参照图4A和4B说明本发明的实施方式。在本实施方式中,说明具有跟实施方式1以及实施方式2不同形状的半导体装置的结构。本实施方式与实施方式1以及实施方式2不同点在于,起天线作用的导电层的形状,以及除了与起天线作用的导电层电连接的端子以外还具有多个与起天线作用的导电层电连接的端子(以下简称为辅助端子)。注意,对跟实施方式1相同的部分,将省略说明。
如图4A以及图4B所示,在具有集成电路的层11上,具有辅助端子24。该辅助端子24与具有集成电路的层11所包括的布线以及起天线作用的导电层的一部分23电连接。注意,本发明的辅助端子的数目不局限于在附图上所记载的结构。就是说,设置一个或更多的辅助端子24即可,而且可以任意改变形成辅助端子的地方以及辅助端子的数目。换句说话,共计有三个或更多的端子12和辅助端子24即可。注意,在此作为起天线作用的导电层23将以偶极天线为例子而说明。
通过设置该辅助端子24,可以缓和施加于一个端子12的压力。即,在没有设置辅助端子的现有的结构中,施加于半导体装置的压力集中在端子12被连接的地方,结果连接部分(端子12与导电层23电连接的部分)被损坏。然而,通过采用本发明的结构,可以抑制连接部分的损坏,而且提高强度。
再者,通过采用本发明的结构的半导体装置,即使端子12与导电层23连接的部分被损坏,只要辅助端子24与导电层23电连接的部分不被损坏,就可以使半导体装置进行工作。结果,跟现有的半导体装置相比,可以提高成品率。此外,通过采用上述结构,因为可以防止使半导体装置受到损坏的当粘结连接部分时施加于半导体装置的压力,所以可以提高成品率。
实施方式4
在本实施方式中,参照图5A、5B和图6A、6B,说明具有起天线作用的导电层的半导体装置的结构。该半导体装置跟实施方式1至实施方式3中所示的半导体装置不同。图5A以及图6A为半导体装置的俯视图,图5B为沿图5A中的A-B切割的截面图。此外,图6B为图6A的俯视图中的从点A到点B的截面图。
衬底89和衬底20被设置为衬底89的一个面与衬底20的一个面相对。在衬底89的一个面上形成具有集成电路的层11(参照图5B、图6B)。此外,在具有集成电路的层11上,设置与具有集成电路的层11所包括的布线电连接的端子12以及端子29。注意,具有集成电路的层11包括多个晶体管。此外,端子12通过导电性物质(具有导电性粒子10的树脂层14)与形成在衬底20的一个面上的起天线作用的导电层25电连接。注意,在此作为天线作用的导电层25以环形天线为例子而说明。
再者,如图5A以及图5B所示,在具有集成电路的层11上,设置有与具有集成电路的层11所包括的布线和起天线作用的导电层25不电连接(隔离)的伪端子13。注意,本发明不局限于在附图上所记载的结构。就是说,设置一个更多的伪端子13即可,而且可以任意改变形成伪端子的地方和伪端子的数目。
通过设置这样的伪端子13,可以缓和施加于一个端子12的压力。即,在不设置伪端子的结构中,施加于现有的半导体装置的压力集中在端子12被连接的地方,结果连接部分(端子12与起天线作用的导电层25电连接的地方)被损坏。然而,通过采用本发明的结构,可以抑制连接部分的损坏。结果,跟现有的半导体装置相比,可以提高成品率。
此外,如图6B所示,伪端子21的厚度可以相等于位于具有集成电路的层11和衬底20之间的端子12的厚度和起天线作用的导电层25的厚度之总和。就是说,可以使端子12和起天线作用的导电层25之间的距离(间隔)d3,与伪端子21和在其一个面上设置有起天线作用的导电层25的衬底20之间的距离(间隔)d4大致相等。注意,使形成有端子12以及起天线作用的导电层25的区域中的具有薄膜集成电路的层11和衬底20之间的距离(间隔)D3,与形成有伪端子13的区域中的具有薄膜集成电路的层11和衬底20之间的距离(间隔)D4大约相同地进行这些的形成步骤。
通过采用这种结构,与图5A和5B所示的结构相比,可以更缓和施加到一个端子12的压力。即,因为可以抑制连接部分的损坏而且提高强度,所以可以提高成品率。此外,通过采用上述结构,因为可以防止由当粘结连接部分时施加到半导体装置的压力造成的对半导体装置的损坏,所以可以提高成品率。
注意,天线的形状不局限于此,也可以将布线连接到起天线作用的导电层25,而且将端子12以及端子29排列来形成。
实施方式5
在本实施方式中,参照图7A和7B说明一种半导体装置的结构。其中,在将起天线作用的导电层具有在其一个面上的衬底上,设置起天线作用的导电层以外的导电材料。注意,对跟实施方式1至实施方式4中的相同的部分,将省略说明。
图7A为半导体装置的俯视图,图7B为沿图7A中的A-B切割的截面图。如图7A以及图7B所示,在具有集成电路的层11上设置有伪端子13。注意,关于伪端子的数目以及形成它的位置,本发明不局限于在附图上所记载的结构。就是说,设置一个更多的伪端子13即可,而且可以任意改变形成伪端子的地方以及伪端子的数目。注意,在此作为起天线作用的导电层25将以环形天线为例子而说明。
再者,在衬底20的设置有起天线作用的导电层25的面上,设置伪导电层26。注意,本发明的伪导电层的数目、以及形成它的位置和形状不局限于在附图上所记载的结构。在此,在跟伪端子13相对的位置上设置伪导电层26。
通过设置这种伪端子13以及伪导电层26,可以抑制导电层25的与端子12连接的地方被损坏。结果,跟现有的半导体装置相比,可以提高强度,因此可以提高成品率。
通过采用这种结构,比实施方式4所示的结构可以更缓和施加到电连接的端子的压力。即,可以抑制对连接部分的损坏,而可以提高成品率。此外,通过采用上述结构,因为可以防止由于当粘结连接部分时施加到半导体装置的压力导致的对半导体装置的损坏,所以可以提高成品率。
注意,天线的形状不局限于此,也可以连接布线而将端子12以及端子29排列来形成。
实施方式6
使用图8A和8B说明本发明的实施方式。在本实施方式中,说明起天线作用的导电层的形状和一种半导体装置的结构。该半导体装置除了与起天线作用的导电层电连接的端子以外还具有多个辅助端子。注意,对跟实施方式1至实施方式5中的相同的部分,将省略说明。
图8A为半导体装置的俯视图,图8B为沿图8A中的A-B切割的截面图。如图8A以及图8B所示,在具有集成电路的层11上,设置有与具有集成电路的层11所包括的布线以及起天线作用的导电层25电连接的辅助端子27。注意,本发明的辅助端子的数目不局限于在附图上所记载的结构。就是说,设置一个更多的辅助端子27即可,而且可以任意改变形成辅助端子的地方以及辅助端子的数目。换句话说,共计有三个更多的端子和辅助端子即可。
通过设置这种辅助端子27,可以抑制导电层25与端子12的连接部分被损坏。结果,跟现有的半导体装置相比,可以提高强度而且提高成品率。
再者,通过采用本发明的结构的半导体装置,即使端子12的连接部分被损坏,只要辅助端子27与起天线作用的导电层25的电连接的部分不被损坏,半导体装置就可以进行工作。结果,跟现有的半导体装置相比,可以提高成品率。
注意,天线的形状不局限于此,也可以连接布线而将端子12以及端子29排列来形成。
注意,天线的种类不局限于在实施方式1至实施方式6中所记载的形状(种类)。例如,可以举出螺旋状、长方体而平坦的形状(比如,平板天线)等。此外,天线也可以具有叠层结构。但是,所属领域的普通人员可以容易地理解该天线能够被改变为这些形状以外的形状。
实施方式7
参照图9A、9B、9C,图10A、10B,图11A、11B,图12A、12B,图13A、13B的截面图和图14A、14B的俯视图,说明本发明的半导体装置的制造方法。注意,在此说明如图1A、1B所示那样的半导体装置的制造方法。
首先,在衬底50的一个面上,形成绝缘层51(参照图9A)。下面,在绝缘层51上形成剥离层52。接着,在剥离层52上形成绝缘层53。
衬底50为具有绝缘表面的衬底,例如相当于玻璃衬底、塑料衬底、以及石英衬底等。作为衬底50,优选使用玻璃衬底或塑料衬底。这是因为,如果使用玻璃衬底或塑料衬底,很容易制造一边长度超过1m(含1m)的衬底,而且/或很容易制造具有四角形等所希望的形状的衬底的缘故。因此,如果使用例如具有四角形并且一边长度超过1m(含1m)的玻璃衬底或塑料衬底,就可以大幅度地提高生产率。与使用具有圆形状并且最大直径大致为30cm的硅衬底相比,这是个很大的优点。
作为绝缘层51和53,通过化学气相沉积法(CVD法)或溅射法等形成硅的氧化物、硅的氮化物、含氮的硅的氧化物、以及含氧的硅的氮化物等。绝缘层51起到防止来自衬底50的杂质元素侵入到上层的作用。如果不需要,也可以不形成绝缘层51。
通过溅射法等,以单层或层叠的形式形成由选自钨(W)、钼(Mo)、钛(Ti)、钽(Ta)、铌(Nb)、镍(Ni)、钴(Co)、锆(Zr)、锌(Zn)、钌(Ru)、铑(Rh)、钯(Pd)、锇(Os)、铱(Ir)、以及硅(Si)等中的元素或以上述元素为主要成分的合金材料或者化合物材料构成的层,而形成剥离层52。注意,含有硅的层的结晶结构可以为非晶结构、微晶结构、以及多晶结构中的任何一种。
当剥离层52具有单层结构时,优选形成含有钨、钼、钨和钼的混合物、钨的氧化物、钨的氧氮化物、钨的氮氧化物、钼的氧化物、钼的氧氮化物、钼的氮氧化物、钨和钼的混合物的氧化物、钨和钼的混合物的氧氮化物、以及钨和钼的混合物的氮氧化物中的任何一种的层。
当剥离层52具有叠层结构时,优选形成含有钨、钼或钨和钼的混合物的层作为第一层,并且形成含有钨的氧化物、钼的氧化物、钨和钼的混合物的氧化物、钨的氧氮化物、钼的氧氮化物或钨和钼的混合物的氧氮化物的层作为第二层。
当形成钨和钨的氧化物的叠层结构作为剥离层52时,可以利用首先形成含有钨的层作为剥离层52,并且在其上形成含有硅的氧化物的层作为绝缘层53,从而含有钨的氧化物的层被形成在含有钨的层和含有硅的氧化物的层之间的现象。这个现象在形成含有钨的氮化物、钨的氧氮化物或钨的氮氧化物的层等的情况下也是相同的。所以在形成含有钨的层之后,可以在其上形成含有硅的氮化物的层、含有氧的氮化硅层或含有氮的氧化硅层。
接下来,在绝缘层53上形成多个晶体管54。在该步骤中,形成薄膜晶体管(Thin Film Transistor)作为多个晶体管54。
多个晶体管54各具有半导体层90、栅极绝缘层(也简单地称为绝缘层)91、以及作为栅(也称为栅极)的导电层92。半导体层90具有用作源极或漏极的杂质区域93、94和沟道形成区域95。在杂质区域93、94中添加有给予N型或P型的杂质元素。具体来说,给予N型的杂质元素(例如磷(P)、砷(As))或给予P型的杂质元素(例如硼(B))被添加。杂质区域94是LDD(轻掺杂漏极)区域。
每个多个晶体管54可以是栅极绝缘层91设置在半导体层90上并且导电层92设置在栅极绝缘层91上的顶栅型,也可以是栅极绝缘层91设置在导电层92上并且半导体层90设置在栅极绝缘层91上的底栅型。此外,选自多个晶体管54中的一个或多个晶体管,可以为具有两个或更多的栅极而且两个或更多的沟道形成区域的多栅结构的晶体管。
注意,在衬底50上只形成了多个晶体管54,然而,本发明不局限于该结构。可以根据半导体装置的用途而适当地调整提供在衬底50上的元件。例如,在具有无接触地发送及接收数据的功能的情况下,可以在衬底50上只形成多个晶体管,或者可以在衬底50上形成多个晶体管和起天线作用的导电层。此外,在具有存储数据的功能的情况下,可以在衬底50上形成多个晶体管和存储元件(例如,晶体管、存储晶体管等)。此外,在具有控制电路的功能和生成信号的功能等(例如,CPU、信号生成电路等)的情况下,可以在衬底50上形成晶体管。此外,除了上述以外,根据需要,可以形成电阻元件、电容元件等的其他元件。
接下来,在多个晶体管54上形成绝缘层55至57。通过化学气相沉积法、溅射法、SOG(旋涂玻璃)法、液滴喷射法(例如,喷墨法)等,使用硅的氧化物、硅的氮化物、聚酰亚胺、丙烯酸、硅氧烷、恶唑树脂等而形成绝缘层55至57。硅氧烷的骨架结构例如由硅和氧的结合而构成,并且作为取代基,使用至少含有氢的有机基(例如烷基、芳烃)、氟基团、或至少含有氢的有机基和氟基团。恶唑树脂,例如为感光聚苯并恶唑等。因为跟聚酰亚胺等的介电常数(3.2至3.4程度)相比,恶唑树脂的介电常数低(2.9程度),所以可以控制发生寄生电容而且进行高速工作。
注意,在上述结构中,在多个晶体管54上形成三层的绝缘层(绝缘层55至57),然而本发明不局限于该结构。对设置在多个晶体管54上的绝缘层的数目没有特别的限定。
下面,在绝缘层55至57上形成开口部分,并且形成与多个晶体管54的各个源极(也称为源区、源极电极)或漏极(也称为漏区、漏极电极)连接的导电层59至64(参照图9A)。导电层59至64设置在相同的层。此外,导电层59至64为源布线或漏布线。通过导电层59至64,从外部供给的信号供给给多个晶体管54。
通过溅射法等,由选自钛(Ti)、钨(W)、铬(Cr)、铝(Al)、钽(Ta)、镍(Ni)、镐(Zr)、铪(Hf)、钒(V)、铱(Ir)、铌(Nb)、铅(Pb)、白金(Pt)、钼(Mu)、钴(Co)、以及铹(Rh)等中的元素或以上述元素为主要成分的合金材料,或者以上述元素为主要成分的氧化物或氮化物等的化合物材料,以单层或层叠的形式形成导电层59至64。导电层59至64的叠层结构的例子包括,例如钛、铝、钛的三层结构,钛、氮化钛、铝、钛、氮化钛的五层结构,钛、氮化钛、加添硅的铝、钛、氮化钛的五层结构等。
下面,在导电层59上形成导电层66(参照图9B)。作为导电层66,通过丝网印刷法、液滴喷射法等形成含有金、银或铜的层。优选通过丝网印刷法,由含有银粒子的膏(银粒子与树脂混合的材料)而形成导电层66。这是因为丝网印刷法可以缩短制造时间而且其设备很便宜的缘故。此外,使用银是因为其电阻值低。
下面,辐照将导电层66和导电层59中的一方或双方可以熔融的激光束。在辐照激光束之前,导电层66和导电层59一部分接触,然而通过辐照激光束,可以使导电层66与导电层59接触的部分增大。为此,使导电层66与导电层59的电连接更确实,而且可以提高可靠性。当根据介质来对激光分类时,可以分为气体激光、液体激光、以及固体激光,而当根据振荡的特征来对激光分类时,可以分为自由电子激光、半导体激光、以及X线激光。本发明可以使用任何激光。注意,优选使用气体激光或固体激光,更优选使用固体激光。此外,本发明可以使用连续振荡型的激光和脉冲振荡型的激光中的任何一个。
下面,在绝缘层57和绝缘层59至64上,选择性地形成绝缘层68(参照图9C)。在绝缘层68上,设置开口部分69。导电层66通过开口部分69而被露出。
注意,开口部分69不为使导电层66的整个表面被露出的形状,而优选为使导电层66的一部分表面被露出的形状。具体地说,开口部分69优选为导电层66的中心部分被露出的形状。这是为了在后面的步骤中在准确的位置以高成品率地进行转置的缘故。假设将绝缘层68设置为使导电层66的整个表面都被露出的形式,就会产生导电层66和导电层68双方都不被设置的领域。因为后面进行的转置的步骤是通过粘接绝缘层68与衬底88而进行的,所以如果存在导电层66和绝缘层68中的一个也不被设置的区域(导电层66和绝缘层68都不被设置的区域),就有可能不能在准确的位置以高成品率地进行转置。然而,在上述步骤中,为了导电层66的中心部分被露出,选择性地设置绝缘层68。于是,就不存在导电层66和绝缘层68中的一个也不被设置的区域(导电层66和绝缘层68都不被设置的区域),所以可以确实地进行转置。
绝缘层68由环氧树脂、丙烯酸树脂或聚酰亚胺树脂等的绝缘性的树脂,以5至200μm、优选为15至35μm的厚度而形成。此外,通过丝网印刷法、液滴喷射法等均匀地形成绝缘层68。因为可以缩短制造时间而且其设备很便宜,所以优选使用丝网印刷法。接着,根据需要进行加热处理。
接下来,形成开口部分71,以至少使剥离层52的一部分露出(参照图10A)。优选通过激光束的辐照来进行该步骤。这是因为,可以缩短处理时间的缘故。对衬底50、绝缘层51、剥离层52、绝缘层53、55至57、68幅照激光束。此外,从绝缘层68的表面辐照激光束。开口部分71被形成得至少使剥离层52的一部分露出。因此,至少在绝缘层53、55至57、68中设置有开口部分71。在图上所示的结构中,示出了激光束抵达绝缘层51,而且将绝缘层51、53、55至57、68分割的情况。注意,激光束也可以抵达衬底50。
在上述辐照激光束的步骤中,采用烧蚀加工。烧蚀加工是利用被激光束辐照了的部分,即吸收了激光束的部分的分子结合被切断,并被光分解,且被蒸发的现象的加工。就是说,通过辐照激光束,以将有绝缘层51、剥离层52、绝缘层53、55至57、68的部分中的分子结合切断、光分解以及蒸发,而形成开口部分71。
此外,作为激光器,可以使用波长为150至380nm即紫外区域的固体激光器。优选使用波长为150至380nm的Nd:YVO4激光器。这是因为,跟波长在高频一侧的其他激光器相比,波长为150至380nm的Nd:YVO4激光器的光很容易被衬底吸收,因而可以进行烧蚀加工的缘故。还因为它不影响到加工部分的周围,加工性良好的缘故。
下面,在绝缘层68上设置衬底88(参照图10B)。衬底88为层叠绝缘层72与粘结层83而形成的衬底,是热剥离型的衬底。粘结层83为伴随加热处理其粘结力下降的层,比如为:由加热热可塑性粘合剂时的软化所使用的材料而构成的层;由混入有伴随加热而膨胀的微囊或发泡剂的材料而构成的层;由将热熔融性或热分解性赋予于热固性树脂的材料而构成的层;以及利用因水的侵入而使界面强度下降或因水的侵入而使吸水性树脂膨胀的层。
下面,使用衬底88,从衬底50上将含有多个晶体管54的叠层体分离(参照图11A)。以剥离层52的内部或剥离层52和绝缘层53为境界,而进行从衬底50上将含有多个晶体管54的叠层体分离的步骤。在图上所示的结构中,示出了以剥离层52和绝缘层53之间为境界而进行分离的情况。如此,通过使用衬底88,而可以在短时间内很容易地进行分离的步骤。
下面,在进行加热处理而在绝缘层53的表面上设置衬底89的同时,从衬底88上将含有多个晶体管54的叠层体分离(参照图11B)。衬底89为由层叠绝缘层73与粘结层84而形成的衬底。粘结层84为通过加热处理而使其粘结力提高的层,相当于含有热可塑性树脂的层。热可塑性树脂相当于,例如聚乙烯、聚苯乙烯、聚丙烯、聚氯乙烯等。
如上所述,衬底88为热剥离型的衬底。所以通过加热处理,衬底88和绝缘层68之间的粘结力下降,而从衬底88上将含有多个晶体管54的叠层体分离。同时,通过加热处理,使在衬底89表面上的热固性树脂硬化,因此增加绝缘层53与衬底89的一个表面的粘结力。如此,通过使用两片设置有特性不同的粘结层的衬底88、89,可以将从衬底88上分离叠层体的步骤和在衬底89上设置叠层体的步骤同时进行。因此,可以缩短制造时间。
接下来,根据需要,再度对导电层66幅照激光束。这是因为,由于上述分离步骤的影响,导电层59和导电层66的电连接有可能发生接触不良,而再度对导电层66幅照激光束可以改善这种接触不良。因此,如果没有需要的话,也可以不进行辐照激光束的步骤。
下面,在形成端子12以与导电层66连接的同时,将与布线不电连接(隔离)的端子(伪端子13)形成在绝缘层68上(参照图12A)。作为端子12以及伪端子13,通过丝网印刷法、液滴喷射法等形成含有金、银或铜的层。端子12以及伪端子13优选使用丝网印刷法,由含有银粒子的膏(银粒子与树脂混合的材料)而形成。采用丝网印刷法的理由是可以缩短制造时间,而且其设备很便宜。此外,采用银的理由是其电阻值很低。接着,根据需要,进行加热处理。
下面,对衬底49、绝缘层53、55至57、68幅照激光束,而形成开口部分76(参照图12B)。
下面,准备设置有起天线作用的导电层19的衬底20(参照图13A)。注意,起天线作用的导电层19具有电容元件86,而且通过丝网印刷法、液滴喷射法等,形成起天线作用的导电层19和电容元件86的每一个(参照图14A、14B)。在图13A中,示出了起天线作用的导电层19。树脂层14为在粘合剂中设置有导电性粒子10的材料,也称为ACP(各向异性导电胶,Anisotropic Conductive Paste)。通过丝网印刷法、液滴喷射法等均匀地形成树脂层14。
下面,使用树脂层14,将衬底89与衬底20粘在一起(参照图13A、图14B)。接下来,根据需要,粘合绝缘层68和树脂层14。这时,由倒装焊接机、芯片焊接机、ACF连接器、压接机(pressurebonder)等进行加压处理和加热处理中的一方或双方。
另外,也可以在含有多个晶体管54的叠层体的表面上,还设置衬底(参照图13B)。具体地说,也可以在衬底89和衬底20中的一方或双方的表面上,设置另外的衬底。在图上所示的结构中,在衬底89的表面上设置衬底81,而在衬底20的表面上设置衬底82。通过设置衬底81、82,可以进一步地提高强度。通过加热处理,使衬底81、82的各表面层或衬底81、82的各表面的粘结层熔化,而用衬底81、82对含有多个晶体管54的叠层体进行密封。此外,根据需要,也进行加压处理。
注意,如图2A、2B以及图6A、6B所示,在制造伪端子的步骤中,对形成有伪端子的地方再次进行相同的步骤(丝网印刷、喷墨等)以将伪端子的厚度形成得比端子更厚。
此外,通过丝网印刷法、液滴喷射法等,可以将如实施方式3至实施方式6中所示的起天线作用的导电层和伪导电层形成为所希望的形状。
再者,如实施方式3以及实施方式6中所示的辅助端子,因为可以在形成端子的步骤中使用同样的方法而制造,所以可以不增加新步骤而形成。
注意,虽然示出了从衬底50上分离含有多个晶体管54的叠层体的方法(参照图11A),但是本发明不局限于这种形态。也可以在形成导电层59至64之后(参照图9A),使衬底50薄型化。
为了使衬底50薄型化,使用磨削设备(例如是磨削盘)磨削衬底50的不设置有多个晶体管54的面。优选将衬底50的厚度磨削为100μm或更小。接着,也可以使用研磨设备(例如是研磨垫或磨粒(例如氧化铈等))研磨衬底50的被磨削了的不设置有多个晶体管54的面。优选研磨得使衬底50的厚度为50μm或更小,更优选为20μm或更小,最有选为5μm或更小。注意,为了使衬底50薄型化,可以进行磨削和研磨衬底50中的一方或双方。此外,在进行磨削步骤和研磨步骤之前,根据需要,可以在导电层59至64上设置以保护为目的的层。此外,在进行磨削步骤和研磨步骤之后,根据需要,可以进行为了去除灰尘的洗涤步骤和干燥步骤中的一方或双方。
根据磨削步骤和研磨步骤所花费的时间、之后要进行的切断步骤所花费的时间、半导体装置的用途、对应其用途而需要的强度等,适当地设定被薄型化的衬底50的厚度。例如,在通过缩短磨削步骤和研磨步骤的时间而提高生产性的情况下,可以使研磨后的衬底50的厚度大致为50μm。此外,在通过缩短之后要进行的切断步骤的时间而提高生产性的情况下,可以使研磨后的衬底50的厚度为20μm或更小,优选为5μm或更小。此外,在将半导体装置贴附或嵌入到薄的物品的情况下,优选使研磨后的衬底50的厚度为20μm或更小,更优选使研磨后的衬底50的厚度为5μm或更小。此外,对于被薄型化的衬底50的厚度的下限没有特别的限制。可以进行直到衬底50被除去(直到衬底50的厚度为0μm)的薄型化。
接下来,形成与导电层59连接的导电层66(参照图9B)。接着,对于导电层66辐照激光束。接着,选择性地形成绝缘层68(参照图9C)。接着,辐照激光束而形成开口部分71(参照图10A)。在图所示的结构中,当形成开口部分71时不切断衬底50,但是在使衬底50薄型化的情况下,可以也切断衬底50。而且,可以省略从衬底50上将含有多个晶体管54的叠层体分离的步骤。其后的步骤跟上述的步骤相同。像这样,通过不将含有多个晶体管54的叠层体从衬底50分离而使被薄型化的衬底50残留,可以抑制有害气体、水或杂质元素的进入。因此,可以抑制劣化或损坏,而提高可靠性。此外,也可以提高屏障性。
实施方式8
本实施方式中,参照图15A、15B说明,跟在实施方式1至实施方式6中所示的半导体装置不同的将起天线作用的导电层形成在相同的衬底上的半导体装置。注意,图15B为在图15A的俯视图中的从点A到点B的截面图。
在衬底89的一个面上,形成具有集成电路的层30(参照图15B)。此外,在具有集成电路的层30上设置通过绝缘层32而电连接的起天线作用的导电层33。注意,具有集成电路的层30包括多个晶体管。此外,起天线作用的导电层33被绝缘层35覆盖。注意,在此,将环形天线作为起天线作用的导电层33的例子而进行说明。
再者,如图15A以及图15B所示,在具有集成电路的层30上,设置有与具有集成电路的层30所包括的布线以及导电层33不电连接(隔离)的端子31(以下,也写为伪端子)。注意,本发明的伪端子的数目、其形状以及形成它的位置不局限于在图中所记载的结构。就是说,设置一个或更多的伪端子31即可,而且可以任意改变形成伪端子的地方、其形状以及伪端子的数目。
再者,如图15A以及图15B所示,在伪端子31上设置有通过绝缘层32与具有集成电路的层30所包括的布线以及导电层33不电连接(隔离)的导电层34(以下,也表示为伪导电层)。注意,本发明的伪导电层的数目、其形状以及形成它的位置不局限于在图中所记载的结构。就是说,设置一个或更多的伪导电层34即可,而且可以任意改变形成伪导电层的地方、其形状以及其数目。
在不设置伪端子以及伪导电层的现有的结构中,施加于半导体装置的压力集中在导电层33与布线连接的地方,结果,连接的地方被损坏。然而,通过采用本发明的结构,可以抑制导电层与布线连接的地方被损坏。结果,跟现有的半导体装置相比,可以提高强度从而提高成品率。
注意,在此虽然示出了设置有伪导电层和伪端子的双方的结构,但是也可以只形成两个中的任何一个。
实施方式9
使用图16A、16B说明实施方式9。注意,对于跟实施方式8中的相同部分,将省略说明。
图16A为半导体装置的俯视图,而图16B为沿图16A中的A-B切割的截面图。如图16A以及16B所示,在具有集成电路的层30上,设置有:与具有集成电路的层30所包括的布线电连接的起天线作用的导电层的一部分的导电层33;以及与具有集成电路的层30所包括的布线电连接的起天线作用的导电层的一部分的辅助导电层36(参照图16B)。
注意,起天线作用的导电层39包括作为起天线作用的导电层的一部分的导电层33;以及作为起天线作用的导电层的一部分的辅助导电层36(参照图16A)。
注意,关于辅助导电层的数目,本发明不局限于在图上所记载的结构。就是说,设置一个或更多的辅助导电层36即可,并且可以任意改变形成辅助导电层的地方以及其数目。
通过设置该辅助导电层36,可以抑制导电层33与具有集成电路的层30所包括的布线的电连接的连接部分被损坏。结果,跟现有的半导体装置相比,可以提高强度。
再者,通过采用本发明的结构的半导体装置,即使上述连接部分被损坏,只要辅助导电层36与具有集成电路的层30所包括的布线的电连接的连接部分不被损坏,半导体装置就可以进行工作。结果,跟现有的半导体装置相比,可以提高成品率。
注意,天线的种类不局限于实施方式8、实施方式9所示的形状(种类)。例如,可以举出直线状、螺旋状、长方体而平坦的形状(例如,平板天线)等。此外,天线也可以具有叠层结构。注意,所属领域的普通人员可以很容易地理解其形状可以被改变为这些形状以外的形状。
实施方式10
参照图17A至17C,18A和18B,19A和19B,图20的截面图,而说明本发明的半导体装置的制造方法。注意,在此说明实施方式8中所示的半导体装置的制造方法。此外,因为直到形成晶体管而且形成绝缘层57的步骤,使用跟实施方式7同样的步骤而制造,所以在此省略对这些步骤的说明。
接下来,在绝缘层55至57上形成开口部分,以形成与多个晶体管54的各个源极(也称为源区,源极电极)或漏极(也称为漏区,漏极电极)连接的导电层59至64,以及与晶体管不电连接(隔离)的伪端子31(参照图17A)。导电层59至64设置在相同的层中。此外,导电层59至64为源布线或者漏布线。通过导电层59至64,外部供应来的信号被供给给多个晶体管54。
通过溅射法等,由选自钛(Ti)、钨(W)、铬(Cr)、铝(Al)、钽(Ta)、镍(Ni)、镐(Zr)、铪(Hf)、钒(V)、铱(Ir)、铌(Nb)铅(Pb)、白金(Pt)、钼(Mo)、钴(Co)或铑(Rh)等的元素,或者以上述元素为主要成分的合金材料,或者以上述元素为主要成分的氧化物或氮化物等的化合物材料,以单层或层叠的形式形成导电层59至64以及伪端子31。导电层59至64以及伪端子31的叠层结构之例子包括,比如钛、铝、钛的三层结构,钛、氮化钛、铝、钛、氮化钛的五层结构,钛、氮化钛、加添了硅的铝、钛、氮化钛的五层结构等。
接下来,如图17B所示,以单层或叠层的形式形成覆盖导电层59至64以及伪端子31的绝缘层32。接着,在覆盖导电层59至64以及伪端子31的绝缘层32中形成接触孔,并形成导电层33以及伪导电层34。导电层33起天线作用。伪导电层34与导电层33以及导电层59至64不电连接(隔离)。注意,通过丝网印刷法、液滴喷射法等,形成导电层33以及伪导电层34。
接下来,辐照激光束。该激光束可以溶解导电层59、导电层33中的一方或者双方。在辐照激光束之前,导电层59与导电层33一部分接触,然而通过辐照激光束,可以增大导电层59与导电层33接触的部分。因此,导电层59与导电层33的电连接更加确实,而可以提高可靠性。当根据介质来对激光分类时,可以分为气体激光、液体激光、以及固体激光,而当根据振荡的特征来对激光分类时,可以分为自由电子激光、半导体激光、以及X线激光。本发明可以使用任何激光。但是,优选使用气体激光或固体激光,更优选使用固体激光。此外,本发明可以使用连续振荡型的激光和脉冲振荡型的激光中的任何一个。
此后,在绝缘层32、起天线作用的导电层33以及伪导电层34上,可以形成含有DLC(类金刚石碳)等的碳的层、含有氮化硅的层、含有氮氧化硅的层等的保护层。
接下来,如图17C所示,通过丝网印刷法等,在绝缘层32、起天线作用的导电层33以及伪导电层34上,形成绝缘层35。绝缘层35是作为后面的剥离步骤中的保护层而设置,所以其优选为平坦化层。
接下来,形成开口部分71,以至少使剥离层52的一部分露出(参照图18A)。从缩短处理时间这一点看,该步骤可以通过辐照激光束来进行。对衬底50、绝缘层51、剥离层52、绝缘层53、55至57、32、35幅照激光束。此外,从绝缘层35的表面辐照激光束。开口部分71被形成得至少使剥离层52的一部分露出。因此,至少在绝缘层53、55至57、32、35上形成开口部分71。在图上所示的结构中,示出了激光束抵达绝缘层51而将绝缘层51、53、55至57、32、35分割的情况。注意,激光束可以抵达衬底50。
此外,作为激光器,可以使用波长为150至380nm即紫外区域的固体激光器。优选使用波长为150至380nm的Nd:YVO4激光器。这是因为,跟波长在高频一侧的其他激光器相比,波长为150至380nm的Nd:YVO4激光器的光很容易被衬底吸收,因而可以进行烧蚀加工的缘故。还因为它不会影响到加工部分的周围,加工性良好的缘故。
接下来,在绝缘层68上设置衬底88(参照图18B)。衬底88为层叠绝缘层72与粘结层83而形成的衬底,是热剥离型的衬底。粘结层83为伴随加热处理而其粘结力下降的层,例如为:由当加热热可塑性粘合剂时的软化所使用的材料而构成的层;由混入有伴随加热而膨胀的微囊或发泡剂的材料而构成的层;由赋予有热熔融性或热分解性的热固性树脂的材料而构成的层;以及利用因水的侵入而使界面强度下降或因水的侵入而使吸水性树脂膨胀的层。
接下来,使用衬底88,而将含有多个晶体管54的叠层体从衬底50上分离(参照图19A)。以剥离层52的内部或剥离层52和绝缘层53为境界,而进行从衬底50上分离含有多个晶体管54的叠层体。在图上所示的结构中,示出了以剥离层52和绝缘层53之间为境界而进行分离的情况。如此,通过使用衬底88,可以在很短时间内容易地进行分离的步骤。
接下来,在进行加热处理而在绝缘层53的表面上设置衬底89的同时,将含有多个晶体管54的叠层体从衬底88分离(参照图19B)。衬底89为层叠绝缘层73与粘结层84而形成的衬底。粘结层84为伴随加热处理其粘结力增加的层,其相当于含有热可塑性的树脂的层。而热可塑性的树脂,例如相当于聚乙烯、聚苯乙烯、聚丙烯、聚氯乙烯等。
如上所述,由于衬底88为热剥离型的衬底,所以通过加热处理,衬底88与绝缘层35之间的粘结力就下降,而从衬底88上分离含有多个晶体管54的叠层体。同时,通过加热处理,使在衬底89表面上的热固性树脂硬化,因此增加绝缘层53与衬底89的一个表面的粘结力。如此,通过使用两片设置有特性不同的粘结层的衬底88、89,可以同时进行从衬底88上分离叠层体的步骤和将叠层体设置在衬底89上的步骤。因此,可以缩短制造时间。
注意,在含有多个晶体管54的叠层体的表面上,可以进一步设置衬底(参照图20)。具体地说,在绝缘层35和衬底89中的一方或双方的表面上,可以设置另外的衬底。在图上所示的结构中,在衬底89的表面上设置衬底81,而在绝缘层35的表面上设置衬底82。通过设置衬底81、82,可以进一步提高强度。通过加热处理而溶解衬底81、82的各表面层或衬底81、82的各表面的粘结层,而由衬底81、82密封含有多个晶体管54的层叠体。此外,根据需要,也进行加压处理。
注意,为了形成如实施方式9所示的结构,不形成伪导电层以及伪端子,而增加起天线作用的导电层与布线电连接的部分以及该连接部分的数目即可。
注意,虽然示出了从衬底50上分离含有多个晶体管54的叠层体的方法,但是本发明不局限于这种形态,也可以将衬底50薄型化。关于将衬底50薄型化的步骤,使用跟实施方式7同样的步骤而进行,所以在此省略说明。
实施方式11
本发明的半导体装置优选使用可以抑制发生电荷的抗静电型的衬底,以抑制静电的影响。就此将参照图21A至21E说明抗静电型的衬底。以下,将抗静电型的衬底大致分为五个类型而说明。
第一种为设置有绝缘层251以及在绝缘层251上设置有含有导电性材料的层252的衬底(参照图21A)。作为含有导电性材料的层252,通过使用涂镀法、气相沉积法以及溅射法等,而形成含有铝(Al)、金(Au)、锌(Zn)、氧化铟锡(ITO)等的金属的层。或者,作为含有导电性材料的层252,形成含有导电性涂料的层。导电性涂料为在涂料中混入导电性材料(碳黑或银粒子等)的细粉的材料。
第二种为设置有绝缘层253而且在绝缘层253的表面上设置有被亲水化了的层254的衬底(参照图21B)。为了实现亲水化,采用使用酸的处理、使用等离子体的表面处理。第三种为含有混杂有导电性材料的绝缘层255的衬底(参照图21C)。作为导电性材料,使用金属粉、碳黑、碳纤维等。
如上述三种衬底那样,通过使带电的衬底导电化而使其一端接地,可以容易除去电荷。因此,可以抑制静电的影响。
第四种为设置有绝缘层256以及在绝缘层256上设置有含有抗静电剂的层257的衬底(参照图21D)。第五种为含有混入了抗静电剂的绝缘层258的衬底(参照图21E)。抗静电剂被分为阴离子系抗静电剂、阳离子系抗静电剂、两性抗静电剂、非离子系抗静电剂。作为阴离子系抗静电剂有烷基磺酸盐等,作为阳离子系抗静电剂有四烷基铵盐等,作为两性抗静电剂有烷基甜菜碱等,作为非离子系抗静电剂有甘油脂肪酸酯等。
如上述两个衬底那样,通过使用抗静电剂,而可以促进电荷的泄漏。因此,可以抑制静电的影响。
作为绝缘层251、253、256,使用硅、聚乙烯、聚丙烯、聚苯乙烯、AS树脂、ABS树脂(由丙烯腈、丁二稀、以及苯乙烯的三种聚合而构成的树脂)、丙烯酸树脂、聚氯乙烯、聚缩醛、聚酰胺、聚碳酸酯、变性聚苯醚、聚对苯二甲酸丁二醇酯、聚萘二甲酸乙二醇酯、聚对苯二甲酸乙酯、聚砜、聚醚砜、聚苯硫醚、聚酰胺-酰亚胺、聚甲基戊烯、酚醛树脂、尿素树脂、三聚氯胺树脂、环氧树脂、苯二酸二烯丙酯树脂、不饱和聚酯树脂、聚酰亚胺、以及聚氨基甲酸酯等而形成。
此外,上述的衬底(也可以称为衬体、薄膜、胶带)优选有柔性的特征。此外,在衬底的表面上,可以设置粘结层。粘结层为含有粘合剂的层。此外,衬底的表面可以被二氧化硅(硅石)涂层。通过涂层,即使在高温度并高湿度的环境下也可以保持防水性。此外,其表面也可以被以碳为主要成分的材料(例如,类金刚石碳)涂层。通过涂层,可以增大强度并且可以抑制含有多个晶体管54的叠层体的劣化和损坏。
实施方式12
本发明的半导体装置具有多个晶体管。多个晶体管各自具有半导体层、栅绝缘层以及栅极。在本实施方式中,说明多个晶体管各自具有的半导体层的制造方法的一个例子。
首先,通过溅射法、LPCVD法、以及等离子体CVD法等形成非晶半导体层。接着,通过使用激光晶化法、RTA(快速热退火)法、使用退火炉的热晶化法、使用促进晶化的金属元素的热晶化法、以及组合了使用促进晶化的金属元素的热晶化法和激光晶化法的方法等,使非晶半导体层晶化,以形成晶化了的半导体层。随后,将晶化了的半导体层加工为所希望的形状。
注意,在上述的制造方法中,优选使用组合以下两个晶化法的方法。该两个晶化法为包括热处理的晶化法,以及辐照连续振荡的激光束或以10MHz或更大的频率而振荡的激光束的晶化法。通过辐照连续振荡的激光束或以10MHz或更大的频率而振荡的激光束,可以使晶化了的半导体层的表面为平坦。通过使晶化了的半导体层的表面平坦化,可以使该半导体层的上层的栅绝缘层薄膜化,而且提高上述栅绝缘层的耐压。
此外,在上述制造方法中,优选使用连续振荡的激光束或以10MHz或更大的频率振荡的激光束。通过在辐照连续振荡的激光束或以10MHz或更大的频率振荡的激光束的同时,向一个方向扫描而得到的被晶化的半导体层具有结晶向该激光束的扫描方向成长的特性。通过将该扫描方向对应于沟道长度方向(当形成沟道形成区域时载流子所流过的方向)地配置晶体管,并且采用如下的方法作为栅绝缘层的制造方法,可以得到一种特性的不均匀性小并且电场效应迁移度大的晶体管。
接下来,说明多个晶体管各自包括的栅绝缘层的制造方法的一个例子。可以通过对半导体层进行等离子体处理并使其表面氧化或氮化,而形成栅绝缘层。例如,可以通过引入稀有气体(如He、Ar、Kr、Xe等)和混合气体(如氧、氧化氮、氨、氮、氢等)的等离子体处理而形成栅绝缘层。在此情况下,当通过引入微波而进行等离子体的激发时,可以生成低电子温度且高密度的等离子体(以下,简称为高密度等离子体)。使用这样的高密度等离子体而生成了的氧基(也有包括OH基的情况)或氮基(也有包括NH基的情况)使半导体层的表面氧化或氮化,以在半导体层上形成具有5至10nm厚度的绝缘层。该5至10nm厚度的绝缘层可以用作栅绝缘层。
注意,在这种情况下,由于使用高密度等离子体的处理的反应为固相反应,所以可以使该栅绝缘层和半导体层之间的界面级密度极为低。由于这种高密度等离子体处理使半导体层(晶体硅或多晶硅)直接氧化(或氮化),从而可以极度减少被形成的栅绝缘层的厚度的不均匀性。此外,该半导体层在晶体硅的晶粒间界中也不会被强度氧化,所以成为非常优选的状态。换句话说,通过进行在此所示的高密度等离子体处理使半导体层的表面固相氧化,可以形成具有良好的均匀性、低界面级密度的栅绝缘层,而不在晶粒间界中发生异常氧化反应。
注意,晶体管包括的栅绝缘层可以仅仅使用通过高密度等离子体处理而形成的绝缘层。此外,也可以将通过利用了等离子体或热反应的CVD法而形成的氧化硅、氧氮化硅、或氮化硅等的绝缘层层叠于由高密度等离子体处理而形成的绝缘层,来形成晶体管包括的栅绝缘层。在任何情况下,将通过高密度等离子体而形成的绝缘层包括在其栅绝缘层的一部分或整个栅绝缘层的晶体管可以使其特性的不均匀性减少。
此外,也有使用等离子体处理来形成晶体管包括的半导体层和栅绝缘层、以及其他绝缘层的情况。这种等离子体处理优选在电子密度为1×1011cm-3或更高并且等离子体的电子温度为1.5eV或更低的情况下进行。更详细地,优选在电子密度为1×1011cm-3至1×1013cm-3(包括1×1011cm-3和1×1013cm-3)并且等离子体的电子温度为0.5eV至1.5eV(包括0.5eV和1.5eV)的情况下进行。
当等离子体的电子密度很高,并且在要处理对象(例如,晶体管包括的半导体层、栅绝缘层等)附近的电子温度很低时,可以防止等离子体给要处理对象带来的损伤。此外,由于等离子体的电子密度很高为1×1011cm-3或更高,因此使用等离子体处理使要处理对象氧化或氮化而形成的氧化物或氮化物,跟通过CVD法或溅射法等而形成的薄膜相比,可以形成厚度等的均匀性高并且细致的膜。此外,由于等离子体的电子温度很低为1.5eV或更低,所以跟常规的等离子体处理以及热氧化法相比,可以在更低的温度下进行氧化或氮化处理。例如,即使在比玻璃衬底的扭变点低100度以上(含100度)的温度下进行等离子体处理,也因对要处理对象的表面进行了充分的氧化或氮化,而可以形成氧化物或氮化物。
注意,本实施方式的结构可以跟其他实施方式的结构组合而使用。
实施方式13
参照图22说明本发明的半导体装置的结构。本发明的半导体装置100具有运算处理电路101、存储电路103、天线104、电源电路109、解调电路110、以及调制电路111。在半导体装置100中,天线104和电源电路109是不可缺少的结构部件,而其他部件根据半导体装置100的用途而适当地提供。
运算处理电路101根据从解调电路110输入的信号来分析指令、控制存储电路103、并且向调制电路111输出要发送到外部的数据等。
存储电路103具有包括存储元件的电路及控制写入和读出数据的控制电路。在存储电路103中,至少存储有半导体装置自身的识别号码。使用识别号码是为了与其他半导体装置进行区别。此外,存储电路103具有选自有机存储器、DRAM(动态随机存储器)、SRAM(静态随机存储器)、FeRAM(铁电随机存储器)、掩模ROM(只读存储器)、PROM(可编程只读存储器)、EPROM(电可编程只读存储器)、EEPROM(电可擦与可编程只读存储器)、以及闪存中的一种或多种。有机存储器具有在一对导电层之间夹有含有有机化合物的层的结构。有机存储器由于具有简单的结构而可以使制造步骤简单化,以可以减少费用。此外,由于具有简单的结构,可以很容易使叠层体的面积小型化并且很容易实现大容量化。因此,作为存储电路103,优选使用有机存储器。
天线104将从读取/写入器112供给的载波转换成交流电信号。此外,由调制电路111施加负载调制。电源电路109使用天线104所转换了的交流电信号来生成电源电压,并且将该电源电压供给给每个电路。
解调电路110解调由天线104转换了的交流电信号,并且将被解调了的信号供给给运算处理电路101。调制电路111根据由运算处理电路101供给的信号,向天线104施加负载调制。
读取/写入器112将施加于天线104的负载调制作为载波来接收。此外,读取/写入器112将载波发送到半导体装置100。注意,载波是读取/写入器112所发射的电磁波。
注意,本实施方式的结构可以跟其他实施方式的结构组合而使用。
实施方式14
本发明的半导体装置通过利用其能够无接触地发送及接收数据的功能,而可以适用于各种物品和各种系统。所述物品例如是钥匙(参照图23A)、纸币、硬币、有价证券类、无记名债券类、证书类(驾驶执照、居民证等)、书籍类、容器类(实验室器皿等,参照图23B)、身边带的东西(包、眼镜等,参照图23C)、包装容器类(包装纸、瓶子等,参照图23D)、存储介质(唱片、录像磁带等)、交通工具类(自行车等)、食品类、衣服、生活用品类、以及电子器具(液晶显示装置、EL显示装置、电视机、便携式终端等)等。本发明的半导体装置通过贴附或嵌入在如上所述的各种形状的物品的表面上而被固定。
此外,系统指的是物资调运和库存管理系统、认证系统、流通系统、生产履历系统、书籍管理系统等。通过使用本发明的半导体装置520,可以谋求实现系统的高功能化、多功能化、以及高附加价值化。例如,将本发明的半导体装置520提供在身份证的内部,并且将读取/写入器121设置在建筑物的进口等(参照图23E)。读取/写入器121读取各人所带的身份证中的识别号码,然后将所读取的关于识别号码的信息供给给计算机122。计算机122根据由读取/写入器121供给的信息来判断允许或不许进入房间或退出房间。像这样,通过使用本发明的半导体装置,可以提供一种便利性被提高了的进入/退出房间管理系统。
注意,本实施方式的结构可以跟其他实施方式的结构组合而使用。
本说明书根据2005年9月29日在日本专利局受理的日本专利申请编号2005-285018而制作,所述申请内容包括在本说明书中。

Claims (13)

1.一种半导体装置,包括:
形成在衬底上的晶体管;
设置在所述晶体管上的第一绝缘层;
通过所述第一绝缘层的开口部分,与所述晶体管的源极或漏极连接的第一导电层;
设置在所述第一绝缘层上的第二导电层;
设置在所述第一导电层和所述第二导电层上的第二绝缘层;
通过所述第二绝缘层的开口部分,与所述第一导电层连接的天线;以及
中间夹所述第二绝缘层地设置在所述第二导电层上的第三导电层;
其中,所述第二导电层以及所述第三导电层处于电隔离的状态,并且
所述天线和所述第三导电层设置在相同的表面上。
2.根据权利要求1的所述半导体装置,其中,所述天线为偶极天线。
3.根据权利要求1的所述半导体装置,其中,所述天线为环形天线。
4.一种半导体装置,包括:
形成在第一衬底上的集成电路;
形成在所述集成电路上的绝缘层;
形成在所述绝缘层上且与所述集成电路电连接的第一导电层;
形成在所述绝缘层上的第二导电层;
形成在第二衬底上的天线;以及
在所述第二衬底上的第三导电层,
其中,所述第一衬底与所述第二衬底相对并且中间至少夹所述第一导电层、所述第二导电层以及所述天线,
所述第一导电层与所述天线电连接,
所述第二导电层和所述第三导电层处于电隔离的状态,并且
所述天线和所述第三导电层设置在相同的表面上。
5.根据权利要求4的所述半导体装置,其中,所述集成电路包括晶体管。
6.根据权利要求4的所述半导体装置,其中,所述天线为偶极天线。
7.根据权利要求4的所述半导体装置,其中,所述天线为环形天线。
8.一种半导体装置,包括:
形成在衬底上的晶体管;
设置在所述晶体管上的第一绝缘层;
通过设置在所述第一绝缘层中的开口部分与所述晶体管的源极或漏极连接的第一导电层;
设置在所述第一绝缘层上并且与所述第一导电层电连接的第二导电层;
设置在所述第一绝缘层、所述第一导电层以及所述第二导电层上的第二绝缘层;
为填充设置在所述第二绝缘层中的开口部分而设置且与所述第二导电层接触的第三导电层;
与所述第三导电层电连接的第四导电层;以及
与所述第一导电层、所述第二导电层、所述第三导电层、以及所述第四导电层电隔离的由导电材料构成的层,
其中,所述由导电材料构成的层以与所述第四导电层相同的材料并在相同的表面上形成。
9.根据权利要求8的所述半导体装置,其中,所述第四导电层为偶极天线。
10.根据权利要求8的所述半导体装置,其中,所述第四导电层为环形天线。
11.一种半导体装置,包括:
形成在衬底上的晶体管;
设置在所述晶体管上的第一绝缘层;
通过设置在所述第一绝缘层中的开口部分与所述晶体管的源极或漏极连接的第一导电层;
设置在所述第一绝缘层上并且与所述第一导电层电连接的第二导电层;
设置在所述第一绝缘层、所述第一导电层、以及所述第二导电层上的第二绝缘层;
为填充设置在所述第二绝缘层中的开口部分而设置且与所述第二导电层接触的第三导电层;
设置在所述第二绝缘层上的由第一导电材料构成的层;
与所述第三导电层电连接的第四导电层;以及
以与所述第四导电层相同的材料并在相同的表面上形成的由第二导电材料构成的层,
其中,所述由第一导电材料构成的层以及由第二导电材料构成的层与所述第一导电层、所述第二导电层、所述第三导电层、以及所述第四导电层电隔离。
12.根据权利要求11的所述半导体装置,其中,所述第四导电层为偶极天线。
13.根据权利要求11的所述半导体装置,其中,所述第四导电层为环形天线。
CN2006101595715A 2005-09-29 2006-09-27 半导体装置 Expired - Fee Related CN1940977B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005285018 2005-09-29
JP2005285018 2005-09-29
JP2005-285018 2005-09-29

Publications (2)

Publication Number Publication Date
CN1940977A CN1940977A (zh) 2007-04-04
CN1940977B true CN1940977B (zh) 2010-12-01

Family

ID=37618886

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101595715A Expired - Fee Related CN1940977B (zh) 2005-09-29 2006-09-27 半导体装置

Country Status (5)

Country Link
US (1) US20070069382A1 (zh)
EP (1) EP1770610A3 (zh)
JP (1) JP5298216B2 (zh)
KR (1) KR101298950B1 (zh)
CN (1) CN1940977B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8047442B2 (en) * 2007-12-03 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
EP2286446A1 (en) * 2008-06-02 2011-02-23 Nxp B.V. Electronic device and method of manufacturing an electronic device
JP5283075B2 (ja) 2008-12-26 2013-09-04 学校法人慶應義塾 電子回路
JP5515540B2 (ja) * 2009-09-10 2014-06-11 富士通株式会社 表示装置
CN104347945A (zh) * 2013-08-08 2015-02-11 国家电网公司 一种宽带uhf rfid电子标签天线和电子标签
JP7334701B2 (ja) * 2020-09-28 2023-08-29 横河電機株式会社 基板収容構造

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1144155C (zh) * 1997-06-23 2004-03-31 罗姆股份有限公司 智能模块和智能卡

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1111058A (ja) * 1997-06-23 1999-01-19 Rohm Co Ltd Icモジュールおよびこれを用いたicカード
JP2000294894A (ja) * 1998-12-21 2000-10-20 Seiko Epson Corp 回路基板およびその製造方法ならびに回路基板を用いた表示装置および電子機器
JP3613098B2 (ja) * 1998-12-21 2005-01-26 セイコーエプソン株式会社 回路基板ならびにそれを用いた表示装置および電子機器
US6724084B1 (en) * 1999-02-08 2004-04-20 Rohm Co., Ltd. Semiconductor chip and production thereof, and semiconductor device having semiconductor chip bonded to solid device
US6792333B2 (en) * 2002-06-04 2004-09-14 Semiconductor Energy Laboratory Co., Ltd. Product management method, program for performing product management, and storage medium having recorded the program therein
JP4001066B2 (ja) * 2002-07-18 2007-10-31 セイコーエプソン株式会社 電気光学装置、配線基板及び電子機器
JP2004104102A (ja) * 2002-08-21 2004-04-02 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
JP2004153015A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置及びその製造方法
JP4184776B2 (ja) * 2002-12-16 2008-11-19 大日本印刷株式会社 Icカード
US6969902B2 (en) * 2003-03-21 2005-11-29 Texas Instruments Incorporated Integrated circuit having antenna proximity lines coupled to the semiconductor substrate contacts
JP4322558B2 (ja) * 2003-05-30 2009-09-02 株式会社ルネサステクノロジ 電子タグ用インレットの製造方法
TWM243783U (en) * 2003-06-30 2004-09-11 Innolux Display Corp Structure of chip on glass
KR101051013B1 (ko) * 2003-12-16 2011-07-21 삼성전자주식회사 구동 칩 및 이를 갖는 표시장치
JP4916658B2 (ja) * 2003-12-19 2012-04-18 株式会社半導体エネルギー研究所 半導体装置
US7494066B2 (en) * 2003-12-19 2009-02-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7405665B2 (en) * 2003-12-19 2008-07-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, RFID tag and label-like object
US7319633B2 (en) * 2003-12-19 2008-01-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP4689260B2 (ja) * 2003-12-19 2011-05-25 株式会社半導体エネルギー研究所 半導体装置、ラベル又はタグ
JP4624093B2 (ja) * 2003-12-19 2011-02-02 株式会社半導体エネルギー研究所 半導体装置及びidタグ

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1144155C (zh) * 1997-06-23 2004-03-31 罗姆股份有限公司 智能模块和智能卡

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
全文.

Also Published As

Publication number Publication date
KR101298950B1 (ko) 2013-08-23
US20070069382A1 (en) 2007-03-29
JP2012146330A (ja) 2012-08-02
CN1940977A (zh) 2007-04-04
KR20070036709A (ko) 2007-04-03
EP1770610A3 (en) 2010-12-08
EP1770610A2 (en) 2007-04-04
JP5298216B2 (ja) 2013-09-25

Similar Documents

Publication Publication Date Title
CN1893094B (zh) 半导体器件以及其制造方法
JP5600714B2 (ja) 半導体装置の作製方法
CN1940977B (zh) 半导体装置
CN100576480C (zh) 半导体器件的制造方法
KR20090083362A (ko) 반도체 디바이스 및 이의 제작 방법
JP5487257B2 (ja) 半導体装置の作製方法
US8928131B2 (en) Semiconductor device and manufacturing method thereof
TWI409703B (zh) 半導體裝置
CN101233531B (zh) 半导体装置的制造方法
JP5296360B2 (ja) 半導体装置およびその作製方法
CN100580916C (zh) 半导体器件
TWI490925B (zh) 半導體裝置,製造半導體裝置之方法及具有半導體裝置之電子器具
JP5004537B2 (ja) 半導体装置
JP5352048B2 (ja) 半導体装置の作製方法
JP5159053B2 (ja) 半導体装置
JP5004503B2 (ja) 半導体装置
JP5084177B2 (ja) 半導体装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101201

Termination date: 20180927

CF01 Termination of patent right due to non-payment of annual fee