CN1728347B - 电镀半导体晶片的设备及方法 - Google Patents

电镀半导体晶片的设备及方法 Download PDF

Info

Publication number
CN1728347B
CN1728347B CN2005100809962A CN200510080996A CN1728347B CN 1728347 B CN1728347 B CN 1728347B CN 2005100809962 A CN2005100809962 A CN 2005100809962A CN 200510080996 A CN200510080996 A CN 200510080996A CN 1728347 B CN1728347 B CN 1728347B
Authority
CN
China
Prior art keywords
electroplating
wafer
head
meniscus
plating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005100809962A
Other languages
English (en)
Other versions
CN1728347A (zh
Inventor
Y·N·多尔迪
F·C·雷德克
J·M·博伊德
R·马拉彻欣
C·伍兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1728347A publication Critical patent/CN1728347A/zh
Application granted granted Critical
Publication of CN1728347B publication Critical patent/CN1728347B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • C25D17/14Electrodes, e.g. composition, counter electrode for pad-plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • C25D5/06Brush or pad plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Abstract

提供了一种用于电镀晶片表面的电镀设备。能够给晶片电气充电作为阴极。电镀设备包括电镀头,该电镀头能够位于晶片表面的上方或下面且能够被电气充电作为阳极。当晶片和电镀头被充电时,该电镀头能够在晶片的表面和电镀头之间进行金属电镀。该电镀头进一步包括:电压传感器对,其能够读取存在于电镀头和晶片的表面之间的电压,以及控制器,其能够接收来自电压传感器对的数据。当电镀头放置在晶片表面上方的位置时,控制器使用来自电压传感器对的数据来保持由阳极施加的基本恒定的电压。还提供了一种晶片的电镀方法。

Description

电镀半导体晶片的设备及方法
技术领域
本发明涉及半导体晶片淀积和平整化,尤其是涉及利用局部淀积更有效地淀积薄膜和实现局部平整化的设备和技术。
背景技术
电镀是很好建立的(well-established)淀积技术。在半导体制造技术中,通常通过将晶片浸入电解液中在单晶片处理器中进行电镀。在电镀期间,晶片通常由在相对于用作阳极的荷正电板(也被浸入电解液中)的为负电位或地电位的晶片夹持器夹持。例如,为了形成铜层,电解液通常在约0.3M和约0.85M CuSO4之间、pH在约0和约2之间(由H2SO4调节)、具有痕量级(以ppm浓度计)的专利有机和/或无机添加剂,以提高被淀积的材料质量。
在电镀工艺期间,通常将晶片旋转以便于均匀的电镀。在电镀工艺期间获得了足够的膜厚之后,将晶片从电镀室移动到另一个室,在此晶片被去离子(DI)水冲洗,以从晶片表面去除残留的电解液。接下来对晶片进行另外的湿法处理,以从背面和斜边去除不希望的铜,然后另外的DI水冲洗去除湿法处理的化学残留物。然后在晶片准备化学机械平整化(CMP)操作之前,使其干燥并退火。与在真空环境中处理晶片不同,晶片处理期间的“湿法”操作之后,紧接着进行另外的DI水冲洗和干燥步骤。由于电解液稀释影响和增加的硬件设计复杂性,在电镀室内通常不进行DI水冲洗。现今,在晶片电镀工具上约百分之五十的湿法处理站用于电镀,对晶片生产量有显著的负面影响并增加了工艺成本。另外,为了能够在阻挡层上实现直接的铜电镀,必须将表面活化和电镀之间的时间量减到最少。由表面活化之后冲洗晶片和将晶片输送到电镀模块上花费的额外时间限制了表面活化操作的有效性。在湿法处理步骤之间消除或减少分开的DI水冲洗的量提供了更有效的方法学。
在电镀工艺期间,晶片用作需要电源电连接晶片的阴极。通常,在晶片夹持器或支撑物上的许多分立的接触将晶片夹持器电连接到晶片的边缘上。利用经由这些接触提供的电流来电镀晶片。在传统的方法中,以提供均匀淀积为目的,电镀电流均匀地分布在晶片的周边周围。均匀的淀积通常要求经由电阻籽晶层与晶片均匀且一致的接触电阻。因此,为了努力提供均匀的淀积,接触与衬底的清洁和重复性是优选的。在一些情况下,清洗接触需要进一步限制电镀操作生产率的另外工艺。
双极效应是铜电镀时的另一挑战,且当接触电阻非常高时观察到了双极效应。当在电镀工艺中使用湿法接触时出现了双极效应。该效应引起了直接在接触下面的铜籽晶层的蚀刻,由此在电镀期间切断了晶片和电源之间的电接触。当使用干接触时避免了双极效应,然而干接触方法需要复杂的密封设计且有可靠性问题的倾向。随着半导体晶片上的特征尺寸继续缩小,还希望铜籽晶层的厚度从目前的约1000埃减小到小于约400埃。籽晶层的厚度减少必需确保在部件特征的合理尺寸的开口,以便在铜电镀工艺期间能够填充无空间隙(void freegap)。由于籽晶层的作用是在电镀期间在整个晶片上方分布电镀电流,所以更薄且更大电阻的籽晶层在设计用于在晶片外围上的接触附近均匀电镀的室中引入了重大的挑战。公知为端子效应,该效应在较大的晶片上是显著的,如现今的300mm晶片。
因此需要的是在半导体晶片的阻挡层上提供均匀电镀的电镀系统,而没有双极效应。
发明内容
一般地说,本发明是利用基于弯月面的电镀工艺基于弯月面的电镀工艺(a meniscus based plating process)提供局部电镀的设备。
应当意识到,可以以包括工艺、设备、系统、器件或方法的许多方式实现本发明。如下描述本发明的几个有发明实施例。
在一个实施例中,提供了一种用于电镀晶片表面的电镀设备。能够给晶片电气充电作为阴极。电镀设备包括电镀头,该电镀头能够位于晶片表面的上方或下面且能够被充电作为阳极。当晶片和电镀头被充电时,该电镀头能够在晶片的表面和电镀头之间使金属电镀成为可能。该电镀头进一步包括:电压传感器对,其能够读取存在于电镀头和晶片的表面之间的电压,以及控制器,其能够接收来自电压传感器对的数据。当电镀头放置在晶片表面上方的位置时,由控制器使用从电压传感器对接收的数据,来保持由阳极施加的基本恒定的电压。
在本发明的另一实施例中,提供了一种晶片表面的电镀方法。该方法由如下组成,在晶片表面上方形成电镀弯月面,该电镀弯月面具有施加的电荷以能够电镀金属材料,在晶片表面的整个位置上移动电镀弯月面,以及控制电镀弯月面的所施加的电荷。当在晶片表面的整个位置上移动电镀弯月面时改变施加的电荷,使得电镀层在整个晶片表面上是基本均匀的。
在本发明的另一实施例中,提供了一种用于电镀晶片表面的电镀设备。配置得位于晶片表面上方的电镀头能够被电气充电成为用于在晶片表面上方电镀金属层的阳极。该电镀头进一步能够在电镀头和晶片表面之间提供第一流体。配置得放置于晶片表面上方的接近头能够沿着电镀头的路径行进且能够处理晶片的表面。
在本发明的另一实施例中,提供了一种电镀晶片表面的系统。电镀头能够位于晶片表面的上方且能够被充电作为阳极。当晶片和电镀头被充电时,该电镀头能够在晶片的表面和电镀头之间使金属电镀成为可能。该电镀头包括:电压传感器对,其能够读取存在于电镀头和晶片的表面之间的电压;以及控制器,其能够接收来自电压传感器对的数据。当电镀头放置在晶片表面上方的位置时,由控制器使用该数据来保持由阳极施加的基本恒定的电压。该系统进一步包括能够清洗和干燥晶片表面的接近头,能够在处理期间将晶片输送和夹持在适当位置的晶片处理机构;以及能够控制电镀系统操作的计算机。
本发明的优点很多、最引人注目的是:实施例使局部地电镀成为可能,由此减少了电镀的有效面积和改善了化学交换。局部的金属电镀减少了必须分布在阻挡层或籽晶层上方的总的电镀电流,由此显著地减少了电阻层效应(resistive layer effect)并改善了淀积的均匀性。恒定监测并控制由阳极施加的电压在进行电镀操作的晶片的整个表面上提供了均匀的电流密度。同时存在的预处理、电镀、冲洗和干燥技术强化了产生更有效方法学的处理。例如,通过在相邻的结构中具有预清洗和电镀工艺,局部处理的环境条件可以相对地保持无氧。可以使用惰性气体掩蔽物,来防止形成于预清洗和电镀工艺步骤之间的活性表面区的再氧化。结合附图,自下面的详细说明,本发明的其它方面和优点将变得显而易见,借助实例阐释本发明的原理。
附图说明
结合附图通过下面的详细说明,将容易理解本发明。为了便于该说明,相同的附图标记表示相同的结构元件。
图1示出了根据本发明的电镀设备的一个实施例。
图2提供了根据本发明的具有清洗组件的电镀设备的实例。
图3示出了在根据本发明的电镀操作期间的电镀设备。
图4是根据本发明的与晶片接触的电镀设备的电路图。
图5提供了根据本发明的在晶片上方的电镀头的详细侧视图。
图6a提供了根据本发明当电镀头在晶片边缘的上方转移操作时的详细侧视图。
图6b提供了根据本发明当电镀头在晶片边缘的上方转移操作时的顶视图。
图6c是根据本发明当操作时晶片位于电镀头下面时的电流密度的代表曲线。
图7提供了根据本发明当电镀头在晶片上方转移操作时的侧视图。
图8示出了根据本发明的一个实施例用于电镀操作的晶片输送设备的实例。
图9提供了根据本发明的电镀设备的另一实施例。
图10a示出了根据本发明另一实施例操作时的电镀系统。
图10b示出了根据本发明电镀系统的另一实施例。
图11示出了根据本发明另一实施例电镀系统到能够进行各阶段处理的较大的处理设备中的集成。
图12是提供了根据本发明的电镀的示范性方法的流程图。
图13提供了根据本发明一个实施例的电镀系统的操作方法的流程图。
具体实施方式
本发明公开了用于电镀晶片表面的方法和设备。本发明总体在半导体晶片的干燥区域中提供电接触同时在阻挡层上制造均匀的电镀。尽力提供一体化的冲洗工艺,以减少对电解液的曝光时间并强化处理。为了确保层的均匀性,本发明实施与晶片单一点的干燥的电接触同时保持恒定电压,由此在整个晶片上均匀地分布电流密度。
下面的描述提供了在半导体技术的电镀技术领域中的另一进步。在下面的描述中,为了提供本发明的彻底理解提出了许多具体的细节。然而,本领域普通技术人员将理解,在没有这些具体细节的一些或全部的条件下也可以实施本发明。换句话说,为了不使本发明不必要地晦涩,没有详细地描述公知的工艺操作。
图1示出了根据本发明一个实施例的示范性的电镀设备100。晶片W能够被电连接到晶片后缘处的电接触150a和贴附到晶片W的边缘禁区(edge exdusion region)中的晶片前缘处的电接触150b。为了使电接触150a和150b贴附到晶片W的边缘禁区或与晶片W分离,可以使电接触150a和150b在方向162上物理地移动。边缘禁区存在于晶片的外围且对于200mm和300mm的晶片通常在约1mm至约3mm之间。电源利用电接触150a或150b给晶片W充负电,以用作阴极。
电镀头110能够由电源充电作为阳极,且能够被悬于晶片W上方。虽然未示出,但可以将电镀设备100倒置,以便使晶片W位于电镀头110上方。电镀头110没有与晶片W的表面接触,然而它能够非常地接近晶片W放置。可将电镀头110配置成横跨晶片W表面延伸的杆(bar)。可以由臂、吊挂杆或能够使电镀头110放置在晶片W表面上方的任何合适的器件来支撑电镀头110。晶片W可具有籽晶层。然而,籽晶层是任选的,一些实施例可在进行电镀操作之前受益于形成于其上的籽晶层。当铜是被电镀的材料时,籽晶层通常是可利用公知的技术溅射或淀积的薄层的铜。
当电镀头110在晶片W表面上方朝着晶片后缘的电接触150a的相对方向160上行进时,电镀头110在其路径上留下了电镀层103。通过由弯月面(meniscus)中包含的电解液促进的电化学反应来形成电镀层103。弯月面是限定在电镀头110和晶片W之间的流体容积(以下更详细地论述)。在可选实施例中,可以在不是籽晶层的层的上方形成电镀层103。这种层的例子可以是阻挡层或一些其它层材料。电镀层103可以是可以被电镀的任何金属,如铜、镍、钴、钌、上述列出的金属的合金或适合于半导体应用的复合金属材料之一。
可以编程电镀头110,使得以许多方式扫描晶片W。应当意识到,系统是示范性的,且可以利用将能够使头移动到非常接近晶片中的任何其它合适类型的结构。在优选的实施例中,电镀头110可以以线性方式从晶片W的一个边缘移动到晶片W的另一完全相反的边缘。例如,可以利用其它的非线性运动,如径向运动、圆周运动、螺旋形运动或Z字形运动等。例如,如果晶片W和接触150a和150b被旋转,则电镀头110将在晶片W的中心起动且向前线性地移动到外边缘。
在另一实施例中,电镀头110可以操纵晶片W同时以轨道的方式或其它方式环绕在晶片上方以能够处理晶片的所有部分的方式移动。该运动还可以是如使用者所希望的任何适合的具体运动轮廓。在移动期间,希望电镀操作将金属材料的均匀层提供给晶片W的表面。以下将更详细地描述关于电镀头110操作的详细情况。
如在此使用的,局部的金属电镀用于限定电镀头110下面的区域,在此淀积了金属材料。如图(图1-3和图5-10)所示,电镀头110下面的区域小于晶片W的整个表面区域。因此,局部的金属电镀将仅在给定的时间点处出现在电镀头110的下面。为了在晶片W的表面上方完成更多的金属电镀,电镀头110将在晶片W的另一表面区域上方移动。在示范性的实施例中,将电镀头110配置成杆状,且电镀头110能够移动确保在晶片W的整个表面上方均匀的电镀。可选地,由于电镀头110在晶片W上方的移动是相对的,所以代替移动电镀头110,可以移动晶片W和电接触150a和150b。
图2提供了在由电镀头110进行电镀操作之后,能够清洗、冲洗和干燥晶片W的电镀设备的实施例。操作期间,电镀头110在朝着晶片W边缘和晶片110的未电镀区的相对方向160继续进行。将没有清洗的电镀层103示出为位于电镀头110和已知为接近头(proximityhead)130的第二头之间。接近头130具有能够提供去离子水、化学制品、稀释的化学制品和异丙醇中的一种或多种的多个输入。在接近头130中还包含多个输出,该输出能够移动来自晶片W表面的流体。
接近头130能够清洗、冲洗和干燥具有电镀层103的晶片W的区域,从晶片W的表面去除任何残留的电解液。最靠近晶片前缘处的电接触150b的晶片W边缘处示出的干燥电镀区105已由接近头130清洗。在一个实施例中,可以在方向162上移动晶片前缘处的电接触150b,使其在晶片前缘处进行的最初电镀操作期间不与晶片W接触。在150b和晶片W之间的这种分离的情况下,设置晶片后缘处的电接触150a以与晶片W物理地接触,提供电化学反应必需的电流路径。
图3提供了根据本发明的晶片电镀设备的可替换视图。如以上图1和2中论述的,电镀头110在朝着晶片后缘处的电接触150a的晶片W上方的相对方向160上继续进行。操作期间,在电镀头110的下面形成了电镀弯月面111,电镀弯月面111与电镀头110和晶片W都接触。
弯月面使用流体的表面张力梯度(STG)来保持流体在表面上方或下面或表面之间的密封区域。在2002年9月30日申请的、标题为“Method and Apparatus for Drying Semiconductor Wafer SurfacesUsing a Plurality of Inlets and Outlets Held in Close Proximityto the Wafer Surfaces”的共同未决的美国专利申请No.10/261,839中公开了由接近头使用的弯月面的操作。电镀弯月面111包含能够完成到晶片W的电路径的电解液以及用于电镀操作必需的材料,如下面的图5-7中描述的。电镀头110和电镀弯月面111在朝着晶片101的未电镀区的相对方向160上移动,留下电镀的、还未被冲洗的晶片部分103。在一个实施例中,跟随着电镀头110之后的接近头130具有提供了去离子水(DI水)、异丙醇(IPA)和真空中之一的清洗弯月面131。接近头130清洗包含电镀层103的晶片表面,留下干燥的电镀区105。由接近头130进行的清洗可包括任选的冲洗。如果由多个输出提供的真空足够强以去除晶片表面上的流体则IPA使用是任选的且是没必要的,且接近头130的移动受控。通过提供连续的供给和去除IPA边界内的DI水,接近头130能够以2002年12月24日申请的、标题为“Meniscus,Vacuum,IPA Vapor,Drying Manifold”的美国专利申请No.10/330,843中描述的方式操作。
在本发明的另一实施例中,部分电镀头110可结合接近头130的特征,由此去除了对用于清洗包含电镀层103的晶片W表面的分离的头或杆的需要。还可以以任何合适的方式将以上的图2和图3中论述的接近头130贴附到电镀头110上,以便提供晶片W的有效冲洗和干燥。
图4提供了根据本发明一个实施例的电镀设备的电路图。利用电镀弯月面111将充正电作为阳极的电镀头110电连接到晶片W上。通过电解液和流体中包含的导电材料实现电镀弯月面111的导电性。当开关155与晶片后缘处的电接触150a接触且在其方向上工作时,形成于电镀头110和晶片W之间的电镀弯月面111提供了电子行进到晶片后缘处的电接触150a之间的晶片W表面上的电路径。给电接触150a和150b充负电或接地,完成了从电镀头110,即阳极,到晶片W,即阴极的电路。虽然简单地示出,但开关155能够与接触150a、150b或150a和150b啮合。当电镀头110在晶片W的整个表面上的相对方向160上继续进行时,开关155在电镀操作期间能够使电接触从150a增加地移动到150b。在另一实施例中,为了提供到晶片W的电接触或与晶片W分开,接触150a和150b能够独立的物理移动(如以上图1中论述的)。
图5提供了聚焦于电镀头110上的电镀设备的详细说明。在电镀头110内的储存器中提供了电镀化学118。可设计电镀化学118用于电镀铜,然而可根据具体的涂覆(即,需要的金属材料的类型)代替其它的电镀化学。可以由用于淀积金属、合金或复合金属材料的水溶液限定电镀化学118。在一个实施例中,电镀的金属可以包括但不局限于铜材料、镍材料、铊材料、钽材料、钛材料、钨材料、钴材料、合金材料、复合金属材料等中的一种。电镀液118提供了用于形式MeX+1[络合物]+e-→MeX[络合物]的晶片W表面处的反应。在该情况下,Me可以是金属离子如Cu,且x是2。络合剂可以是亚乙基二酰胺、氨(NH3)、葡庚糖酸或其它。
电镀头110在包含电镀化学118的储存器的任一侧上具有阳极112。可通过电源为电化学反应提供电荷来将电压施加到阳极112上。晶片W和上述的晶片后缘处的电接触150a之间的单一干接触提供了传统意义上非径向对称的电流路径。包含在电镀弯月面111中的电镀化学118提供了电解溶液,由此当已将电荷施加到阳极112上时,晶片W表面处的反应是Cu+2+2e-→Cu。当电镀头110在朝着晶片后缘处的电接触150a的相对方向160上继续进行时,在电镀头110下面经受电镀操作的区域中实现了均匀的电流分布。
将电源连接到晶片前缘处的电接触150b和晶片后缘处的电接触150a。电接触150a和150b能够独立地控制和独立地接触晶片W。多孔涂敷器114直接位于电镀化学118的下面,提供用于储存器的基座。多孔涂敷器114由多孔陶瓷或能够使电镀化学118从储存器传到电镀头110和晶片W表面之间区域的其它合适的材料组成。在2004年6月28日申请的、标题为“Electroplating Head and Method for Operatingthe Same”的共同未决的美国专利申请No._ _ _ _ _(代理号LAM2P486)中描述了合适的多孔涂敷器114的实例,且将其全部并入这里作为参考。多孔涂敷器114用于将电流I从阳极112以基本上垂直的入射角度流动到晶片W的表面。
经过多孔涂敷器114的电镀化学118优选限制在电镀弯月面111中,将电镀弯月面111限制为位于电镀头110下面的晶片W上方的流体的薄层。电镀弯月面111的厚度可以基于希望的应用而变化。在一个实例中,弯月面的厚度可在约0.1mm和约10mm之间变化。因此,靠近晶片表面设置电镀头110。如在此使用的,术语“靠近”限定了电镀头110的下表面和晶片W表面之间的间隔,且该间隔应当足以形成流体弯月面。多孔涂敷器114用作靠近晶片W表面的电镀弯月面111处的电阻板(resistive plate)。经由储存器和多孔涂敷器114之间的隔膜产生了阳离子(cations)。
根据本发明,通过在包含电镀化学118的电镀弯月面111中发生的化学反应形成了电镀材料。充电电镀头110内的阳极112促进在晶片W表面上的化学反应。为了能够电镀,在晶片W处进行化学中的离子减少,对其充电作为经由电接触150a到电源的阴极。化学反应导致形成了电镀层103。由接近头130(以上论述的)去除反应副产品和废的反应物流体。
依然参考图5,当电镀头110在晶片W表面上方的相对方向160中移动时,在电镀头110的主要侧上的电压传感器对126提供了电压传感器121和122之间与控制器的电压监测数据。同样地在电镀头110的后缘上,第二电压传感器对128提供了在电镀头110后缘上的电压传感器123和124之间与控制器的电压监测数据。控制器接收来自电压传感器对126和128的反馈,且能够调整由电源提供到阳极112和电接触150a和150b的功率。电压传感器对126和128提供了到控制器的数据,该控制器能够提供电流密度控制。
如图6a所示,根据本发明,反馈机构用于监测和控制施加到电镀头110上的功率。电镀头110在晶片W的边缘上方转移期间,来自电压传感器对126和128的反馈用于监测和随后控制由电源到电镀头110分布的功率。当晶片开始在电压传感器126下面经过时,接收来自电压对126和128的数据的控制器将注意到晶片W的存在。在一个实施例中,晶片W停在具有在自与晶片W物理接触的方向162上移动的晶片前缘处的电接触150b的支撑物165上。然后在晶片W的干边缘禁区中的晶片后缘的电接触150a处施加负电荷(参见图1-5)。当电镀头110在晶片W边缘上方的相对方向160上移动时,即使发展了电镀区也有效地控制了电镀操作,随着由电镀头110对向的表面区域的电阻率降低而增加电流I以保持恒定电压。在电镀头110下面的区域中的电流密度有效地保持了恒定。控制器还能够使电镀头110仅部分地位于晶片W的上方。
图6b提供了根据本发明当电镀头110在晶片W的前沿上方转移时的电镀设备的顶视图。如示出的,晶片前沿处的电接触150b没有接触晶片W。电镀头110后缘上的电压传感器对128还没有转移到晶片W的上方。电镀弯月面111存在于部分晶片W的上方,由此提供电流I经由晶片后缘处的电接触150a引入到晶片W的整个表面上。进行电镀操作时,电压传感器对126位于晶片W区域的上方。控制器(参见图5和图6a)能够调整施加到由电镀头110对向的(subtended)晶片W区域上的电流I的量。
图6c提供了根据本发明在进行电镀操作时施加到晶片W的整个表面上的电流量的曲线表示。当电压保持恒定时,晶片的表面区域和施加的电流之间的关系由V=IR控制。在图上,x轴表示自前沿到晶片W后缘的距离,且还可以表示电镀头110横穿晶片W的表面所花费的时间。曲线Xe下面的区域表示在晶片前沿施加的电流的量。曲线Xc下面的区域表示当最大表面积的晶片由电镀头110对向时,在晶片中心施加的电流的量。来自由控制器接收的电压传感器对126和128的数据可以提供如图6c所示的代表图。当电镀头110横穿晶片W时,即使发展了电镀区也有效地控制了电镀操作。能够接收来自电压传感器126和128的数据的控制器确保了,施加在晶片表面处的电流在幅度上与由电镀头110对向的晶片W的表面积和弯月面111成比例。当由电镀头对向的表面区域的电阻率朝着晶片W的中心降低和自朝着后缘的中心增加时,增加电流以保持恒定电压。
随着电镀头110横跨晶片W的中心,移动电接触150a和150b的使用。为了保持均匀的电流密度,使用距电镀头110最大距离的电接触完成电路。当使用的接触最大程度地线性离开电镀头110时,电流场线是最均匀的(在相对方向160前进的垂直电镀头110)。通过从晶片后缘处的电接触150a到晶片前缘处的电接触150b的开关接触,在电镀头110下面的区域中的电流密度有效地保持了恒定。
图7是在晶片W中心上方的电镀头110操作时的侧视图。当电镀头110在朝着晶片W后缘的相对方向160上继续移动时,电镀头110在其路径上留下了电镀层103。电流I从阳极112经由电镀化学118、经由多孔涂敷器114引入到与晶片W接触的电镀弯月面111中。电镀弯月面的电解性质确保了在电镀头110下面的均匀电流密度。在晶片W中心上方的电流路径穿过多孔涂敷器114和电镀弯月面111是均匀的。
在电镀头110横穿部分晶片W之后,接近头130(上述图2-3中论述的)清洗并干燥该区域。当电镀头110前进到了晶片中心附近的区域时,可以由晶片前沿处的电接触150b来接触干燥的电镀区105(图2和3中示出干燥的电镀区105)。当晶片前沿处的电接触150b与晶片接触时,移除晶片前沿处的电接触150a,来保持在晶片W即阴极的表面提供均匀的电流分布的单一干接触。
在从晶片后缘处的电接触150a转移到晶片前沿处的电接触150b期间,必须小心地控制施加到150a和150b上的电荷。在由电源施加电流到150b之前,进行晶片前沿处电接触150b的物理接触。当电镀头110横穿晶片中心时,电流I从150a转移到150b,保持了总的和恒定的电流密度,为了保持电压传感器对126和128两端的恒定电压,同时将后缘150a的电流减小到零且同时将前沿150b的电流增加到希望的程度。根据本发明,通过使用与晶片表面的单一点干电接触避免了传统地直接在接触下面的电镀材料引起蚀刻的双极效应。因此在本发明中简化了电镀接触硬件,显著地改善了工艺控制和可靠性。
图8提供了电镀设备的顶视图。可以在相对方向160上、在支撑物165上的电镀头110的下面输送多个晶片W。在由电镀头110进行的最初电镀之后,接近头130可以成一直线,用于随后清洗晶片W。可以以与传送带型处理相同的方式沿着传输机构定位一连串的电镀头110和接近头130。其它合适的晶片输送和处理机构可以用于改善电镀工艺的效率。
图9是电镀设备的另一实施例。在该实施例中,将电镀头110和接近头130贴附到能够设置于晶片W表面上方的主轴220上。将晶片W放置在支撑物165上,且能够通过电接触150a和150b对晶片W充电用作阴极。主轴220支撑电镀头110和接近头130,且能够在相对方向160或反方向上移动,目的是使主轴放置在晶片W上方的位置上。在电镀期间,主轴220可以扫描晶片W的整个表面。主轴220能够180度重新定位电镀头110和接近头130,使得接近头130跟随电镀头110,如果电镀头在与相对方向160相反的方向上扫描晶片W的话。电镀弯月面111示于电镀头110和晶片W之间,而在电镀头110之后示出了位于接近头130和晶片W之间的清洗弯月面。
图10a是根据本发明一个实施例的电镀系统500的图。电镀头110由放置于支撑物165上的晶片W上方的头传送器185支撑。晶片传送器187能够在电镀头110下面移动晶片W。计算机350,还已知为控制器,能够配合包括晶片W相对于电镀头110相对移动的电镀系统500的操作。在另一实施例中,头传送器185可以配备接近头130,接近头130能够在清洗晶片W的电镀头110的路径上前进。在又一实施例中,头传送器185可以支撑如以上图9中论述的主轴220,配置头传送器185使其支撑电镀头110和接近头130。
在另一实施例中,将涡流传感器230集成到电镀系统500中,如图10b所示。可以将涡流传感器230放置在接近头130(在图2、89、10a、10b中)或主轴220(图9)中,主轴220具有放置在晶片W下面的支撑物165中的互补传感器(complimentary sensor)。使用涡流传感器230来确定金属层的存在和厚度以及确定具体的工艺何时完成(例如,终点)或其任何的组合。在一个实施例中,可以在电镀工艺期间读取电镀层103的厚度。以该方式,可以从与计算机350联系的涡流传感器230获得金属材料的受控涂覆。虽然简单地绘制,但涡流传感器230的放置仅是示范性的,且涡流传感器230的合适设置可取决于该涂覆。当然,可以使用用于测量电镀层103和干燥电镀区105的厚度的其它技术。为了更详细地描述涡流传感器230的功能性,可以参考2002年6月28日申请的、标题为“Integraion of Sensor BasedMetrology into Semiconductor Processing Tools”的美国专利申请No.10/186,472,且将其并入这里作为参考。
图11示出了到能够完成几个阶段晶片处理的较大处理设备中电镀系统的集成。可以将上述图1-10中描述的电镀设备集成到晶片输送和控制系统中,该系统能够在蚀刻系统、清洗系统、化学机械平整化系统、淀积系统、注入系统、光刻系统、掩蔽系统和检验系统中处理晶片。这种处理工具的集成可包括以簇物理地布置或者以间隔有效的方式(限制处理工具的底座)提供多工具功能性的其它合适的结构。这种工艺的集成可依赖于半导体制造商的需要和各种装备组件的兼容性。由Semiconductor Equipment and Materials International(SEMI)建立的工业标准,如Modular Equipment Subcommittee forCommunications(MESC)和Generic Equipment Model(GEM)有助于集成晶片处理设备。
图12是提供根据本发明的电镀方法的流程图。提供如上述的图1-11所述的电镀设备,该方法当在操作400中电镀弯月面在晶片表面的整个位置上移动时开始。电镀弯月面形成于电镀头和晶片的表面之间。电镀弯月面包含如以上图中描述的电镀化学和电解液。接下来,在操作404中,将电荷可控制地施加到电镀弯月面上。通过由电源充电作为阳极的电镀头,将施加的电荷提供给电镀弯月面。施加的电荷构成电压和电流分量,且可在电镀工艺期间通过基本上调整电压或电流来控制。在操作408中,改变施加给电镀弯月面的电荷,以确保基本均匀的电镀层。调整施加的电荷确保了将均匀的电流密度加到整个晶片表面上。
图13是提供根据本发明的电镀系统的操作方法的流程图。给出如以上图1-11所述的电镀设备,操作员或输送系统必须提供具有籽晶层502的晶片。在可选的实施例中,晶片不可以具有还形成于其上的籽晶层。可以以许多方式将晶片输送到电镀设备的晶片支撑物上。晶片输送可包括由机械的、真空、静电的或夹持晶片的其它方式辅助的一系列手工的或自动化机器人运动。然后晶片提供了在边缘禁区504中的电接触。接下来在操作506中,将电镀头放置在晶片希望的电镀区上方。可预定义电镀头的放置并由自动化的程序促使电镀头的放置。可在晶片的整个表面上扫描电镀头。
在操作508中,电源将电荷提供给负责电镀的电镀头。可在包括晶片和臂移动期间的电镀之前的任何时间施加电荷。在操作510中,多孔涂敷器在电镀头和晶片的表面之间提供了电解电镀化学。经由电解电镀化学将由电镀头中的阳极施加的电荷传送到完整电路的晶片上,且在操作512中电镀材料。多孔涂敷器用于将电流I以基本上垂直的入射角度流动到晶片W的表面上。调整施加的电荷,以确保将恒定电流密度施加到在电镀位置加深电镀的晶片的整个所有区域上。
在不影响电镀工艺的条件下,可以互换操作508和510。直至电解化学与晶片的表面接触才完成能够进行电镀的电路。电解电镀化学确定由电化学反应电镀的材料。可根据使用的电镀化学来电镀不同的材料,在示范性实施例中可使用铜电镀化学在晶片上电镀铜层。
虽然已根据几个优选实施例描述了该发明,但将意识到,一旦阅读了前述的说明书和研究了附图,本领域技术人员将实现各种变形、添加、置换及其等价。例如,在此描述的电镀系统可以利用任何形状和尺寸的衬底,例如200mm晶片、300mm晶片、平板等。因此这意指本发明包括如落入要求保护的发明的真实精神和范围内的所有这种变形、添加、置换及其等价。

Claims (26)

1.一种用于电镀晶片表面的电镀设备,该晶片的表面能够被电气充电作为阴极,包括:
电镀头,其能够位于晶片表面的上方或下面且能够被电气充电作为阳极,当晶片和电镀头被充电时,该电镀头能够在晶片的表面和电镀头之间进行金属电镀;
该电镀头进一步包括:
电压传感器对,其能够读取存在于电镀头和晶片的表面之间的电压;
控制器,其能够接收来自电压传感器对的数据,当电镀头放置在晶片表面上方的位置时,由控制器使用该数据来保持将由阳极施加的恒定的电压;以及
多孔涂覆器,其能够供给能够进行金属电镀的电解液和电镀化学;
其中电解液和电镀化学在电镀头和晶片表面之间形成弯月面。
2.如权利要求1所述的用于电镀晶片表面的电镀设备,其中使用从电压传感器对获得的数据来确定何时将电镀头至少部分地定位在晶片上方。
3.如权利要求1所述的用于电镀晶片表面的电镀设备,其中形成于电镀头和晶片表面之间的弯月面有利于在电镀头对向的区域上的金属电镀。
4.如权利要求1所述的用于电镀晶片表面的电镀设备,其中在电镀头和晶片表面之间由包含电镀化学和电解液中一种的流体的表面张力梯度来保持弯月面。
5.如权利要求1所述的用于电镀晶片表面的电镀设备,其中能够接收来自电压传感器对的数据的控制器确保了,施加在晶片表面的电流在幅度上与电镀头和弯月面对向的晶片的表面积成比例。
6.如权利要求1所述的用于电镀晶片表面的电镀设备,其中分析由控制器收集的数据以便提供当电镀头放置在晶片表面上方的位置时由阳极施加的电流量。
7.如权利要求1所述的用于电镀晶片表面的电镀设备,其中该电镀设备进一步包括:
接近头,其能够清洗和干燥晶片的表面;
接近头包括:
多个输入,其能够提供去离子水和化学制品中的一种或多种;和
多个输出,其能够去除由输入提供的流体或存在于晶片表面上的流体和残留物。
8.如权利要求7所述的用于电镀晶片表面的电镀设备,其中所述化学制品为稀释的化学制品。
9.如权利要求1所述的用于电镀晶片表面的电镀设备,其中由电接触对晶片充电作为阴极。
10.如权利要求9所述的用于电镀晶片表面的电镀设备,其中对晶片的边缘禁区制作电接触。
11.如权利要求1所述的用于电镀晶片表面的电镀设备,其中由用于电镀包括铜材料、镍材料、铊材料、钽材料、钛材料、钨材料、钴材料、合金材料或复合金属材料的金属的水溶液来限定电镀化学。
12.如权利要求1所述的用于电镀晶片表面的电镀设备,其中电镀设备是能够处理晶片的一组设备的一部分。
13.如权利要求12所述的用于电镀晶片表面的电镀设备,其中能够处理晶片的设备包括蚀刻系统、清洗系统、干燥系统、化学机械平整化系统、淀积系统、注入系统、光刻系统、掩蔽系统、检验系统中的一个或多个、以及一个或多个晶片输送和控制机构。
14.如权利要求1所述的用于电镀晶片表面的电镀设备,
其中该电镀头进一步能够在电镀头和晶片表面之间提供流体;其中所述电镀设备还包括配置成放置于晶片表面上方的接近头,该接近头能够沿着电镀头的路径行进且能够处理晶片的表面。
15.如权利要求14所述的用于电镀晶片表面的电镀设备,其中该电镀头提供的流体包括电解液、去离子水和电镀化学中的一种,其中该电镀头提供的流体的电解性质有利于电镀金属电耦合至晶片;且由晶片的禁区中的电接触对晶片充电作为阴极。
16.如权利要求15所述的用于电镀晶片表面的电镀设备,其中由用于电镀包括铜材料、镍材料、铊材料、钽材料、钛材料、钨材料、钴材料、合金材料或复合金属材料的金属的水溶液来限定电镀化学。
17.如权利要求14所述的用于电镀晶片表面的电镀设备,其中在接近头下面的流体是化学制品和去离子水中的一种或多种。
18.如权利要求17所述的用于电镀晶片表面的电镀设备,其中所述化学制品为稀的化学制品。
19.如权利要求14所述的用于电镀晶片表面的电镀设备,其中在电镀头下面的区域限定局部电镀,该区域小于晶片表面的整体。
20.如权利要求19所述的用于电镀晶片表面的电镀设备,其中涡流传感器能够监测局部的金属电镀。
21.一种电镀晶片表面的系统,包括:
电镀头,其能够位于晶片表面的上方且能够被电气充电作为阳极,当晶片和电镀头被充电时,该电镀头能够在晶片的表面和电镀头之间进行金属电镀;
该电镀头进一步包括:
电压传感器对,其能够读取存在于电镀头和晶片的表面之间的电压;
控制器,其能够接收来自电压传感器对的数据,当电镀头放置在晶片表面上方的位置时,由控制器使用该数据来保持将由阳极施加的恒定的电压;以及
多孔涂覆器,其能够供给能够金属电镀的电解液和电镀化学;
晶片处理机构,其能够在处理期间将晶片输送和夹持在适当的位置上;以及
计算机,其能够控制电镀系统的操作;
其中电解液和电镀化学在电镀头和晶片表面之间形成弯月面。
22.如权利要求21所述的用于电镀晶片表面的系统,进一步包括:
接近头,其能够清洗晶片的表面;以及
涡流传感器,其能够测量由电镀头提供的金属电镀的厚度。
23.如权利要求21所述的用于电镀晶片表面的系统,
其中该电镀头进一步能够在电镀头和晶片表面之间提供流体;
其中所述电镀设备还包括配置成放置于晶片表面上方的接近头,该接近头能够沿着电镀头的路径行进且能够处理晶片的表面。
24.一种电镀晶片表面的方法,包括:
在晶片表面上方由电解液和电镀化学形成电镀弯月面,该电镀弯月面具有施加的电荷以能够电镀金属材料;
在晶片表面的整个位置上移动电镀弯月面;以及
控制电镀弯月面所施加的电荷,以便当在晶片表面的整个位置上移动电镀弯月面时改变施加的电荷,其中改变施加的电荷确保了电镀层在整个晶片表面上是均匀的。
25.如权利要求24的方法,其中当进行电镀时,对施加电荷的控制将调整过的电压提供给晶片表面上的电镀化学。
26.如权利要求24的方法,其中对施加电荷的控制经由晶片表面上的电镀化学提供了均匀的电流密度。
CN2005100809962A 2004-06-30 2005-06-30 电镀半导体晶片的设备及方法 Active CN1728347B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/882,712 US7645364B2 (en) 2004-06-30 2004-06-30 Apparatus and method for plating semiconductor wafers
US10/882712 2004-06-30

Publications (2)

Publication Number Publication Date
CN1728347A CN1728347A (zh) 2006-02-01
CN1728347B true CN1728347B (zh) 2011-05-11

Family

ID=35385608

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005100809962A Active CN1728347B (zh) 2004-06-30 2005-06-30 电镀半导体晶片的设备及方法

Country Status (8)

Country Link
US (2) US7645364B2 (zh)
EP (1) EP1619275B1 (zh)
JP (2) JP5042470B2 (zh)
KR (2) KR101246964B1 (zh)
CN (1) CN1728347B (zh)
MY (2) MY146073A (zh)
SG (1) SG118433A1 (zh)
TW (1) TWI292592B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7811423B2 (en) * 2006-10-06 2010-10-12 Lam Research Corporation Proximity processing using controlled batch volume with an integrated proximity head
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
AU2011238427B2 (en) * 2010-04-07 2015-05-14 Mipac Pty Ltd Monitoring device
WO2011158698A1 (ja) * 2010-06-15 2011-12-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置
TWI410532B (zh) * 2010-09-01 2013-10-01 Grand Plastic Technology Co Ltd 晶圓填孔垂直式電極電鍍設備
US20130306465A1 (en) * 2012-05-17 2013-11-21 Applied Materials, Inc. Seal rings in electrochemical processors
US20140367264A1 (en) * 2013-06-18 2014-12-18 Applied Materials, Inc. Automatic in-situ control of an electro-plating processor
US9809898B2 (en) * 2013-06-26 2017-11-07 Lam Research Corporation Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
JP6065886B2 (ja) * 2014-07-22 2017-01-25 トヨタ自動車株式会社 金属皮膜の成膜方法
CN105628755B (zh) * 2015-12-30 2018-05-08 上海新阳半导体材料股份有限公司 一种双阳极检测镀液均镀性的方法
US9735035B1 (en) 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
KR102636830B1 (ko) * 2018-12-31 2024-02-14 엘지디스플레이 주식회사 전기 도금 장치 및 이를 이용한 전기 도금 방법
KR102639533B1 (ko) * 2018-12-31 2024-02-21 엘지디스플레이 주식회사 전기도금장치 및 수평도금장치
PL3910095T3 (pl) * 2020-05-11 2022-05-23 Semsysco Gmbh Układ rozprowadzania płynu procesowego do chemicznej i/lub elektrolitycznej obróbki powierzchni obracalnego podłoża
CN112246747B (zh) * 2020-09-30 2021-09-17 青岛金汇源电子有限公司 一种连续式半导体晶圆蚀刻设备
EP4056736A1 (en) * 2021-03-09 2022-09-14 Semsysco GmbH Distribution system for a process fluid for chemical and/or electrolytic surface treatment of a substrate
US11913132B2 (en) * 2022-05-18 2024-02-27 Advanced Semiconductor Engineering, Inc. Method for manufacturing a package
CN115142112A (zh) * 2022-09-01 2022-10-04 徐州千帆标识系统工程有限公司 一种金属标牌多角度高效电镀装置及方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1453834A (zh) * 2002-04-26 2003-11-05 恩益禧电子股份有限公司 半导体器件和制造方法以及电镀液

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4098664A (en) * 1977-07-25 1978-07-04 Butler Richard E Rotating vertical plating table
US4287044A (en) * 1980-03-31 1981-09-01 Silver Systems, Ltd. Silver recovery apparatus
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
JP3523197B2 (ja) * 1998-02-12 2004-04-26 エーシーエム リサーチ,インコーポレイティド メッキ設備及び方法
US6402923B1 (en) * 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
JP2000232078A (ja) * 1999-02-10 2000-08-22 Toshiba Corp メッキ方法及びメッキ装置
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6433541B1 (en) * 1999-12-23 2002-08-13 Kla-Tencor Corporation In-situ metalization monitoring using eddy current measurements during the process for removing the film
US6258463B1 (en) 2000-03-02 2001-07-10 Praxair S.T. Technology, Inc. Anodized cryogenically treated aluminum
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
JP2001316876A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd 測定装置およびメッキ装置
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
JP3664669B2 (ja) * 2001-06-27 2005-06-29 株式会社荏原製作所 電解めっき装置
JP3490993B2 (ja) * 2001-10-29 2004-01-26 アプライド マテリアルズ インコーポレイテッド めっき方法
US7128803B2 (en) 2002-06-28 2006-10-31 Lam Research Corporation Integration of sensor based metrology into semiconductor processing tools
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7198055B2 (en) 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1453834A (zh) * 2002-04-26 2003-11-05 恩益禧电子股份有限公司 半导体器件和制造方法以及电镀液

Also Published As

Publication number Publication date
US7862693B2 (en) 2011-01-04
US7645364B2 (en) 2010-01-12
KR20060049728A (ko) 2006-05-19
JP5042470B2 (ja) 2012-10-03
JP5780935B2 (ja) 2015-09-16
KR101287760B1 (ko) 2013-07-19
KR101246964B1 (ko) 2013-03-25
EP1619275B1 (en) 2012-08-08
JP2006016692A (ja) 2006-01-19
EP1619275A2 (en) 2006-01-25
US20080271992A1 (en) 2008-11-06
TW200616073A (en) 2006-05-16
TWI292592B (en) 2008-01-11
SG118433A1 (en) 2006-01-27
US20090321250A1 (en) 2009-12-31
JP2012082526A (ja) 2012-04-26
MY145206A (en) 2012-01-13
CN1728347A (zh) 2006-02-01
EP1619275A3 (en) 2008-12-24
KR20120105386A (ko) 2012-09-25
MY146073A (en) 2012-06-29

Similar Documents

Publication Publication Date Title
CN1728347B (zh) 电镀半导体晶片的设备及方法
US7153400B2 (en) Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US8158532B2 (en) Topography reduction and control by selective accelerator removal
US20040231994A1 (en) Method and apparatus for controlling thickness uniformity of electroplated layers
US20070045120A1 (en) Methods and apparatus for filling features in microfeature workpieces
US20050218000A1 (en) Conditioning of contact leads for metal plating systems
KR100694562B1 (ko) 기판 도금방법 및 장치
US6685815B2 (en) Electroplating of semiconductor wafers
JP2004083932A (ja) 電解処理装置
US6863794B2 (en) Method and apparatus for forming metal layers
JP4416978B2 (ja) 銅を堆積させる方法
US6106690A (en) Electroplaner
CN108330518A (zh) 用于填充互连结构的方法及设备
Chung et al. Introduction of copper electroplating into a manufacturing fabricator

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant