KR100694562B1 - 기판 도금방법 및 장치 - Google Patents

기판 도금방법 및 장치 Download PDF

Info

Publication number
KR100694562B1
KR100694562B1 KR20017001685A KR20017001685A KR100694562B1 KR 100694562 B1 KR100694562 B1 KR 100694562B1 KR 20017001685 A KR20017001685 A KR 20017001685A KR 20017001685 A KR20017001685 A KR 20017001685A KR 100694562 B1 KR100694562 B1 KR 100694562B1
Authority
KR
South Korea
Prior art keywords
plating
substrate
electroless plating
electroless
plating solution
Prior art date
Application number
KR20017001685A
Other languages
English (en)
Other versions
KR20010074808A (ko
Inventor
혼고아키히사
오구레나오아키
이노우에히로아키
센다이사토시
이케가미데츠마
미시마고지
오쿠야마슈이치
나가이미즈키
기미즈카료이치
마루야마메구미
Original Assignee
가부시키가이샤 에바라 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP23949098A external-priority patent/JP4162298B2/ja
Priority claimed from JP11030230A external-priority patent/JP2000226671A/ja
Priority claimed from JP22036399A external-priority patent/JP2001049490A/ja
Application filed by 가부시키가이샤 에바라 세이사꾸쇼 filed Critical 가부시키가이샤 에바라 세이사꾸쇼
Publication of KR20010074808A publication Critical patent/KR20010074808A/ko
Application granted granted Critical
Publication of KR100694562B1 publication Critical patent/KR100694562B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1632Features specific for the apparatus, e.g. layout of cells and of its equipment, multiple cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/107Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by filling grooves in the support with conductive material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/241Reinforcing the conductive pattern characterised by the electroplating method; means therefor, e.g. baths or apparatus
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/423Plated through-holes or plated via connections characterised by electroplating method

Abstract

본 발명은 간단한 공정으로 반도체기판(W)에 형성된 미세 오목부(42)에 보이드나 오염이 적은 도금 금속을 효율 좋게 충전하여 배선을 행할 수 있는 기판 도금방법 및 장치를 제공하는 것을 목적으로 하며, 반도체기판의 배선용 오목부에 도금 금속(43)을 충전하기 위한 기판 도금방법에 있어서, 기판(W)상에 초기막(41)을 형성하는 무전해 도금공정과, 상기 초기막을 급전층(feeding layer)으로 하여 전해 도금을 행하여 상기 오목부를 충전하는 전해 도금공정을 행하도록 하였다.

Description

기판 도금방법 및 장치{WAFER PLATING METHOD AND APPARATUS}
본 발명은 기판의 도금방법 및 장치에 관한 것으로, 특히 반도체기판에 형성된 배선용 오목부 등에 구리나 그 합금 등의 배선형성용 금속을 충전하기 위한 기판의 도금방법 및 장치에 관한 것이다.
종래, 반도체기판상에 배선회로를 형성하기 위해서는 기판면상에 스패터링 등을 사용하여 Al 또는 Al 합금의 성막을 행한 후, 다시 레지스트 등의 패턴마스크를 사용한 화학적 드라이에칭에 의해 막의 불필요한 부분을 제거하고 있었다. 그러나 집적도가 높아짐에 따라 배선이 가늘어지고 전류밀도가 증가하여 열응력이나 온도상승을 일으키기 때문에, 스트레스마이그레이션이나 일렉트로마이그레이션에 의해 Al 또는 Al 합금이 희박화하여 마침내는 단선의 우려가 생긴다.
이에 따라 더욱 저항이 낮고 신뢰성이 높은 구리가 배선재료로서 주목되고 있으나, 이는 종래의 Al 배선과 같이 성막한 후 패터닝하고, 에칭에 의해 배선을 형성하는 것이 곤란하다. 따라서 배선용 홈을 미리 형성하여 화학기상성장(CVD), 스퍼터나 도금 등의 수법으로 홈속을 메우고, 그 후 표면의 여분의 구리를 화학기계연마 (CMP) 등으로 제거하여 홈배선을 형성하는 다마신(damascene)배선이 시도되고 있다.
그 중에서도 도금은 다른 공정과 비교하여 공정비용이 저렴하고, 순도가 높 은 구리재료가 얻어지고, 기판에 대한 손상이 적은 저온공정이 가능해지는 등의 특징이 있어 주목되고 있다. 도금방법으로서는 주로 화학적 공정에서 행하는 무전해도금과, 전기화학적인 공정인 전해도금이 있으며, 일반적으로 전해도금의 쪽이 효율적이다.
그런데 구리는 산화 또는 부식되기 쉽고 게다가 SiO2중에 확산하기 쉽다는 성질이 있기 때문에, 이들을 방지하기 위하여 기재의 배선부분을 통상 TiN, TaN, WN 등의 금속질화물로 구성되는 배리어층으로 덮고 나서 배선을 형성한다. 이 배리어층의 시이트 저항치는 도금액의 저항치와 비교하여 훨씬 크기 때문에 기판 전면에 걸쳐 배리어층에 균일한 전해도금을 하는 것은 어려웠었다.
따라서 종래는 배리어층상에 스퍼터 또는 CVD로 구리의 시이드층을 형성하여 두고, 그 위에 전해 구리 도금을 행하여 미세 오목부에 대한 매립을 하고 있다. 그러나 스퍼터는 미세 오목부의 벽에 대한 균일한 성막이 곤란하고, CVD는 막에 불순물이 포함된다는 문제가 있다. 또한 디자인 규칙이 0.18㎛ 정도 내지 또는 0.10㎛로 미세화하면, 오목부내에 두께 0.02 내지 0.05㎛의 시이드층을 형성하는 치수적여유도 없어진다.
한편, 무전해 도금에서는 미세 오목부의 측벽이나 바닥면으로부터 도금층이 등방위 성장하기 때문에 측벽으로부터 성장한 금속이 오목부의 입구를 덮어 버림으로써 내부에 보이드가 형성되기 쉽다는 단점이 있었다. 또 무전해 도금의 도금율은 전해도금과 비교하여 약 1/10로 느려 효율이 나쁘다.
본 발명은 간단한 공정으로 반도체기판에 형성된 미세 오목부에 보이드나 오염이 적은 도금 금속을 효율 좋게 충전하여 배선을 행할 수 있는 기판 도금방법 및 장치를 제공하는 것을 목적으로 한다.
또 무전해 도금에 있어서는 환원재로서 포르마린(HCHO)을 사용하면,
Figure 112001002714122-pct00001
의 반응에 의해 수소가스(H2)가 발생한다.
이 때문에 기판(W)의 도금면이 아래쪽 또는 옆쪽의 경우는 도 16에 나타내는 바와 같이 기판(W)의 미세홈 등의 오목부(42)속의 도금액(Q)중에 수소가스(H2)의 기포(98)가 발생하여 도 16A에 나타내는 바와 같이 도금부족(99)이 발생하는 원인이 된다. 이것을 방지하기 위하여 종래에는 도금액을 펌프 또는 에어로 교반하면서 도금을 행하고 있으나, 도 16B에 나타내는 바와 같이 수소가스 기포(98)가 움직인 방향(화살표 A 방향)으로 피도금 기판(W)의 도금면(97)에 도금얼룩(100)이 발생한다는 문제가 있다.
또 도금면(97)의 수소가스 기포(98)을 제거하기 위하여 종래에는 도금 지그에 피도금 기판을 끼워 유지하거나 또는 현가시켜 상기 지그에 외부로부터 충격을 주어 도금면(97)으로부터 기포를 이탈시키고 있었다. 그러나 이 방법은 도금 지그 나 피도금 기판에 손상을 줄 위험성을 포함하고 있기 때문에 바람직한 방법은 아니었다.
또 종래의 무전해 구리 도금에 있어서는, 도금 처리조 및 도금액 순환조를 구비하고 도금액을 순환하여 도금을 행하고 있었다. 도금액의 건욕은, 건욕전용의 건욕조에서 건욕하거나 또는 순환조에서 행하고 있었다. 이 때문에 건욕 직후부터 무전해 구리 도금 특유의 부적절한 반응(카니자로반응, 불균화반응)이 무전해도금액중에서 일어나 도금액의 열화나 도금액 조성의 농도변화 등의 문제가 있었다.
따라서 본 발명의 또 다른 목적은 도금부족, 도금얼룩을 적게 할 수 있어 도금액의 열화 및 도금액 조성의 농도변화가 적고 품질의 안정된 도금을 행할 수 있는 무전해 도금방법 및 장치를 제공하는 것이다.
또 전해도금에 있어서는 종래 프린트기판의 구리도금에서는 관통구멍속의 막두께를 균일하게 성장시키기 위하여 도금액의 구리농도는 낮게 하여, 소위 스로잉파워를 좋게 하고 있다(하이 스로욕). 이것은 음극 분극을 높임으로써 음극의 과전압을 올려 균일 전착성을 향상시키기 위함이다. 단, 이들 프린트기판의 구멍 치수는 50㎛ 내지 100㎛ 정도이고, 구멍속의 액류를 어느 정도 기대할 수 있는 범위에 있다.
반도체웨이퍼의 면에 형성되는 배선용 홈이나 구멍의 폭이나 지름치수는 0.2㎛이하의 막다른 홈이나 구멍이다. 이와 같은 레벨의 미세한 홈이나 구멍이 되면 상기 홈이나 구멍속에 액류를 발생시키는 것이 무리이고, 또 전장에 의한 전기영동속도도 수치적으로 작아 구리이온의 구멍속으로의 보충은 대부분 이온 농도의 확산에 의해서 공급된다. 구멍속으로의 구리 이온의 확산량은 구멍의 지름이 작아짐에 따라 그 2승(제곱)(구멍의 입구면적)에 비례하여 작아진다.
이에 대하여 구멍속으로의 구리이온의 석출량은 대략 구멍의 지름에 비례하여 작아진다. 따라서 장래 반도체디바이스의 집적도가 향상하여 홈폭이나 구멍지름이 작아지면 홈이나 구멍속의 구리이온은 확산율속이 되는 것을 예상할 수 있다. 특히 구멍지름이 0.15㎛ 이하가 되어, 종횡비가 커지는 도금액의 교반방법에 의해서는 확산율속이 되기 쉬운 상황에 있다.
따라서 본 발명의 또 다른 목적은 반도체디바이스의 집적도가 높아져 홈폭이나 구멍지름이 작아지더라도 홈이나 구멍속의 구리이온이 확산율속이 되는 일 없이 구리도금에 의해 기판면상에 형성된 미세한 홈이나 구멍을 양호하게 메울 수 있는 전해 도금방법 및 장치를 제공하는 것이다.
청구항 1에 기재된 발명은, 반도체기판의 배선용 오목부에 도금 금속을 충전하기 위한 기판 도금방법에 있어서, 기판상에 초기막을 형성하는 무전해 도금 공정과 상기 초기막을 급전층으로 하여 전해도금을 행하여 상기 오목부를 충전하는 전해 도금 공정을 행하는 것을 특징으로 하는 기판 도금방법이다.
이에 의하여 무전해 도금을 행하여 초기막(시드층)의 형성을 행하고, 또한 이 초기막을 급전층으로 하여 전해도금을 행하여 기판의 오목부를 충전하기 때문에, 균일성이 좋은 무전해 도금과 레벨링성이 좋게 고속 충전성을 가지는 전해 도금을 조합시켜, 일련의 도금 공정중에서 스퍼터나 CVD를 사용하는 일 없이 전기저항치가 높은 배리어층을 가지는 오목부의 내부에 효율 좋고, 또한 보이드가 없는 배선용 금속의 충전을 행할 수 있다. 또 급전층 형성에 계속되는 오목부의 대부분의 충전을 전해 도금으로 행함으로써 도금 속도를 높게 유지하여 스루풋을 향상할 수 있다.
무전해 도금과 전해 도금을 동일한 도금 처리조내에서 행하여도 되고,또 다른 도금조에서 행하여도 된다. 더 나아가, 기판상에 초기막을 형성하는 무전해 도금 공정과 상기 초기막을 급전층으로 하여 전해 도금을 행하여 상기 오목부를 충전하는 전해 도금 공정을 동일한 도금 처리조내에서 동일한 도금액을 사용하여 행하여도 된다. 이에 의하면 처리조나 도금액을 바꾸는 일 없이 무전해 도금과 전해 도금의 쌍방을 연속하여 행할 수 있어 간단한 장치 및 공정으로 상기의 효과를 얻을 수 있다.
청구항 2에 기재된 발명은, 반도체기판의 배선용 오목부에 도금 금속을 충전하기 위한 기판 도금장치에 있어서, 기판상에 초기막을 무전해 도금으로 형성하는 무전해 도금조와 상기 초기막을 급전층으로 하여 전해 도금을 행하여 상기 오목부를 충전하는 전해 도금조를 구비하고, 상기 각 조의 사이에서 기판을 이송하는 이송수단이 설치되어 있는 것을 특징으로 하는 기판 도금장치이다.
이에 의하여 무전해 도금을 행하여 초기막(시드층)의 형성을 행하고, 다시 이 초기막을 급전층으로 하여 전해 도금을 행하여 기판의 오목부를 충전하기 때문에, 일련의 도금공정중에서 스퍼터나 CVD를 사용하는 일 없이 전기저항치가 높은 배리어층을 가지는 오목부의 내부에 효율 좋고 또한 보이드가 없는 배선용 금속의 충전을 행할 수 있다. 무전해 도금조와 전해 도금조는 장치의 격벽으로 칸막이된 동일 스페이스내의 근접한 장소에 배치하는 것이 바람직하다.
또 무전해 도금조와 전해 도금조에 더하여 기판의 이송수단이 배치되어 있기 때문에 기판의 이송시의 표면상태의 변화를 억제하면서 다음 공정으로 진행할 수 있다. 즉 무전해 도금조와 전해 도금조 및 필요한 세정조는 서로 근방에 배치하여 두어 도금이나 세정처리후의 기판의 표면을 대기에 노출시키지 않고 이송할 수 있게 하는 것이 바람직하다. 또는 이송수단 자체에 그와 같은 기능을 설치하여도 된다.
청구항 3에 기재된 발명은, 청구항 1 또는 2에 기재된 기판 도금방법 또는 장치에 있어서의 무전해 도금 공정 또는 무전해 도금조에 있어서, 상기 피도금 기판의 도금 처리면을 위를 향하게 함과 동시에, 상기 도금 처리면이 대면하여 밀폐되는 밀폐공간을 형성하는 밀폐공간 형성수단과 이 밀폐공간에 무전해 도금액을 공급하는 도금액 공급수단을 설치하고, 이 밀폐공간에 무전해 도금액을 공급하여 무전해도금을 행하는 것을 특징으로 하는 기판 도금방법 또는 장치이다.
피도금 기판의 도금 처리면을 위를 향하게 함으로써, 무전해 도금에 있어서 도금액중에 반드시 발생하는 수소가스 기포가 부력에 의해 위쪽으로 이동하기 때문에 피도금 기판의 도금면, 미세홈이나 구멍에 고이는 수소가스 기포의 수 및 양이 적어져 도금부족의 발생을 적게 할 수 있다.
청구항 4에 기재된 발명은, 청구항 3에 기재된 기판 도금방법 또는 장치에 있어서, 상기 밀폐공간에 피도금 기판에 소정의 도금을 실시하는 데 필요한 최소한도의 무전해 도금액을 공급하고 이 무전해 도금액을 정지(靜止)시킨 상태에서 무전 해 도금을 할 수 있게 구성한 것을 특징으로 하는 기판 도금방법 또는 장치이다. 이에 의하여 수소가스 기포가 도금면을 이동하지 않기 때문에 도 17에 나타내는 도금면에 발생하는 도금얼룩을 최소로 억제할 수 있다.
청구항 5에 기재된 발명은, 청구항 3 또는 4에 기재된 기판 도금방법 또는 장치에 있어서, 상기 밀폐공간내의 압력이 대기압보다 높고, 그 압력을 맥동시키는 압력 맥동수단을 설치한 것을 특징으로 하는 기판 도금방법 또는 장치이다.
이에 의하여 가압에 의해 수소가스 기포의 무전해 도금액중으로의 용해가 촉진됨과 동시에, 압력을 맥동시킴으로써, 수소가스 기포의 이탈을 촉진시킬 수 있다. 즉, 도 11A에 나타내는 바와 같이, 피도금 기판(W)의 도금면(97)에 부착된 수소가스 기포(98)는 가압에 의해 도 11B에 나타내는 바와 같이 수축하여 도금면(97)으로부터 이탈하고, 또한 감압에 의해 도 11C에 나타내는 바와 같이 팽창하여 도금면(97)으로부터 완전하게 이탈한다.
청구항 6에 기재된 발명은, 청구항 3 내지 청구항 5중의 어느 한 항에 기재된 기판 도금방법 또는 장치에 있어서, 상기 밀폐공간의 근방에 건욕조를 설치하여무전해 도금을 행하기 직전에 상기 밀폐공간에 건욕한 상기 필요 최소한도의 무전해 도금액을 공급할 수 있도록 구성한 것을 특징으로 하는 기판 도금방법 또는 장치이다.
이에 의하여 건욕 직후부터 생기는 무전해 구리 도금 특유의 부적절한 반응(카니자로반응, 불균화반응)에 의해 도금액이 열화하거나, 도금액 조성의 농도변화가 일어나기 전에 도금이 종료하기 때문에 품질이 매우 안정된 도금을 행할 수 있 다.
청구항 7에 기재된 발명은, 청구항 6에 기재된 기판 도금방법 또는 장치에 있어서, 상기 필요 최소한도의 무전해 도금액으로 도금한 후, 이 무전해 도금액을 순환시켜 재이용하는 일 없이 폐액으로서 처리하도록 구성한 것을 특징으로 하는 기판도금방법 또는 장치이다.
이에 의하여 품질이 매우 안정된 도금을 행할 수 있고, 또한 1회마다의 성막 (도금막의 성막)에 소비되는 무전해 도금액량은 필요한 최소한도로 억제되기 때문에 폐액에 따르는 비용상승 및 환경에 대한 과대한 부담을 회피할 수 있다.
상기한 무전해 도금조에 있어서, 피도금 기판을 턴테이블에 유지하고, 도금 종료후 이 피도금 기판을 상기 턴테이블에 유지한 상태에서 수세, 건조를 행할 수 있게 구성하여도 된다. 이에 의하여 도금처리, 수세처리, 건조처리를 1 개소에서행할 수 있어 장치의 설치공간을 작게 할 수 있어 청정룸에 설치하기에 적합한 장치가 된다.
또 상기한 무전해 도금조에 있어서, 밀폐공간의 상부 근방에 보온용 수온조를 설치하고, 피도금 기판의 하부에 보온용 히터를 설치하여도 된다. 이에 의하여 무전해 도금의 품질(막 두께 균일성, 재현성, 도금막 전도도 등)을 좌우하는 인자로서 가장 중요한 도금 온도를 일정하게 유지할 수 있다.
또 상기한 무전해 도금 공정에 있어서, 필요 최소한도의 무전해 도금액량을 소정의 석출금속 당량수의 1.5 내지 20배의 이온을 용질로서 포함하는 액량의 범위로 하여도 된다. 또 상기한 무전해 도금 공정에 있어서, 압력 맥동수단은 압력진 폭이 0 내지 1 MPa, 주파수가 0 내지 10 Hz의 범위에서 압력 맥동시키도록 하여도 된다.
청구항 8에 기재된 발명은, 청구항 1 또는 2에 기재된 기판 도금방법 또는 장치에 있어서의 전해 도금 공정 또는 전해 도금조에 있어서 황산구리(CuSO4·5H2O)의 농도가 100 내지 250g/ℓ, 황산(H2SO4)의 농도가 10 내지 100g/ℓ, 염소이온의 농도가 0 내지 100mg/ℓ인 도금액을 사용하여 전해 도금을 행하는 것을 특징으로 하는 기판 도금방법 또는 장치이다.
청구항 9에 기재된 발명은, 청구항 8에 기재된 기판 도금방법 또는 장치에 있어서, 전해 도금액은 상기 조성의 도금액중에 적어도 0.14 내지 70 μmol/ℓ의 하기〔A〕식으로 나타내는 황화합물과, 하기〔B〕식으로 나타내는 고분자 화합물을 10 mg/ℓ내지 5 g/ℓ와, 질소화합물을 0.01 mg/ℓ내지 100mg/ℓ함유한 도금액인 것을 특징으로 하는 기판 도금방법 또는 장치이다.
Figure 112001002714122-pct00002
Figure 112001002714122-pct00003
[A]식중, L은 저급 알킬기, 저급 알콕시기, 수산기 또는 할로겐원자로 치환 되어도 좋은 탄소수 1 내지 6의 알킬기를 나타내고, X는 수소원자, -SO3M 기 또는 -PO3M 기(M은 수소원자, 알칼리 금속원자 또는 아미노기를 나타낸다)를 나타내며, [B]식중, R1은 탄소수 8 내지 25의 고급 알코올의 잔기, 탄소수 1 내지 25의 알킬기를 가지는 알킬페놀의 잔기, 탄소수 1 내지 25의 알킬기를 가지는 알킬나프톨 잔기, 탄소수 3 내지 22의 지방산 아미드의 잔기, 탄소수 2 내지 4의 알킬아민의 잔기 또는 수산기를 나타내고, R2 및 R3은 수소원자 또는 메틸기를 나타내고, m 및 k은 1 내지 100의 정수를 나타낸다.
도금액의 구리농도를 올림으로써 확산속도는 비례하여 상승하기 때문에, 반도체 디바이스의 집적도가 높아져 홈폭이나 구멍지름이 작아지더라도 홈이나 구멍속의 구리이온이 확산율속이 되는 것을 방지할 수 있다. 물론, 도금액을 교반함으로써 확산층의 두께를 얇게 하거나, 전류밀도를 낮게 억제하는 것을 병용하여도 된다.
도 18은 도 19에 나타내는 깊이 1.2㎛의 구멍(H)속에서의 확산량과 석출량을 비교한 예를 나타내는 도면이다. 상기 도면에 있어서 세로축은 Cu 석출량·확산량 (g/s), 가로축은 구멍(H)의 구멍지름(φ)(㎛)을 나타낸다. 또한 여기서 확산 계수를 0.72 ×10-9 ㎡/s, 확산층 두께를 5㎛로 한다. 확산량 > 석출량이면 반응율속 이므로, 구멍(H)속의 구리이온이 고갈되어 보이드가 생기는 일이 없다. 확산량 < 석출량이면 확산율속도이고, 구멍(H)에 보이드가 생기는 일이 있다. 도 18에서도 분명한 바와 같이 구멍지름(φ)이 미세화하면 할 수록 황산구리농도는 높은 쪽이 유리하게 되어 포화농도의 관계에서 상대적으로 황산농도는 낮아진다. 황산농도를 낮게 함으로써 액의 전기저항을 높게 하여 석출하는 막두께의 균일성을 향상시키고 있다.
또한 도 18에 있어서, 곡선 A는 황산구리농도 225g/ℓ시의 확산량(매초), 곡선 B는 전류밀도 3A/dm2일 때의 석출량(매초), 곡선 C는 전류밀도 2.5A/dm2일 때의 석출량(매초), 곡선 D는 전류밀도 2A/dm2일 때의 석출량(매초), 곡선 E는 황산구리농도 75g/ℓ일 때의 확산량(매초)을 각각 나타낸다.
또 도금액에는 상기한 바와 같이 0.14 내지 40 μmo1의 [A]식으로 표시되는 황화합물과 [B]식으로 표시되는 고분자 화합물을 함유하고 있다. 이 황화합물은 석출을 치밀화시키는 것으로, 구체예로서는 N. N - 디메틸 디티오 카르바모일 프로필술폰산, O - 에틸 - S - (3-프로필술폰산) - 디티오 카르보네이트, 비스 - (술포프로필) 디술피드 등이나 그들의 염을 들 수 있다.
황계 화합물의 첨가량은 본 발명에서는 황산구리가 황산의 양에 비하여 크기 때문에 0.14 내지 70 μmo1/ℓ이 바람직하다. 황산구리농도가 낮은 액의 경우와 비교하여 첨가량이 적은 것은 음극 근방의 구리이온이 풍부하게 존재하기 때문에 촉진제로서의 황화합물의 양은 적어도 되기 때문이다.
또한 도금액에 함유되는 고분자계 유기첨가제는 구체예로서는 PPG, PEG 또는 그들의 랜덤 또는 블록중합 폴리머 또는 그들의 유도체 등의 폴리에테르류를 들 수있다. 이들 고분자계 유기물의 첨가량은 10 mg/ℓ내지 5 g/ℓ정도이다.
또 상기 도금액에는 다시 구리의 석출을 억제하여 구멍의 바닥의 도금 성장을 촉진시키는 소위 레벨러를 첨가한다. 레벨러는 페나티딘계 화합물, 프탈로시아닌화합물, 폴리에틸렌이민, 폴리벤질에틸렌이민 등의 폴리알킬렌이민 및 그 유도체, N - 염료치환체 화합물 등의 티오요소유도체, 페노사프라닌, 사프라닌아조나프톨, 디에틸사프라닌아조페놀, 디메틸사프라닌디메틸아닐린 등의 사프라닌화합물, 폴리에피클로로히드린 및 그 유도체, 티오플라빈 등의 페닐디아조늄화합물, 아크릴아미드, 프로필아미드, 폴리아크릴산 아미드 등의 아미드류 등, 질소함유 화합물이다. 이 질소함유 화합물은 0.01 mg/ℓ내지 100 mg/ℓ정도 첨가된다.
청구항 10에 기재된 발명은, 반도체기판의 배선용 오목부에 도금금속을 충전하기 위한 기판 도금장치에 있어서, 동일처리조에 기판상에 초기막을 무전해 도금으로 형성하기 위한 무전해 도금액을 공급하는 무전해 도금액 공급유로와, 상기 초기막을 급전층으로 하여 전해도금을 행하여 상기 오목부를 충전하는 전해 도금액을 공급하는 전해 도금액 공급유로가 택일적으로 전환 가능하게 설치되어 있는 것을 특징으로 하는 기판 도금장치이다.
이에 의하여 무전해 도금을 행하여 초기막(시드층)의 형성을 행하고, 다시 이 초기막을 급전층으로 하여 전해 도금을 행하여 기판의 오목부를 충전하기 때문에, 일련의 도금공정중에서 스퍼터나 CVD를 사용하는 일 없이, 전기저항치가 높은 배리어층을 가지는 오목부의 내부에 효율좋고 또한 보이드가 없는 배선용 금속의 충전을 행할 수 있다. 동일처리조에서 기판상에 초기막을 형성하는 무전해 도금공 정과 오목부를 충전하는 전해 도금공정을 차례로 행할 수 있으므로 기판의 반송시간이나 장치가 불필요하고, 또 그에 의한 기판의 표면상태의 변질 등을 방지할 수있다. 또한 기판을 세정하는 세정액을 공급하는 세정액 공급 유로를 설치하여 동일처리조내에서 세정공정을 행하도록 하여도 된다.
상기 처리조를 밀폐·평행 흐름형으로 하여도 된다. 이에 의하여 작은 공간이더라도 도금액을 고속으로 기판면을 따라 흘릴 수 있으므로 충분한 도금액의 유동성을 확보하여 효율좋게 도금처리를 행할 수 있다.
청구항 11에 기재된 발명은, 청구항 1 내지 청구항 10중의 어느 한 항에 기재된 기판 도금방법 또는 장치에 사용하는 도금액의 pH 조정제로서 알칼리 금속을 포함하지 않은 것을 사용하는 것을 특징으로 하는 기판 도금방법 또는 장치이다.
도 1은 본 발명의 제 1 실시형태의 도금장치의 전체구성을 나타내는 평면도,
도 2는 도 1의 도금장치의 처리조를 나타내는 측면도,
도 3은 도 2의 처리조의 A-A 사시도,
도 4는 도 1의 도금장치의 처리조와 처리액의 순환유로를 나타내는 도,
도 5는 도 1의 도금장치의 측면도,
도 6은 도 1의 도금장치의 처리공정을 나타내는 플로우도,
도 7은 기판의 오목부에 도금이 되는 공정을 나타내는 도,
도 8은 본 발명의 제 2 실시형태의 도금장치의 처리조와 처리액의 순환유로를 나타내는 도,
도 9는 본 발명의 제 3 실시형태의 도금장치의 전체구성을 나타내는 평면도,
도 10은 도 9의 무전해 도금장치의 구성을 나타내는 도,
도 11은 무전해 도금장치에 있어서 밀폐공간에 압력맥동을 가한 경우의 수소가스 기포의 움직임을 설명하기 위한 도,
도 12는 도 9의 무전해 도금장치의 구성을 나타내는 도,
도 13은 도 9의 전해 도금장치의 구성을 나타내는 도,
도 14는 도 13의 B 부분의 확대도,
도 15는 본 발명의 제 3 실시형태의 도금장치의 처리조와 처리액의 순환유로를 나타내는 도,
도 16은 무전해 도금에 있어서의 수소가스 기포의 움직임을 설명하기 위한 도로서, 도 16A는 피도금 기판의 도금 표면을 하향으로 배치한 경우를 나타내는 도, 도 16B는 피도금 기판의 도금 표면을 세로방향으로 배치한 경우를 나타내는 도,
도 17은 무전해 도금시에 수소가스 기포의 움직임에 따라 피도금 기판의 도금면에 발생하는 도금얼룩의 상태를 설명하기 위한 도,
도 18은 피도금 기판의 구멍속에서의 확산량과 석출량의 비교예를 나타내는 도,
도 19는 피도금 기판의 표면에 형성되는 구멍의 형상예를 나타내는 도면이다.
이하, 도면을 참조하여 본 발명의 일 실시형태를 설명한다. 이 도금장치는 도 1에 나타내는 바와 같이 직사각형의 설치바닥(10) 위에 배치되고, 한쪽 끝측의 청정존(13)에는 로드·언로드유닛(14a, 14b), 도금 처리후의 후처리를 행하는 2 기의 수세·건조장치(60)가 배치되고, 이들 사이에 기판의 반송을 행하는 반송장치(제 1 반송로봇)(61)이 설치되어 있다. 다른쪽 끝측의 오염존(12)내에는 중앙에 레일 위를 주행 가능한 제 2 반송로봇(62)이 배치되고, 이 제 2 반송로봇(62)의 한쪽측에 도금시의 활성화제가 되는 SnCl2액조(16), 수세조(17), 무전해 도금시의 촉매가 되는 PdCl2액조(18), 수세조(19)가 차례로 배치되고, 다른쪽측에 무전해 도금 조(20), 수세조(21), 전해 도금조(22), 수세조(23)가 차례로 배치되어 있다. 또한 수세조(17, 19, 21, 23)는 필요에 따라 설치하면 된다.
이들 처리조(16 내지 23)는 기본적으로 어느 것이나 동일한 형상, 동일한 구조를 가지고 있고, 도 2에 나타내는 바와 같이 안쪽에 처리실(52)을 형성하는 오목부(50a)를 가지는 직사각형 판형상의 처리용기 본체(50)와, 이 처리용기 본체(50)의 앞면 개구부를 개폐 자유롭게 덮는 덮개체(51)를 가진다. 처리용기 본체(50)의 둘레 가장자리부에는 덮개체(51)를 밀착시켜 폐쇄하였을 때에 외부와의 수밀성을 확보하기 위한 패킹(53)이 장착되어 있다. 한편 덮개체(51)는 이면측에 기판(W)을 착탈 자유롭게 유지하는 유지부가 설치되고, 또 유지부에 있어서의 기판(W)의 유무를 검출하는 센서(도시 생략)가 설치되어 있다.
전해 도금을 행하는 처리조(전해 도금조)(22)에 있어서는 처리용기 본체(50) 의 오목부(50a)의 바닥부에 평판형상의 양극 전극(애노드)(54)이 처리실(52)과 평행하게 부착되고, 이 오목부(50a)의 개구단에는 내부에 기판(W)의 도금면의 전장을 조정하기 위한 개구(55a)를 설치한 유전체로 이루어지는 차폐판(55)이 배치되어 있다. 다른 처리조에는 양극 전극(54)이나 차폐판(55)은 배치되어 있지 않다.
각 처리용기 본체(50)의 상하에는 상부헤더(56)와 하부헤더(57)가 설치되고, 이들 상부헤더(56) 및 하부헤더(57)는 처리실(52)과 다수의 연통구멍(56a, 57a)을 거쳐 각각 연통되어 있다. 이에 의해 예를 들면 하부헤더(57)로부터 상부헤더(56)에 처리액을 공급함으로써, 도 3에 나타내는 바와 같이 기판의 피도금면을 따른 평행 흐름을 형성할 수 있다. 도 4에 나타내는 바와 같이 처리조(16 내지 23)의 아래쪽에는 저액조(31)와 순환펌프(32)를 가지는 처리액 순환장치(33)가 설치되고, 그 공급배관(34) 및 리턴배관(35)이 하부헤더(57) 및 상부헤더(56)에 접속되어 있다.
도금처리조(20, 22)에서는 상기한 바와 같이 처리조가 밀폐·평행 흐름형이므로 작은 공간이더라도 도금액을 고속으로 기판면을 따라 흐르게 할 수 있어 충분한 도금액의 유동성을 확보하여 효율좋게 도금처리를 행할 수 있다. 또 처리조(16 내지 23)를 세로로 배치함으로써 도금 등의 처리시의 기판(W)의 미세 오목부내의 기포를 빠지기 쉽게 하여 도금반응 및 처리속도의 균일성을 높임과 동시에, 처리조(16 내지 23)의 점유면적을 작게 하여 처리조의 효율적인 배치를 가능하게 하고 있다.
반송로봇(62)은 이 예에서는 선단에 개폐 자유로운 핸드(64)를 구비한 복수 의 아암(63)을 가지는 6축 로봇이 사용되고 있다(도 5참조). 핸드(64)의 내면에는 복수의 프레임(65)이 회전 자유롭게 지지되어 있다. 청정존(13)내에는 복수의 지지대를 가지는 가설 스테이지(66)가 설치되고, 이것은 청정존(13)과 오염존(12) 사이에서 기판(W)를 주고 받을 때 임시로 두기 위해 사용된다.
다음으로 상기한 바와 같이 구성한 도금장치에 의한 도금처리의 공정을 도 6 및 도 7을 참조하여 설명한다. 먼저, 로드·언로드유닛(14a, 14b)에 유지된 기판(W)을 제 1 반송로봇(61)에 의해 인출하고, 임시 스테이지(66)에 놓아둔다. 제 2 반송로봇(62)은 이것을 오염존(12)으로 도입하여 필요한 경우에는 활성화 처리조 (16)의 처리용기 본체(51)에 수용하여 SnCl2 등의 활성화제를 함유하는 처리액에 의해 활성화처리를 행한다. 다음으로 기판(W)을 인접하는 수세조(17)로 운반하여 수세하고, 다시 촉매부여조(18)에서 촉매부여처리를 행한다.
이 과정에서는 활성화 처리조(16)에 있어서 활성화제로부터의 이온 Sn2+이 기판(W)의 표면에 흡착되고, 이 이온은 촉매부여조(18)에 있어서 산화되어 Sn4+가 되고, 반대로 Pd2+는 환원되어 금속 Pd 가 되어 기판(W)의 표면에 석출되어 다음의 무전해도금공정의 촉매가 된다. 이 과정은 Pd/Sn 콜로이드의 1 액 캐터리스트를 사용하여 행할 수도 있다. 또한 이상과 같은 촉매부여공정은 이 실시형태와 같이 본 장치의 일부인 활성화 처리조(16)와 촉매부여조(18)에서 행할 수도 있으나, 별도의 장치에서 행하고 나서 기판(W)을 이송하여도 된다. 또 이 반도체기판에 존재하는 오목부 내표면의 재질, 상태에 따라서는 상기한 활성화처리 및/또는 촉매부여처리를 생략할 수 있는 경우가 있다.
제 2 반송로봇(62)은 기판(W)을 다시 무전해 도금조(20)로 운반하여 여기서 소정의 환원제와 소정의 도금액을 사용하여 무전해 도금처리를 행한다. 이에 의하여 도 7A 및 도 7B에 나타내는 바와 같이 배리어층(40)의 내면에 무전해 도금층 (41)이 형성된다. 이 경우 고액계면에서 환원제의 분해에 의해 생긴 전자가 기판표면의 촉매를 경유하여 Cu2+에 부여되어 금속 Cu로서 촉매상에 석출되어 구리막층 (41)을 형성한다. 또한 이 촉매로서는 Pd 이외에도 천이금속인 Fe, Co, Ni, Cu, Ag 등을 사용할 수 있다.
다음으로 반송로봇에 의해 이것을 전해도금조(22)로 이동하여 무전해 도금으로 형성한 구리막층(41)에 전극을 접속하여 소정의 도금액으로 전해도금을 행하여 도 7C 및 도 7D에 나타내는 바와 같이 오목부(42)를 전해 도금금속(43)으로 충전한다.
전해도금이 끝난 후에 제 2 반송로봇에 의해 기판을 인출하여 수세조로 운반하여 수세하고, 제 2 임시 스테이지(67)에 싣는다. 제 1 반송로봇(61)은 이것을 유지하여 수세·건조장치(60)로 운반하여 마무리 세정과 건조를 행하여 로드·언로드유닛(14a, 14b)으로 리턴시킨다. 기판은 나중에 CMP(화학기계적 연마장치)로 반송되어 화학기계적 연마공정에 의해 표면의 잉여의 도금금속이 제거된다.
도 8은 본 발명의 다른 실시형태의 도금장치를 나타내는 것으로, 앞서의 실 시형태와 동일한 세로형의 처리조(24)에 각각 다른 처리액(무전해 구리도금액, 세정용수, 전해 구리도금액)을 순환공급하는 처리액 순환장치(33a, 33b, 33c)가 전환밸브(36a 내지 36c, 37a 내지 37c)에 의해 전환 가능하게 설치되어 있다. 처리조 (24)는 도 2와 마찬가지로 처리용기 본체(50)에 양극 전극(애노드)(54)과 차폐판 (55)을 구비하고 있어 전해도금이 가능한 타입이다.
이 실시형태에서는 예를 들면 무전해 도금이 종료하면, 액을 저액조(31a)로 되돌리고, 일단 수세순환조펌프(32b)를 기동하여 수세수를 처리조(24)로 유도한 후에 전해 도금액의 저액조(31c)로부터 전해 도금액을 처리조(24)로 도입한다. 전해도금이 끝났을 때도 동일하게 세정으로 이행한다. 이에 의하여 도금액이 서로 혼합하는 단점을 배제할 수 있다. 이 실시형태에서는 동일한 처리조(24)내에서 기판(W)을 이동시키는 일 없이 처리액을 교체하는 것만으로 무전해 구리도금, 세정, 전해 구리도금, 세정 등의 처리를 연속적으로 행할 수 있기 때문에 상기한 실시형태와 비교하여 조의 수가 적어도 되고, 조 사이 이동용 반송로봇도 생략할 수 있어 설치바닥이 작아도 된다. 또 반송시간을 생략할 수 있으므로 스루풋도 향상한다.
도 9는 본 발명의 다른 실시형태의 도금장치를 나타내는 것으로, 직사각형 바닥의 한쪽 끝측으로부터 다른쪽 끝측을 향하여 반송용 레일(61a)이 설치되고, 이것에는 반송장치(반송로봇)(61)가 주행 가능하게 설치되어 있다. 그리고 이 반송로봇(61)을 둘러싸도록 로드·언로드유닛(14), 전처리 유닛(68), 무전해 도금유닛 (69), 제 1 스핀건조유닛(70A), 전해 도금유닛(71), 제 2 스핀건조유닛(70B)이 차 례로 시계 회전방향으로 배치되어 있다. 전처리 유닛(68)은 예를 들면 활성화제 (SnCl2액)조와 촉매(PdCl2액)조이다.
도 10은 도 9에 나타내는 무전해 도금유닛(69)의 구성예를 나타내는 도면으로, 상면에 반도체기판 등의 피도금 기판(W)를 유지하는 턴테이블(72)을 가지고 있다. 이 턴테이블(72)의 내부에는 보온용 히터(73)가 설치되고, 턴테이블(72)은 모터(86)에 의해 볼나사(85)를 거쳐 상하이동 가능하게, 또한 모터(84)에 의해 타이밍벨트(83)를 거쳐 회전 가능하게 구성되어 있다.
턴테이블(72)의 위쪽에는 하면이 개방된 도금셀(92)이 배치되고, 이 도금셀 (92)의 하단 바깥 둘레에는 하우징(96)에 유지된 피도금 기판(W)에 밀접하는 밀봉패킹(91)이 설치되어 있다. 즉 턴테이블(72)을 상승시켜 피도금 기판(W)의 표면을 밀봉패킹(91)에 밀접시킨 상태에서 도금셀(92)내는 밀폐공간이 된다. 이 밀폐공간은 뒤에서 상세하게 설명하는 바와 같이 피도금 기판(W)에 소정의 도금을 실시하는 데 필요 최소한도의 도금액(무전해 도금액)을 수용하는 용적을 가지고 있다.
도금셀(92)의 위쪽 근방에는 건욕조(74)가 배치되고, 이 건욕조(74)내에는 도금액 A, 도금액 B, 도금액 C 및 순수(D)가 공급되게 되어 있고, 내부에는 교반기 (76)로부터 연장되는 교반블레이드(76a)가 배치됨과 동시에 히터(81)가 배치되어 있다. 또 건욕조(74)내의 도금액은 도금액 공급밸브(79)를 거쳐 도금셀(92)내로 공급되게 되어 있다.
건욕조(74)의 바깥 둘레 근방에 건욕조(74)를 둘러싸도록 온수조(75)가 배치 되고, 온수조(75)내에는 교반기(77)로부터 연장되는 교반블레이드(77a)가 배치됨과 동시에 히터(82)가 배치되어 있다. 80은 도금셀(92)내의 도금 종료후의 도금액을 배출하기 위한 도금액 배출밸브이고, 이 도금액 배출밸브(80)를 통하여 배출된 도금액은 폐액탱크(93)로 유입하게 되어 있다. 또 78은 도금셀(92)내에 압력을 공급하기 위한 압력공급밸브이고, 이 압력공급밸브(78)를 통하여 압력맥동 발생부(94)로부터 도금셀(92)내의 압력을 맥동시킬 수 있게 되어 있다.
압력맥동 발생부(94)는 고압용 압력조정밸브(87), 저압용 압력조정밸브(88),압력변환용 전환밸브(89) 및 공기 압력원(90)을 구비하고, 예를 들면 압력진폭이 0 내지 1 MPa, 주파수가 0 내지 10 Hz의 범위에서 압력맥동시킬 수 있게 되어 있다. 또한 P1, P2는 각각 압력계이다.
상기 구성의 무전해 도금장치에 있어서, 도금을 행할 때는 도금셀(92)의 아래쪽에 위치하는 턴테이블(72)의 상면에 피도금 기판(W)을 위치결정하여 유지시킨다. 이 상태에서 턴테이블(72)을 모터(86)에 의해 볼나사(85)를 거쳐 상승시켜 피도금 기판(W)의 상면을 밀봉패킹(91)에 밀접시킴으로써 도금셀(92)의 하단 개구를 폐쇄하여 내부를 밀폐공간으로 한다. 이 상태에서 도금액 공급밸브(79)를 개방하여 건욕조(74)내의 도금액(Q)을 도금셀(92)내에 공급한다.
도금셀(92)내는 피도금 기판(W)에 소정의 도금을 실시하는 데 필요 최소한도의 도금액(Q)을 수용할 수 있는 용적으로 되어 있고, 이 도금셀(92)내는 이 필요최소한도의 도금액(Q)이 수용된다. 여기서 필요 최소한도의 무전해 도금액량은 소정의 석출금속 당량수의 1.5 내지 20배의 이온을 용질로서 포함하는 액량의 범위로 한다. 또 도금에 있어서는 압력맥동 발생부(94)로부터 상기한 바와 같이 압력공급밸브 (8)를 거쳐 소정의 압력진폭, 소정의 주파수로 도금셀(92)내에 압력의 맥동을 가한다.
도 11에 나타내는 바와 같이 피도금 기판(W)은 턴테이블(72)의 상면에 도금 처리면을 상향으로 하여 유지되어 있기 때문에 무전해 도금에 있어서 도금액(Q)중에 반드시 발생하는 수소가스 기포(98)는 부력에 의해 위쪽으로 이동하기 때문에 피도금 기판(W)의 도금면(97), 미세 홈이나 구멍에 고이는 기포의 수 및 양은 적어져 도금부족이 적어진다. 또 필요 최소한도의 도금액(Q)을 도금셀(92)내의 밀폐공간에 공급하여 정지시킨 상태에서 도금함으로써, 수소가스 기포(98)가 도금면(97)을 이동하지 않으므로 도금 표면에 발생하는 도금얼룩을 최소로 억제할 수 있다.
압력맥동 발생부(94)에서 도금셀(92)내의 밀폐공간내의 압력을 대기압보다 높게 하여 압력을 맥동시킴으로써 상기한 바와 같이 가압에 의해 수소가스 기포 (98)의 무전해 도금액(Q)중에 대한 용해가 촉진됨과 동시에, 압력을 맥동하게 함으로써 도 11에 나타내는 바와 같이 수소가스 기포(98)의 도금면(97)으로부터의 이탈을 촉진시킬 수 있다.
또 도금셀(92)의 위쪽 근방에 건욕조(74)를 배치하여 피도금 기판(W)에 도금을 실시하기 직전에 상기 건욕조(74)에서 건욕한 직후의 필요 최소한도의 도금액을 도금셀(92)에 공급하여 도금을 행하기 때문에 건욕 직후부터 무전해 구리도금 특유의 부적절한 반응(카니자로반응, 불균화반응)이 생겨 도금액의 열화, 도금액 조성의 농도변화가 일어나기 전에 도금이 종료하므로 품질이 매우 안정된 도금을 행할 수 있다.
또 도금한 후의 도금셀(92)내의 도금액(Q)을 도금액 배출밸브(80)를 거쳐 폐액탱크(93)로 배출하여 폐액으로서 처리하기 때문에 품질이 매우 안정된 도금을 행할 수 있고, 또한 1회 마다의 성막에 소비되는 도금액량은 필요 최소한도로 억제되고 있기 때문에 폐액에 따르는 비용상승 및 환경에 대한 과대한 부담을 회피할 수 있다. 또 도금셀(92)의 상부에 온수조(75), 턴테이블(72)의 하부에 보온용 히터 (73)를 설치하고 있기 때문에 무전해 도금의 품질(막두께 균일성, 재현성, 도금막전도도 등)을 좌우하는 인자로서 가장 중요한 도금 온도를 일정하게 유지할 수 있다.
도금 종료후는 상기한 바와 같이 도금액 배출밸브(80)를 열어 도금셀(92) 내의 도금액을 폐액탱크(93)로 배출하고, 모터(86)에 의해 볼나사(85)를 거쳐 턴테이블(72)을 하강시켜 도 12에 나타내는 세정노즐(95)로부터 도금이 종료한 피도금 기판(W)의 도금면에 세정수(주로 순수)를 분사하여 그 도금면을 세정한다. 이 세정에 있어서 세정노즐(95)을 요동시킴과 동시에 모터(84)로 타이밍벨트(83)를 거쳐 피도금 기판(W)을 천천히 회전시키면서 세정을 행한다. 세정 종료후는 피도금 기판(W)을 고속 회전시켜 그 원심력으로 피도금 기판(W)에 부착된 세정액을 비산시킨다.
무전해 도금을 행하여 배선홈 내면의 배리어층의 위에 시드층을 형성한 후, 반송로봇(61)에 의해 기판(W)을 제 1 스핀건조유닛(70A)으로 반송하여 여기서 완전히 건조시킨 후, 전해도금유닛(71)으로 반송하여 전해도금을 행한다. 이하 도 13및 도 14를 참조하여 전해 도금공정을 설명한다.
전해도금유닛(71)은 도 13에 나타내는 바와 같이 도금조(110)를 구비하고, 이 도금조(110)는 도금조 본체(111)내에 반도체웨이퍼 등의 피도금 기판(W)을 유지하기 위한 기판유지체(112)가 수용되어 있다. 이 기판유지체(112)는 기판유지부 (112-1)와 샤프트부(112-2)로 이루어지고, 이 샤프트부(112-2)는 원통형상의 가이드부재(114)의 내벽에 베어링(115, 115)을 거쳐 회전자유롭게 지지되어 있다. 그리고 상기 가이드부재(114)와 기판유지체(112)는 도금조 본체(111)의 정점부에 설치된 실린더(116)에 의해 상하로 소정의 행정으로 승강할 수 있게 되어 있다.
또 기판유지체(112)는 가이드부재(114)의 내부 위쪽에 설치된 모터(118)에 의해 샤프트부(112-2)를 거쳐 화살표 A 방향으로 회전할 수 있게 되어 있다. 또 기판유지체(112)의 내부에는 기판누름부(117-1) 및 샤프트부(117-2)로 이루어지는 기판누름부재(117)를 수납하는 공간(C)이 설치되어 있고, 이 기판누름부재(117)는 기판유지체(112)의 샤프트부(112-2)내의 상부에 설치된 실린더(119)에 의해 상하로 소정의 행정으로 승강할 수 있게 되어 있다.
기판유지체(112)의 기판유지부(112-1)의 아래쪽에는 공간(C)과 연통하는 개구(112-1a)가 설치되고, 이 개구(112-1a)의 상부에는 도 14에 나타내는 바와 같이 피도금 기판(W)의 가장자리부가 얹어 놓여지는 단부(112-1b)가 형성되어 있다. 이 단부(112-1b)에 피도금 기판(W)의 가장자리부를 얹어 놓고 피도금 기판(W)의 상면을 기판누름부재(117)의 기판누름부(117-1)로 가압함으로써 피도금 기판(W)의 가장자리부는 기판누름부(117-1)와 단부(112-lb) 사이에 끼워 지지된다. 그리고 피도금 기판(W)의 하면(도금면)은 개구(112-1a)로 노출한다. 또한 도 14는 도 13의 B 부분의 확대도이다.
도금조 본체(111)의 기판유지부(112-1)의 아래 쪽, 즉 개구(112-1a)로 노출되는 피도금 기판(W)의 도금면의 아래쪽에 편평한 도금액실(120)이 설치되고, 도금액실(120)의 아래쪽에 다수의 구멍(121a)이 형성된 다공판(121)을 거쳐 편평한 도금액 도입실(122)이 설치되어 있다. 또 도금액실(120)의 바깥쪽에는 이 도금액실 (120)을 흘러 넘친 도금액(Q)을 포집하는 포집홈통(123)이 설치되어 있다.
포집홈통(123)에서 회수된 도금액(Q)은 도금액 탱크(124)로 되돌아가게 되어 있다. 도금액 탱크(124)내의 도금액(Q)은 펌프(125)에 의해 도금액실(120)의 양측으로부터 수평방향으로 도입된다. 도금액실(120)의 양측으로부터 도입된 도금액 (Q)은 다공판(121)의 구멍(121a)을 통하여 수직분류가 되어 도금액실(120)로 흘러 든다. 다공판(121)과 피도금 기판(W)의 간격은 5 내지 15 mm로 되어 있고, 이 다공판(121)의 구멍(121a)을 통하여 도금액(Q)의 분류는 수직상승을 유지한 채로 균일한 분류로서 피도금 기판(W)의 도금면에 접촉한다. 도금액실(120)을 흘러 넘친 도금액(Q)은 포집홈통(123)에서 회수되어 도금액 탱크(124)로 흘러 든다. 즉 도금액(Q)은 도금조 본체(111)의 도금액실(120)과 도금액 탱크(124) 사이를 순환하도록 되어 있다.
도금액실(120)의 도금액면 레벨(LQ)은 피도금 기판(W)의 도금면 레벨(LW)보다 약간 ΔL만큼 높아져 있어, 피도금 기판(W)의 전면(全面)은 도금액(Q)에 접촉하고 있다.
기판유지체(112)의 기판유지부(112-1)의 단부(112-1b)는 피도금 기판(W)의 도전부와 전기적으로 도통하는 전기접점(127)이 설치되며, 이 전기접점(127)은 브러시(126)를 거쳐 외부의 도금 전원(도시 생략)의 음극에 접속되어 있다. 또 도금 조 본체(111)의 도금액 도입실(122)의 바닥부에는 피도금 기판(W)과 대향하여 양극 전극(128)이 설치되며, 이 양극 전극(128)은 도금 전원의 양극에 접속되어 있다. 도금조 본체(111)의 벽면의 소정위치에는 예를 들면 로봇아암 등의 기판 반출지그로 피도금 기판(W)을 출입하는 반출입 슬릿(129)이 설치되어 있다.
상기 구성의 전해도금유닛에 있어서, 도금을 행함에 있어서는 먼저 실린더 (116)를 작동시켜 기판유지체(112)를 가이드부재(114)마다 소정량[기판유지부(112-1)에 유지된 피도금 기판(W)이 반출입 슬릿(129)에 대응하는 위치까지] 상승시킴과 동시에 실린더(119)를 작동시켜 기판누름부재(117)를 소정량[기판누름부(117-1)가 반출입 슬릿(129)의 상부에 도달하는 위치까지]상승시킨다. 이 상태에서 로봇아암 등의 기판 반출입 지그로 피도금 기판(W)을 기판유지체(112)의 공간(c)으로 반입하고 이 피도금 기판(W)을 그 도금면이 하향이 되도록 단부(112-1b)에 얹어 놓는다. 이 상태에서 실린더(119)를 작동시켜 기판누름부(117-1)의 하면이 피도금 기판(W)의 상면에 접촉할 때까지 하강시켜 기판누름부(117-1)와 단부(112-1b) 사이에 피도금 기판(W)의 가장자리부를 끼워 유지한다.
이 상태에서 실린더(116)를 작동시켜 기판유지체(112)를 가이드부재(114)마다 피도금 기판(W)의 도금면이 도금액실(120)의 도금액(Q)에 접촉할 때까지 [도금액 레벨(LQ)보다 상기 ΔL만큼 낮은 위치까지] 하강시킨다. 이 때 모터(118)를 기 동하여 기판유지체(112)와 피도금 기판(W)을 저속으로 회전시키면서 하강시킨다. 도금액실(120)에는 도금액(Q)이 충만하고, 또한 다공판의 구멍(121a)을 통과한 수직의 상승류가 분출하고 있다. 이 상태에서 양극 전극(128)과 상기 전기접점(127) 사이에 도금 전원으로부터 소정의 전압을 인가하면, 양극 전극(128)으로부터 피도금 기판(W)으로 도금 전류가 흘러 피도금 기판의 도금면에 도금막이 형성된다.
상기 도금중에는 모터(118)를 운전하여 기판유지체(112)와 피도금 기판(W)을 저속회전시킨다. 이 저속회전은 도금액실(120)내의 도금액(Q)의 수직분류를 흩트러뜨리는 일 없이 피도금 기판(W)의 도금면에 균일한 막두께의 도금막을 형성할 수 있도록 설정한다.
도금이 종료하면 실린더(116)를 작동시켜 기판유지체(112)와 피도금 기판(W)을 상승시켜 기판유지부(112-1)의 하면이 도금액 레벨(LQ)보다 위가 되면 모터(118)를 고속으로 회전시켜 원심력으로 피도금 기판(W)의 도금면 및 기판유지부(112-1)의 하면에 부착된 도금액을 뿌리친다. 도금액을 뿌리치면, 피도금 기판(W)를 반출입 슬릿(129)의 위치까지 상승시키고 실린더(119)를 작동시켜 기판누름부(117-1)를 상승시키면 피도금 기판(W)은 해방되어 기판유지부(112-1)의 단부(112-1b)에 얹어 놓여진 상태가 된다. 이 상태에서 로봇아암 등의 기판 반출입 지그를 반출입 슬릿(129)으로부터 기판유지체(112)의 공간(C)으로 침입시켜, 피도금 기판(W)을 픽업하여 외부로 반출한다.
상기 구성의 전해 도금 유닛(71)에 있어서 도금액(Q)에는 하기 조성의 것을 사용하고, 구멍지름 0.15㎛, 구멍깊이 1.2㎛의 구멍이 형성된 피도금 기판(W)의 구멍속에 전류밀도 2 A/dm2, 액온 25℃, 도금 시간 150초로 전해 도금을 행한 바, 양호한 구멍 매립성이 얻어졌다.
도금액(Q)의 조성
CuSO4·5H2O 225 g/ℓ
H2SO4 55 g/ℓ
C1- 60 mg/ℓ
황화합물(N, N - 디메틸 디티오 카르바모일 프로필 술폰산) 5 mg/ℓ
고분자 화합물(PEG6000) 0.1g/ℓ
질소화합물(사프라닌화합물, janus 그린 B) 2 mg/ℓ
상기한 바와 같이 황산구리(CuSO4·5H2O)농도가 높은 도금액을 사용함으로써 구멍지름 0.15㎛, 구멍깊이 1.2㎛이라는 미세한 구멍에 대하여 구멍 매립성이 좋은 구리 도금을 행할 수 있다.
도 15는 동일 처리액으로 무전해 도금과 전해 도금의 연속처리를 행하는 처리조(25)를 나타낸다. 처리조(25)는 도 8과 마찬가지로 전해도금이 가능한 타입이다. 이 장치에서는 무전해 도금을 행한 후에 그대로 0.2 A/dm2 이하의 미소전류를 통전하여 전해도금을 행한다. 이 경우 도금액은 무전해 도금액을 사용하나, 반도체기판을 오염하지 않도록 무전해 도금의 pH 조정제로서 통상 사용되는 NaOH나 KOH 를 대신하여 TMAH를 사용한다. 이는 메틸기를 포함하는 유기알칼리 약제이다. 또종래 많이 사용되어 온 포르마린 등의 분해하기 쉬운 환원제는 피할 필요가 있다.
종래 프린트기판의 관통구멍 도금에는 Cu 농도가 낮은 하이슬로욕 (CuSO4·5H2O 10 내지 80 g/ℓ)를 사용하여 전착 균일성을 좋게 하고 있다. 그러나 반도체기판의 트렌치나 비어홀내의 도금에서는 보이드를 발생시키지 않도록 전착 균일성과 함께 레벨링성도 요구된다. 또한 하이슬로욕에서는 도금액의 흐름의 영향을 받기 쉽기 때문에 농도를 높인 중간 내지 고농도의 도금액을 사용하여 흐름의 영향을 받기 어렵게 하는 것이 요망된다.
상기를 전제 조건으로 하여 각종 검토를 행한 결과, 종래 사용하였던 전착 균일성이 뛰어난 CuSO4·5H2O 저농도욕 15 내지 80 g/ℓ(하이슬로욕), 또는 레벨링성이 뛰어난 CuSO4·5H2O 고농도욕 150 내지 220 g/ℓ(장식욕)의 어느 것도 아닌 CuSO4·5H2O가 100 내지 150 g/ℓ의 중간 농도욕이 반도체기판 다마신 도금 공정용의 무전해·전해 겸용의 도금액으로서 바람직함을 알 수 있었다.
이상 설명한 바와 같이, 본 발명에 의하면 무전해 도금을 행하여 배리어층의 위에 초기막(시드층)의 형성을 행하고 다시 이 초기막을 급전층으로 하여 전해 도금을 행하여 기판의 오목부를 충전하므로 일련의 도금 공정중에서 스퍼터나 CVD를 사용하는 일 없이 전기 저항치가 높은 배리어층을 가지는 오목부의 내부에 효율좋게 또한 보이드가 없는 배선용 금속의 충전을 행할 수 있다. 따라서 간단한 공정으로 반도체기판에 형성된 미세 오목부에 보이드나 오염이 적은 도금 금속을 효율 좋게 충전하여 배선을 형성할 수 있는 기판 도금방법 및 장치를 제공할 수 있다.
본 발명은 특히 반도체기판에 형성된 배선용 오목부 등에 구리나 그 합금 등의 배선형성용 금속을 충전하기 위한 기판 도금방법 및 장치로서 유용하다.

Claims (47)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 반도체기판의 배선용 오목부에 도금 금속을 충전하기 위한 기판 도금방법에 있어서,
    기판상에 초기막을 형성하는 무전해 도금 공정과, 상기 초기막을 급전층(feeding layer)으로 하여 전해도금을 행하여 상기 오목부를 충전하는 전해 도금 공정을 행하되,
    상기 전해 도금 공정은, 황산구리(CuSO4·5H2O)의 농도가 100 내지 250g/ℓ, 황산(H2SO4)의 농도가 10 내지 100g/ℓ, 염소이온의 농도가 0.001 내지 100mg/ℓ인 도금액을 사용하여 전해 도금을 행하되,
    상기 전해 도금액은 상기 조성의 도금액중에 적어도 0.14 내지 70 μmol/ℓ의 하기〔A〕식으로 나타내는 황화합물과, 하기〔B〕식으로 나타내는 고분자 화합물을 10 mg/ℓ내지 5 g/ℓ와, 질소화합물을 0.01 mg/ℓ내지 100mg/ℓ함유한 도금액인 것을 특징으로 하는 기판 도금방법.
    화학식 A
    Figure 112006061814066-pct00032
    화학식 B
    Figure 112006061814066-pct00033
    [A]식중, L은 저급 알킬기, 저급 알콕시기, 수산기 또는 할로겐원자로 치환되어도 좋은 탄소수 1 내지 6의 알킬기를 나타내고, X는 수소원자, -SO3M 기 또는 -PO3M 기(M은 수소원자, 알칼리 금속원자 또는 아미노기를 나타낸다)를 나타내며, [B]식중, R1은 탄소수 8 내지 25의 고급 알코올의 잔기, 탄소수 1 내지 25의 알킬기를 가지는 알킬페놀의 잔기, 탄소수 1 내지 25의 알킬기를 가지는 알킬나프톨 잔기, 탄소수 3 내지 22의 지방산 아미드의 잔기, 탄소수 2 내지 4의 알킬아민의 잔기 또는 수산기를 나타내고, R2 및 R3은 수소원자 또는 메틸기를 나타내고, m 및 k은 1 내지 100의 정수를 나타낸다.
  13. 제12항에 있어서,
    상기 무전해 도금 공정에 있어서,
    상기 피도금 기판의 도금 처리면을 상향으로 함과 동시에 상기 도금 처리면이 대면하여 밀폐되는 밀폐공간을 형성하는 밀폐공간 형성수단과, 이 밀폐공간에 무전해 도금액을 공급하는 도금액 공급수단을 설치하고, 이 밀폐공간에 무전해 도금액을 공급하여 무전해도금을 행하는 것을 특징으로 하는 기판 도금방법.
  14. 제13항에 있어서,
    상기 밀폐공간내의 압력이 대기압보다 높고, 그 압력을 맥동시키는 압력 맥동수단을 설치한 것을 특징으로 하는 기판 도금방법.
  15. 제13항에 있어서,
    상기 밀폐공간에 피도금 기판에 소정의 도금을 실시하는 데 필요한 최소한도의 무전해 도금액을 공급하고 이 무전해 도금액을 정지시킨 상태에서 무전해 도금을 할 수 있게 구성한 것을 특징으로 하는 기판 도금방법.
  16. 제15항에 있어서,
    상기 밀폐공간내의 압력이 대기압보다 높고, 그 압력을 맥동시키는 압력 맥동수단을 설치한 것을 특징으로 하는 기판 도금방법.
  17. 삭제
  18. 삭제
  19. 제13항 내지 제16항 중 어느 한 항에 있어서,
    상기 밀폐공간의 근방에 건욕조(preparation bath)를 설치하고, 무전해 도금을 행하기 직전에 상기 밀폐공간에 건욕(preparation)한 상기 필요 최소한도의 무전해 도금액을 공급할 수 있게 구성한 것을 특징으로 하는 기판 도금방법.
  20. 제19항에 있어서,
    상기 필요 최소한도의 무전해 도금액으로 도금한 후, 이 무전해 도금액을 순환 재이용하는 일 없이 폐액으로서 처리하도록 구성한 것을 특징으로 하는 기판도금방법.
  21. 제12항 내지 제16항 중 어느 한 항에 있어서,
    상기 기판 도금방법에 사용하는 도금액의 pH 조정제로서 알칼리 금속을 포함하지 않은 것을 사용하는 것을 특징으로 하는 기판 도금방법.
  22. 반도체기판의 배선용 오목부에 도금 금속을 충전하기 위한 기판 도금장치에 있어서,
    기판상에 초기막을 무전해 도금으로 형성하는 무전해 도금조와, 상기 초기막을 급전층(feeding layer)으로 하여 전해 도금을 행하여 상기 오목부를 충전하는 전해 도금조를 구비하고, 상기 각 조의 사이에서 기판을 이송하는 이송수단이 설치되어 있고,
    상기 무전해 도금조에 있어서,
    상기 피도금 기판의 도금 처리면을 상향으로 함과 동시에 상기 도금 처리면이 대면하여 밀폐되는 밀폐공간을 형성하는 밀폐공간 형성수단과, 이 밀폐공간에 무전해 도금액을 공급하는 도금액 공급수단을 설치하고, 이 밀폐공간에 무전해 도금액을 공급하여 무전해도금을 행하며, 상기 밀폐공간에 피도금 기판에 소정의 도금을 실시하는 데 필요한 최소한도의 무전해 도금액을 공급하고 이 무전해 도금액을 정지시킨 상태에서 무전해 도금을 할 수 있게 구성되고, 상기 밀폐공간내의 압력이 대기압보다 높고, 그 압력을 맥동시키는 압력 맥동수단을 설치하며,
    전해 도금조는, 황산구리(CuSO4·5H2O)의 농도가 100 내지 250g/ℓ, 황산(H2SO4)의 농도가 10 내지 100g/ℓ, 염소이온의 농도가 0.001 내지 100mg/ℓ인 도금액을 사용하여 전해 도금을 행하는 것을 특징으로 하는 기판 도금장치.
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 제22항에 있어서,
    상기 전해 도금액은 상기 조성의 도금액중에 적어도 0.14 내지 70 μmol/ℓ의 하기〔A〕식으로 나타내는 황화합물과, 하기〔B〕식으로 나타내는 고분자 화합물을 10 mg/ℓ내지 5 g/ℓ와, 질소화합물을 0.01 mg/ℓ내지 100mg/ℓ함유한 도금액인 것을 특징으로 하는 기판 도금장치.
    화학식 A
    Figure 112006061814066-pct00034
    화학식 B
    Figure 112006061814066-pct00035
    [A]식중, L은 저급 알킬기, 저급 알콕시기, 수산기 또는 할로겐원자로 치환되어도 좋은 탄소수 1 내지 6의 알킬기를 나타내고, X는 수소원자, -SO3M 기 또는 -PO3M 기(M은 수소원자, 알칼리 금속원자 또는 아미노기를 나타낸다)를 나타내며, [B]식중, R1은 탄소수 8 내지 25의 고급 알코올의 잔기, 탄소수 1 내지 25의 알킬기를 가지는 알킬페놀의 잔기, 탄소수 1 내지 25의 알킬기를 가지는 알킬나프톨 잔기, 탄소수 3 내지 22의 지방산 아미드의 잔기, 탄소수 2 내지 4의 알킬아민의 잔기 또는 수산기를 나타내고, R2 및 R3은 수소원자 또는 메틸기를 나타내고, m 및 k은 1 내지 100의 정수를 나타낸다.
  29. 제22항 또는 제28항에 있어서,
    상기 밀폐공간의 근방에 건욕조(preparation bath)를 설치하고, 무전해 도금을 행하기 직전에 상기 밀폐공간에 건욕(preparation)한 상기 필요 최소한도의 무전해 도금액을 공급할 수 있게 구성한 것을 특징으로 하는 기판 도금장치.
  30. 제29항에 있어서,
    상기 필요 최소한도의 무전해 도금액으로 도금한 후, 이 무전해 도금액을 순환 재이용하는 일 없이 폐액으로서 처리하도록 구성한 것을 특징으로 하는 기판 도금장치.
  31. 제22항 또는 제28항에 있어서,
    기판 도금방법에 사용하는 도금액의 pH 조정제로서 알칼리 금속을 포함하지 않은 것을 사용하는 것을 특징으로 하는 기판 도금장치.
  32. 반도체기판의 배선용 오목부에 도금금속을 충전하기 위한 기판 도금장치에 있어서,
    동일 처리조에, 기판상에 초기막을 무전해 도금으로 형성하기 위한 무전해 도금액을 공급하는 무전해 도금액 공급유로와, 상기 초기막을 급전층(feeding layer)으로 하여 전해도금을 행하여 상기 오목부를 충전하는 전해 도금액을 공급하는 전해 도금액 공급유로가 택일적으로 전환 가능하게 설치되어 있는 것을 특징으로 하는 기판 도금장치.
  33. 제32항에 있어서,
    상기 기판 도금장치에 사용하는 도금액의 pH 조정제로서 알칼리 금속을 포함하지 않은 것을 사용하는 것을 특징으로 하는 기판 도금장치.
  34. 기판표면의 배선용 오목부에 도금금속을 충전하기 위한 기판 도금장치에 있어서,
    프레임;
    상기 기판이 유지되는 로드·언로드유닛;
    상기 프레임 내에 배치되는 이송 메커니즘; 및
    상기 이송 메커니즘을 둘러싸기 위한 상기 프레임 내에 배치되고, 밀폐되어 내부에 처리액이 기판의 피도금면을 따라 평행하게 흐르도록 구성된 도금처리조를 포함하는 복수의 공정유닛을 포함하는 기판 도금장치.
  35. 제34항에 있어서,
    상기 이송메커니즘은 선형적으로 이동가능한 것을 특징으로 하는 기판 도금장치.
  36. 제34항에 있어서,
    상기 공정 유닛은, 전해 도금 공정을 수행하기 위한 전해 도금 유닛을 포함하는 것을 특징으로 하는 기판 도금장치.
  37. 제36항에 있어서,
    상기 공정 유닛은, 상기 전해 도금 공정 후 상기 기판을 세정하고 스핀 건조하기 위한 세정 및 건조장치를 포함하는 것을 특징으로 하는 기판 도금장치.
  38. 제34항에 있어서,
    상기 공정 유닛은, 무전해 도금 공정을 수행하기 위한 무전해 도금 유닛을 포함하는 것을 특징으로 하는 기판 도금장치.
  39. 제38항에 있어서,
    상기 공정 유닛은, 상기 무전해 도금 공정의 전처리를 수행하기 위한 전처리 유닛을 포함하는 것을 특징으로 하는 기판 도금장치.
  40. 제38항에 있어서,
    상기 전해 도금 유닛은, 100 내지 250g/ℓ 농도의 황산구리(CuSO4·5H2O)를 포함하는 도금액을 갖는 전해 도금조를 포함하는 것을 특징으로 하는 기판 도금장치.
  41. 제38항에 있어서,
    상기 전해 도금 유닛은, 10 내지 100g/ℓ 농도의 황산(H2SO4)을 포함하는 도금액을 갖는 전해 도금조를 포함하는 것을 특징으로 하는 기판 도금장치.
  42. 제38항에 있어서,
    상기 전해 도금 유닛은, 0.001 내지 100mg/ℓ 농도의 염소이온을 포함하는 도금액을 갖는 전해 도금조를 포함하는 것을 특징으로 하는 기판 도금장치.
  43. 제38항에 있어서,
    상기 전해 도금 유닛은, 적어도 0.14 내지 70 μmol/ℓ의 아래식으로 나타내는 황화합물을 포함하는 도금액을 갖는 전해 도금조를 포함하는 것을 특징으로 하는 기판 도금장치.
    Figure 112006061814066-pct00036
    여기서, L은 저급 알킬기, 저급 알콕시기, 수산기 또는 할로겐원자로 치환되어도 좋은 탄소수 1 내지 6의 알킬기를 나타내고; X는 수소원자, -SO3M 기 또는 -PO3M 기를 나타내고; M은 수소원자, 알칼리 금속원자 또는 아미노기를 나타낸다.
  44. 제38항에 있어서,
    상기 전해 도금 유닛은, 적어도 10 내지 5000 mg/ℓ의 아래식으로 나타내는 고분자 화합물을 포함하는 도금액을 갖는 전해 도금조를 포함하는 것을 특징으로 하는 기판 도금장치.
    Figure 112006061814066-pct00037
    여기서, R1은 탄소수 8 내지 25의 고급 알코올의 잔기, 탄소수 1 내지 25의 알킬기를 가지는 알킬페놀의 잔기, 탄소수 1 내지 25의 알킬기를 가지는 알킬나프톨 잔기, 탄소수 3 내지 22의 지방산 아미드의 잔기, 탄소수 2 내지 4의 알킬아민의 잔기 또는 수산기를 나타내고; R2 및 R3은 수소원자 또는 메틸기를 나타내고; m 및 k는 1 내지 100의 정수를 나타낸다.
  45. 제38항에 있어서,
    상기 전해 도금 유닛은, 적어도 0.01 내지 100 mg/ℓ의 질소 화합물을 포함하는 도금액을 갖는 전해 도금조를 포함하는 것을 특징으로 하는 기판 도금장치.
  46. 제34항에 있어서,
    상기 프레임은 직사각형의 형상인 것을 특징으로 하는 기판 도금장치.
  47. 기판표면의 배선용 오목부에 도금금속을 충전하기 위한 기판 도금장치에 있어서,
    프레임;
    상기 기판이 유지되는 로드·언로드유닛;
    상기 프레임 내에 배치되는 이송 메커니즘; 및
    상기 이송 메커니즘을 둘러싸기위한 상기 프레임 내에 배치되고, 도금처리조를 포함하는 복수의 공정유닛을 포함하며,
    상기 도금처리조는 피도금 기판을 유지하는 턴테이블과, 적어도 일측면이 개방된 도금셀과, 도금셀의 외주연에 피도금 기판에 밀접하는 밀봉패킹을 포함하는 것을 특징으로 하는 기판 도금장치.
KR20017001685A 1998-08-11 1999-08-11 기판 도금방법 및 장치 KR100694562B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP10-239490 1998-08-11
JP23949098A JP4162298B2 (ja) 1998-08-11 1998-08-11 基板めっき装置
JP11-30230 1999-02-08
JP11030230A JP2000226671A (ja) 1999-02-08 1999-02-08 無電解めっき装置
JP11-220363 1999-08-03
JP22036399A JP2001049490A (ja) 1999-08-03 1999-08-03 基板のめっき方法及び装置
PCT/JP1999/004349 WO2000010200A1 (en) 1998-08-11 1999-08-11 Wafer plating method and apparatus

Publications (2)

Publication Number Publication Date
KR20010074808A KR20010074808A (ko) 2001-08-09
KR100694562B1 true KR100694562B1 (ko) 2007-03-13

Family

ID=27286894

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20017001685A KR100694562B1 (ko) 1998-08-11 1999-08-11 기판 도금방법 및 장치

Country Status (4)

Country Link
US (2) US7033463B1 (ko)
EP (1) EP1126512A4 (ko)
KR (1) KR100694562B1 (ko)
WO (1) WO2000010200A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US7316772B2 (en) * 2002-03-05 2008-01-08 Enthone Inc. Defect reduction in electrodeposited copper for semiconductor applications
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
JP3495033B1 (ja) * 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
US6897152B2 (en) * 2003-02-05 2005-05-24 Enthone Inc. Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication
KR20040073974A (ko) * 2003-02-14 2004-08-21 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 전기도금 조성물
JP2004315889A (ja) * 2003-04-16 2004-11-11 Ebara Corp 半導体基板のめっき方法
US9512530B2 (en) * 2004-11-24 2016-12-06 Sumitomo Electric Industries, Ltd. Molten salt bath, deposit, and method of producing metal deposit
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7575666B2 (en) 2006-04-05 2009-08-18 James Watkowski Process for electrolytically plating copper
JP5267526B2 (ja) 2010-09-24 2013-08-21 株式会社デンソー めっき装置及びめっき方法
TW201251094A (en) * 2011-06-07 2012-12-16 Hon Hai Prec Ind Co Ltd Electrode of dye-sensitized solar cells manufacturing equipment
CN102703939B (zh) * 2012-06-07 2014-12-24 上海交通大学 甲基磺酸铜电镀液的应力消除剂及其使用方法
CN106757242B (zh) * 2015-11-20 2018-11-02 中国科学院大连化学物理研究所 一种用于多孔物质电镀的装置及电镀或化学沉积的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51149131A (en) * 1975-05-07 1976-12-21 Rarusu Edobin Anderuson Chemical or electrochemical treatment method and apparatus therefor
JPS56158424A (en) * 1980-05-13 1981-12-07 Asahi Chem Ind Co Ltd Electrolytic copper plating for compound semiconductor
WO1997022733A1 (en) * 1995-12-19 1997-06-26 Fsi International Electroless deposition of metal films with spray processor

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3328273A (en) * 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
GB1222969A (en) * 1967-06-03 1971-02-17 Geigy Uk Ltd Plating process
US3716462A (en) 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3930963A (en) 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
US3776770A (en) * 1971-10-08 1973-12-04 Western Electric Co Method of selectively depositing a metal on a surface of a substrate
US3833486A (en) * 1973-03-26 1974-09-03 Lea Ronal Inc Cyanide-free electroplating
US4303443A (en) * 1979-06-15 1981-12-01 Hitachi, Ltd. Electroless copper plating solution
US4272335A (en) * 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
JPS56161221A (en) 1980-05-19 1981-12-11 Ebara Yuujiraito Kk Automatic plating device
US4666735A (en) * 1983-04-15 1987-05-19 Polyonics Corporation Process for producing product having patterned metal layer
JPS62235499A (ja) 1986-04-04 1987-10-15 Sumitomo Metal Ind Ltd 電気メツキ槽洗浄排液の回収方法および装置
JPH0633515B2 (ja) 1986-07-18 1994-05-02 川崎製鉄株式会社 めつき槽及びめつき液切換管路の洗浄方法
JP2552159B2 (ja) * 1987-02-02 1996-11-06 セイコーエプソン株式会社 半導体装置及びその製造方法
US4956097A (en) * 1988-10-11 1990-09-11 Enthone, Incorporated Waste treatment of metal containing solutions
JP2738080B2 (ja) 1989-11-01 1998-04-08 ヤマハ株式会社 メッキ液建浴装置
EP0441636B1 (en) 1990-02-09 1994-06-22 Nihon Parkerizing Co., Ltd. Process for surface treating titanium-containing metallic material
US5849171A (en) * 1990-10-13 1998-12-15 Atotech Deutschland Gmbh Acid bath for copper plating and process with the use of this combination
JPH0598500A (ja) 1991-05-28 1993-04-20 Nissan Eng Kk アルミ電解廃液からの遊離酸の完全リサイクル使用方法
DE4126502C1 (ko) * 1991-08-07 1993-02-11 Schering Ag Berlin Und Bergkamen, 1000 Berlin, De
JP2734269B2 (ja) * 1991-12-26 1998-03-30 日本電気株式会社 半導体製造装置
JP3155065B2 (ja) 1992-05-13 2001-04-09 荏原ユージライト株式会社 自動表面処理装置における移し換え移送機構
JPH05331653A (ja) * 1992-06-02 1993-12-14 Nec Corp 無電解めっき装置
JPH07193214A (ja) * 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW405158B (en) * 1997-09-17 2000-09-11 Ebara Corp Plating apparatus for semiconductor wafer processing
US6024857A (en) * 1997-10-08 2000-02-15 Novellus Systems, Inc. Electroplating additive for filling sub-micron features
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6117784A (en) * 1997-11-12 2000-09-12 International Business Machines Corporation Process for integrated circuit wiring
US6156167A (en) * 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6143155A (en) * 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
CN1244722C (zh) * 1998-07-10 2006-03-08 塞米用具公司 采用无电镀和电镀进行镀铜的装置
US6254760B1 (en) 1999-03-05 2001-07-03 Applied Materials, Inc. Electro-chemical deposition system and method
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51149131A (en) * 1975-05-07 1976-12-21 Rarusu Edobin Anderuson Chemical or electrochemical treatment method and apparatus therefor
JPS56158424A (en) * 1980-05-13 1981-12-07 Asahi Chem Ind Co Ltd Electrolytic copper plating for compound semiconductor
WO1997022733A1 (en) * 1995-12-19 1997-06-26 Fsi International Electroless deposition of metal films with spray processor

Also Published As

Publication number Publication date
WO2000010200A8 (en) 2000-04-27
EP1126512A4 (en) 2007-10-17
US20060144714A1 (en) 2006-07-06
WO2000010200A1 (en) 2000-02-24
EP1126512A2 (en) 2001-08-22
KR20010074808A (ko) 2001-08-09
US7033463B1 (en) 2006-04-25

Similar Documents

Publication Publication Date Title
KR101474377B1 (ko) 전기도금방법
US20060144714A1 (en) Substrate plating method and apparatus
KR100824759B1 (ko) 기판처리장치 및 기판도금장치
US8048282B2 (en) Apparatus and method for plating a substrate
US6699380B1 (en) Modular electrochemical processing system
US20060081478A1 (en) Plating apparatus and plating method
JP2005539369A (ja) 無電解堆積装置
KR100597024B1 (ko) 기판의 도금장치
US20090139870A1 (en) Plating apparatus and plating method
WO2001068952A1 (fr) Procede et appareil de plaquage electrolytique
US20050081744A1 (en) Electroplating compositions and methods for electroplating
WO2002090623A1 (fr) Bain galvanoplastique et procede pour substrat de galvanoplastie faisant appel audit bain
US20140299476A1 (en) Electroplating method
US20070158202A1 (en) Plating apparatus and method for controlling plating solution
US20120255864A1 (en) Electroplating method
KR20140146015A (ko) 필드 대 피처 콘트라스트를 사용하는 tsv 조 평가
US20110108415A1 (en) Apparatus and method for plating a substrate
KR100656581B1 (ko) 기판의 도금방법 및 장치
US7479213B2 (en) Plating method and plating apparatus
US20050109627A1 (en) Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
JP2010255028A (ja) めっき方法及びめっき装置
KR101170765B1 (ko) 기판 도금 장치 및 방법
JP5564171B2 (ja) めっき装置及びめっき方法
US20220102209A1 (en) Electrodeposition of cobalt tungsten films
JP2006152415A (ja) めっき装置及びめっき方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee