CN1726431A - 纳米级设计结构、其制造方法及设备以及在掩模修复、增强和制造上的应用 - Google Patents

纳米级设计结构、其制造方法及设备以及在掩模修复、增强和制造上的应用 Download PDF

Info

Publication number
CN1726431A
CN1726431A CNA200380105744XA CN200380105744A CN1726431A CN 1726431 A CN1726431 A CN 1726431A CN A200380105744X A CNA200380105744X A CN A200380105744XA CN 200380105744 A CN200380105744 A CN 200380105744A CN 1726431 A CN1726431 A CN 1726431A
Authority
CN
China
Prior art keywords
mask
tip
substrate
defective
deposit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200380105744XA
Other languages
English (en)
Inventor
珀西·范克罗克
西尔万·克吕雄-迪佩拉
利内特·德默斯
罗伯特·埃勒加尼安
圣迪普·迪萨维尔
纳比勒·阿姆鲁
张华�
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NanoInk Inc
Original Assignee
NanoInk Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NanoInk Inc filed Critical NanoInk Inc
Publication of CN1726431A publication Critical patent/CN1726431A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D11/00Inks
    • C09D11/52Electrically conductive inks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/06Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1254Sol or sol-gel processing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/143Radiation by light, e.g. photolysis or pyrolysis
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/145Radiation by charged particles, e.g. electron beams or ion irradiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1605Process or apparatus coating on selected surface areas by masking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/161Process or apparatus coating on selected surface areas by direct patterning from plating step, e.g. inkjet
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1612Process or apparatus coating on selected surface areas by direct patterning through irradiation means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • C23C18/1658Process features with two steps starting with metal deposition followed by addition of reducing agent
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/855Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure
    • Y10S977/857Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure including coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/86Scanning probe structure
    • Y10S977/863Atomic force probe

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Thermal Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Dispersion Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Surface Treatment Of Glass (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

使用直接写入纳米构图修复和制造光掩模,包括:使用扫描探针显微镜尖端以淀积包含溶胶-凝胶和金属墨汁的墨汁材料。添加方法能够与减去方法相结合。可以使用纳米结构填充孔。可以控制填充孔的纳米结构的高度,而不会损失对纳米结构的横向尺寸的控制。可以使用和制造玻璃上的铬掩模,以及更先进的掩模,包括用于纳米压印纳米构图的掩模。

Description

纳米级设计结构、其制造方法及设备以及在掩模修复、增强和制造上的应用
相关申请的引用
本申请要求Crocker等人2002年10月21日提交的在先申请60/419781“Nanometer-scale engineered structure,metheods and apparatus for fabricationthereof,and applications to photomask repair and enhancement”的优先权,因此通过参考援引其全部内容。
引言
一般来说,本发明涉及纳米级结构的设计,具体涉及用于光刻的光掩模的修复和制造。用途包括半导体工业。
包括光掩模(photomask)在内的掩模对半导体工业很重要。光掩模的制造和修复在半导体工业中是一项重要技术,用于通过微光刻(microlithotraphy)的微型芯片/集成电路(IC)制造中。例如,参见 Handbook of Microlithography,Micromachining,and Microfabrication,Vol.I and II,包括Vol.I Chaper 5“Photomask Fbrication Procedures and Limitation”,Skinner等人(377-474页)。常规的深紫外线(DUV)光刻中采用的典型光掩模(或者中间掩模(reticle))包括光透明衬底,该光透明衬底上包含掩模层,该掩模层是不透光图案。光只穿过透光区,在光掩模下产生图案。换句话说,掩模被照射,使图像投射到涂覆有光刻胶的晶片上。通常,光掩模价格昂贵且复杂,而且有些光掩模有缺陷。因此,存在强烈的经济刺激修复这些缺陷。
如图1所示,通常掩模缺陷例如按照他们对虚象(aerial image)(通过照射掩模所产生的光学图案)的影响而被分类为:
1-不透明缺陷,它们是通常可以用减去方法修复的外来(虚假)部件(features);它们包括:应为透明区中的不透明点或者沾污,部件之间的多余颈状部或者桥状部,部件边上的多余尖端(spike)或突起。
2-透明(clear)缺陷,它们是通常可以用添加方法修复的缺失的或不完整的部件;它们包括:针孔,断线,或变细的线,凹坑和外来(comer)缺陷。
缺陷可能出现在掩模层(例如,Cr金属或MoSi2)中;或者出现在衬底(例如石英的撞伤或断层)中。
在以上所述的Skinner的文章中还将掩模缺陷分成硬缺陷和软缺陷。软缺陷是通常可以通过清洁处理去除的任何缺陷;而硬缺陷不能通过清洁处理去除。例如,典型为铬/石英上的微粒,沾污,残留物,色斑(stain)等都叫做软缺陷。此外,在铬(Cr)/吸收层/相移层(见下文)中的缺失部件或额外部件,针孔,石英凹坑等都叫做硬缺陷。硬缺陷的类型包括:例如,针孔,针状污点(pinspot),侵入(intrusion),外来缺陷,缺失的部件,吸收层传播缺陷,突起,和透明区中的半透明缺陷等。
其他类型的缺陷包括:由原始掩模数据带中的错误以及掩模处理不当(几何图案的错位或遗漏)所造成的缺陷;由掩模上的临界尺寸(CD)变化和部件的边缘质量即线条边缘粗糙所引起的缺陷。
本发明中,通过改进的纳米构图和纳米淀积方法,可以修复这些类型和其他类型的缺陷,其中,纳米淀积可以将材料添加到掩模上,或者,将材料添加到掩模上及从掩模去除材料。此外,可以增强掩模部件,以包括可以改善性能(例如分辨率),但是并非必须图案化或印刷的多个部件。增强部件例如是横向尺寸是100纳米的结构或更小的结构。
本发明涉及使用直接写入(direct write)淀积纳米构图的纳米级淀积和去除方法,包括:以扫描探针显微镜方法和原子力显微镜方法为基础的纳米构图,并且特别包括作为重要步骤的DIP PEN NANOLITHOGRAPHYTM(DPNTM)印刷和淀积方法(DPNTM和DIP PEN NANOLITHOGRAPHYTM是NanoInk公司,芝加哥,IL的商标)。例如,在(1)2002年5月30日公开的属于Mirkin等人的美国专利公开No.2002/0063212A1,和(2)2002年9月5日公开的属于Mirkin等人的美国专利公开No.2002/0122873A1中公开了DPNTM淀积和印刷方法,由此通过参考援引其全部内容,特别是有关纳米构图的实验参数,包括:衬底,墨汁,图案化合物,喷嘴(tip),测试仪器,软件等;以及能够实施的各种实施例和用途。
本发明还涉及:(1)上述方法;(2)实施和启动这些方法的设备;和(3)这些方法所制造的产品,这些产品被设计为具有以纳米级精度设置的纳米级部件。更具体地说,本发明涉及上述产品、方法和设备在如下方面的应用:(a)制造、修复和增强掩模和光掩模;(b)修改微电子器件或微系统(MEMS);和(c)制造掩模、微电子器件或MEMS。出于本公开的目的,缩略语“MEMS”包括所有的微系统,无论是微电机系统,微光电系统,微电磁系统,微流态系统…等等,实际上,而不考虑它们的临界尺寸(即,包括纳米电机系统,NEMS)。
微型芯片最普遍使用光刻(optical lithography)来进行商业生产,光刻是这样的工艺:其中,将光敏抗蚀剂旋涂在衬底上以进行图案化,然后经过光掩模或中间掩模(reticle)被UV光选择性曝光。对于更复杂、更快速和更密集器件的需求,每三年以0.7因子降低微芯片中的最小部件尺寸(临界尺寸,CD),即人们熟知的摩尔定律的模型[“International Roadmap forSemiconductors(lithography)”,International SEMATEC,2000]。用于制造它们的光掩模中的最小特征尺寸和间隔成比例缩小。但是,光掩模部件尺寸当前已趋近于它们理论上的物理极限。随着缩小到纳米级,诸如隧道和微粒-波干扰效应等量子效应对于器件性能而言变得很重要。因此,增加了光掩模的精度和复杂程度(增加了光学相位校正(OPC),和使用例如相移和离轴照射(OAI)等技术),因此,增加了成本,例如,就交替相移模而言,通常,每个单元在50000美元以上。对于每次光刻曝光,换句话说,对于微芯片中的每一层需要不同的光掩模。对于某些设计需要一打以上的掩模。因此,光刻代表了与芯片制造相关的最大固定成本之一,(典型接近总数的1/3)。对于90nm掩模的总成本大约是一百万美元。
此外,即使光掩模上的极小缺陷都会造成所产生的微芯片的整个失效,或者使他们失效前的平均寿命明显缩短。例如,位于光掩模上两根印刷线之间的单个外来不透明缺陷会引起两个电路之间电短路,导致整个芯片的较大缺陷或击穿。假定光掩模相关的费用和严格的质量要求,(a)修复制造后或使用后存在缺陷的掩模;和(b)修改由于设计时的小错误引起的否则不能使用的掩模,在经济上是有利的。考虑更廉价、更可靠的方法代替现有的光掩模制造方法也是非常重要的。
当前使用的光掩模修复工艺不是价格极贵,受到限制,就是不是很适应先进的掩模技术。最通用的工具是聚焦离子束(FIB),它能够蚀刻不透明缺陷和通过碳或金属淀积填充透明缺陷(参见例如1992年的属于Tao等人的美国专利No.5104684),并且每单元可能花费5美元以上(参见例如VeecoFEI商业指南)。虽然这项技术能够用于COG(玻璃上的铬(Cr)),尽管边缘位置的精度比较差,但我们知道以镓污染(staining)为基础的修复技术会损坏变薄的相移掩模中缺陷周围的区域。激光剥离和激光辅助淀积也被采用[参见例如属于Balz等人的1995年的美国专利No.5441386及其中的参考文献]、[属于Segal等人的1980年的美国专利No.4200668]和[Chiba等人,Japenese Journal of Applied Physics Part 138(12A):6577-6582,1999及其中的参考文献]。
也可以获得以扫描探针显微镜为基础的价格低廉但单纯减去光掩模的修复工具(参见例如被Rave LLC商用化的仪器,和属于Kley的美国专利No.6353219(2002年3月5日))。也可以用纳米针(nanobit),即,具有高力常数且由尖锐的弹性尖端收尾的悬臂,机械刮除虚假(spurious)部分。因此,利用这种工具的添加修复只能通过使用例如聚焦离子束(FIB)陆续淀积大的碳补钉,然后,从碳补钉除去多余的材料来完成。类似的单独减去技术正出于开发中,其利用扫描近-场光学显微镜探针提供的激光束(由Nanonics Israel开发的仪器)。
因此,需要开发出一种技术,既能够进行添加修补也能够进行减去修补,同时保持成本以及例如纳米加工的精确优点。在以下部分中,证明使用淀积纳米构图技术能够开发出这种替换技术,例如Dip PenTM纳米构图印刷,作为优选的图案化方法。
当前的中间掩模技术包括二元光掩模(在玻璃上的铬,COG)和相移掩模。典型的二元光掩模是通过在透明衬底(例如,石英,兰宝石,玻璃)上淀积不透明的金属铬(或金属铝或镍)而制成的。用于二元铬掩模的典型工艺从涂有铬和抗蚀剂的薄膜的5英寸熔融的硅石方形板开始。在抗蚀剂为光致抗蚀剂时用光学图案发生器来曝光,或者在抗蚀剂为电子速抗蚀剂(例如PMMA)时用电子束光刻工具来曝光。然后,光刻胶被显影并且蚀刻铬[“Microsystem design”,S.Senturia,2001,50-57页]。因此,COG光掩模修复技术集中在于透明缺陷位置处淀积不透明的补钉,和除去留在多余区域中的铬。
相移掩模(PSM)利用图案边缘的相消干扰来提高对比度。交替孔径PSM,也叫做强移相器(shifter),是通过蚀刻石英掩模交替透明区中的180度相移窗口而制成的。此区域中的修复技术集中在衬底缺陷(石英碰伤和撞伤)[“Alternating phase shift mask defect printability for 130nm he 100nm KrFlithography”Kim等人,International SEMATECH,2000年5月19日]。
衰减PSM(弱移相器)取决于部分透射的180相移材料(例如,硅化钼)的淀积。从光掩模中的透明开口衍射出的光与来自相移区的光相消干扰,从而提高对比度。这种光掩模的添加修复取决于具有类似全部光学特性(透明度、折射率)的膜层的淀积,该膜层可以通过精确控制补钉的化学性质和厚度而获得的。
不断更新的构图被认为是纳米构图,而不是微构图,并且纳米构图可以结合193nm和157nm技术,以及所谓下一代光刻(NGL),主要竞争者包括:远紫外线(EUV,14.6nm),和包括SCAPEL和X-射线构图的电子投射光刻(EPL)。EUV掩模有可能由包含多达40层(例如,Mo/Si)的衍射反光层组成。这使得缺陷检测和修复极端严格。而且,与具有4∶1或者5∶1的掩模/晶片之比的光掩模相反,NGL掩模的掩模/晶片之比通常是1∶1。因此,对于用于形成构图的掩模的制造和修复,需要有能够进行纳米级XY控制,并能够以高Z分辨率进行多层处理的高精度工具。
Handbook of Microlithography,Micromachining,and Microfabrication,Vol.1,Peckerar等人,“Issue in Nanolithography for Quantum effect DeviceManufacture 681-763页)公开了“最近探针电子构图”(见710-716页),在此援引作参考。
需要便利、快捷、通用和成本有效的方法来制造、增强和修复掩模、光掩模和有缺陷和孔洞的类似结构,特别是尺寸为纳米级的结构,并且特别是在添加模型中,其中极少量的材料被添加以进行有效修复。还需要与现有的和更新的光掩模技术兼容的这些方法。
发明内容
在这一部分中概述本发明,但是此概述并不是限制以下详细描述并要求保护的本发明。
本发明提供一种在半导体工业中用于添加修复的方法,其对整个横向尺寸和高度可进行精确控制,该方法包括:从尖端通过直接写入纳米构图将材料淀积到有缺陷的掩模,以进行添加修复。
本发明还提供一种纳米构图方法,包括:(1)提供掩模,(2)提供扫描探针显微镜尖端,其中,该尖端上涂覆有图案化合物,(3)将被涂覆的尖端与掩模接触,从而将该化合物加到掩模上。
本发明还提供一种纳米构图方法,包括:(1)提供具有至少一个缺陷的衬底,(2)提供具有图案化合物的尖端,(3)用尖端接触衬底,从而将该化物加到缺陷处的衬底,以修复缺陷。
本发明还提供一种掩模制造方法,包括,通过使用扫描探针显微镜尖端进行直接写入纳米构图将材料加到衬底以形成掩模,以图案化衬底上的材料。
本发明还提供一种纳米构图方法,包括:使用尖端在衬底上层叠一种或多种图案化合物,从而将一种或多种图案化合物形成至少约10nm高的结构。该结构可以是掩模增强结构。
本发明还提供一种纳米构图方法,包括:在掩模制造中,用被涂覆的原子力显微镜尖端在衬底上淀积图案化合物。
本发明还包括用这些方法修复、增强和制造的掩模。本发明还提供用扫描探针显微镜的多种方法,通过分别添加修复和添加构图来修复和制造掩模。
本发明的另一个方案为修复过的光掩模,包括有缺陷的掩模衬底,该衬底包含至少一个纳米级开口,该开口为缺陷;和至少部分填充该开口的至少一个添加修复纳米结构。该纳米结构还能基本上填充开口。
本发明还提供(i)具有纳米级精度设置的所设计的纳米级淀积物;并且特别提供:(ii)所设计的纳米级蚀刻过的开口(如本文中公开的)和类似物件;(iii)所设计的纳米级已填充开口和类似物件;(iv)包含这些物件的结构或组件;(v)制备(例如,蚀刻/淀积/填充)方法,和单独或与其他物件组合使用的使用纳米级淀积物及其组件的方法;(vi)制造这些物件的设备。
更具体地说,以下产品(article)和方法只是本发明的一部分,包括:(vii)纳米级淀积物,或者通过利用直接写入淀积纳米构图技术蚀刻或填充的开口,并且特别是(viii)通过直接写入,Dip PenTM,纳米构图印刷和淀积(DPNTM)形成的纳米级淀积物;(ix)制造这些部件的多种方法,包括(x)预纳米构图处理(例如,溶剂或等离子体清洁,附着层淀积);(xi)纳米构图方法(包括墨汁构成和将墨汁加到探针;以及(xii)后续纳米构图处理工艺(例如:还原,热处理,固化…);每个处理可以原位进行或者异地进行,可以进行一次或多次,可以重复或不重复;以及(xiii)所用的设备。
实例包括但不限于:(xiv)用以下材料淀积和/或填充,所述材料包括:(a)富金属材料,例如,金属或合金,和它们的前体;(b)富碳材料,例如,石墨类碳(包括:填料(fullerenes),和碳纳米管和碳纳米管衍生物,和钻石类碳;(c)胶态颗粒,包括:金属颗粒,和包含胶态颗粒的混合物;(d)一种或多种高分子量化合物,包括:添加或不添加胶态颗粒的有机和无机聚合物;(xv)金属氧化物、玻璃、硅酸盐及相关材料的淀积;(xvi)用(xiv)和(xv)中所述材料的依次淀积(多层形成),并且对每一层的厚度和粗糙度以及边缘的几何形状都可以随意控制。
实际应用包括:(xvii)用具有相同光学特性(包括:折射率、吸收率和反射性)的材料填充部件的纳米级开口到开口周围,包括(xviii)用电磁辐射不能穿过的材料填充纳米级开口或者电磁辐射不能穿过的材料的纳米级淀积,所述电磁辐射包括可见光和UV光,和/或带电微粒;并且特别是(xix)修复微细制造的结构和它们组件中的缺陷;
(xx)修复光刻的光掩模中存在的缺陷,和(xxi)修复微电子芯片和微电机系统(MEMS)中存在的缺陷。
本公开文本中的其他方案包括,例如:
(xxii)将上述产品及方法与以下方法联系起来的办法,包括:(a)其他衬底修改方法,特别是(b)其他光掩模修复方法,包括:(c)通过(电)化学蚀刻,机械去除,局部加热,激光剥离/蒸发,离子蚀刻或他们的组合能够去除部件的减去修复方法;特别是(d)减去纳米机械加工工艺;和(e)减去纳米机械加工工艺,其中通过润滑剂或蚀刻剂的淀积特别使用DPNTM印刷作为来源(source)来协助去除。
(xxiii)实施上述方法的设备;(xxiv)结合上述方法或设备和设计为检测缺陷和他们对半导体晶片印刷的影响的方法或者设备。后者包括以光学显微镜(包括等量分档器)和扫描探针显微镜(包括经由大探针阵列的大面积SPM)的原理为基础的方法和设备。
DPNTM印刷和淀积为基础的工艺也可以用于(xxv)通过局部清洗剂或溶剂的传送,或者通过轻微机械去除和试剂(regent)淀积的组合,来控制污染。
本发明的另一个目的是,提供以下方法:(xxvi)增强通过传统方法制造的掩模,具有用其他方式难以制造的部件,例如,(xxvii)添加OPC(光学相位校正)部件。应了解,使用光学图案发生器的图像保真度问题限制他们在高密度部件和次分辨率OPC部件的图案化中的应用,例如散射条码。使用平行探针阵列的DPNTM图案化,对于例如OPC和PSM部件的波前设计部件就总成本和产量等方面可以有效与用电子束直接写入竞争。
本发明的另一个目的是:(xxiii)提供以DPNTM印刷和淀积为基础的方法和设备,其适合作为图案化光掩模晶片的主要技术,包括高产量探针阵列的使用。DPNTM印刷和淀积的关键优点是:其在微米级区(例如,掩模图案)中,能够制造例如纳米点和线网络的纳米结构,为掩模设计者提供获取小尺寸系统的唯一光学特性的途径。
其他的用途包括但不限于:(xxix)用于硬驱动的读/写磁头的修改;和(xxx)探针的制造或修改,例如,扫描探针显微镜/构图探针,DPNTM印刷和淀积探针或探针阵列。
这些技术的提高要求开发(xxxi)新的探针几何形状;(xxxii)新的探针淀积/激励技术;(xxxiii)新的探针阵列化和多元化技术;(xxxiv)将墨汁运送到探针上的新方法;以及(xxxv)获取制成的图案适用性的方法,所述适用性例如为他们的机械和化学特性和他们在以后的工艺步骤中稳定性。
附图说明
图1显示出各种类型的掩模缺陷以及添加和减去修复;
图2显示出包括缺陷定位和墨汁淀积步骤的缺陷修复;
图3A和3B显示出添加和减去修复;
图4显示出金属图案化;
图5显示出金属/金属氧化物图案化;
图6显示出金属图案化;
图7显示出微粒的图案化;
图8显示出胶体的图案化;
图9显示出聚合前体的图案化;
图10显示出钯(pd)的图案化
图11显示出钯(pd)的图案化;
图12显示出金属图案化;;
图13显示出掩模修复工艺的示意图;
图14A和14B显示出多层图案化;
图15显示出有缺陷的掩模结构;
图16显示出有缺陷的掩模结构(近看);
图17显示出初始添加修复(点);
图18显示出通过高点的最初添加修复;
图19显示出初始添加修复(线);
图20显示出具有纳米级孔的有缺陷掩模结构;
图21显示出初始添加修复;
图22显示出添加修复;
图23显示出多层修复;
图24显示出弹性体尖端改进;
图25显示出改进过的弹性体尖端;
图26显示出具有两种化学反应墨汁的实施例;和
图27显示出通过来自NSOM尖端的辐射进行局部固化。
具体实施方式
本申请要求Crocker等在2002年l0月21日提交的在先申请60/419781(“Nanometer-scale engineered structures,methods and apparatus for fabricationthereof,and applications to photomask repair and enhancement”)临时申请的优选权,因此通过参考援引其全部内容。在前序部分中所引用的参考文件可视为本发明的实践。在本专利申请中引用的任何参考文献,包括在前序部分中引用的参考文献,未被承认为是现有技术。
I.直接写入纳米构图和尖端的使用。
本发明提供纳米级功能部件(包括:淀积物,被填充的开口,和被蚀刻的开口),以及实施方法和设备。要制造和修复的具体部件的例子包括:
(a)在例如这些用于远紫外线(EUV)光刻的透射光掩模和反射光掩模中的缺陷修复补钉;这包括不透明或透明材料的淀积,或它们的蚀刻。
(b)微电子电路中的通路(Via),线及其缺陷,应用为高值芯片的修复。
(c)微电极系统(MEMS)中被填充的缺陷,应用为样品(prototype)的修复、修改或测试。
本发明还提供用于(a)作为校正措施和增强措施,对现存光掩模的改进;(b)用于光掩模制造方法和设备;
Dip PenTM纳米构图印刷和淀积(DPNTM印刷和淀积)可以与新的墨汁、探针、预淀积/后淀积和墨汁的释放方法结合使用。DPNTM印刷和淀积建立在原子力显微镜(AFM)技术的基础上,其允许具有纳米级精度的一种或多种墨汁淀积,使得能够在物理特性可调整(tailorable)的绝缘体、半导体和金属纳米级结构的各个表面上进行制造,并精细控制整个横向尺寸(几纳米到几微米)和高度(从埃到几百纳米)。
具体地说,以下特征将DPNTM印刷定位为以史无前例的分辨率用于新的添加掩模修复和制造技术的有效技术:
1.高分辨率。通过优化特殊墨汁/衬底组合,可以实现特征尺寸小到12nm、空间分辨率为5nm。
2.在周围环境中工作。DPNTM印刷和淀积在温度、压力和湿度为周围环境条件下采用从被包覆的探针到衬底的墨汁输送。通常不需要高真空条件。
3.直接写入。不需要光刻胶,有用的分子能被准确(且唯一)地放置在所需的位置。这种功能就允许在衬底上进行多种墨汁的原位淀积。
化学通用性,DPNTM印刷和淀积以图案化墨汁可以采用从简单的有机分子(如烷烃硫醇(alkanethiols))到氧化物范围的化合物,并且表面上的金属和纳米颗粒的范围是从金到氧化物到半导体。
本发明主要包括这些和其他基本和新的部件。
以下专利申请和通过参考援引其全部内容的专利申请(特别关于用于进行淀积的实验参数)中广泛地描述了DPNTM印刷和淀积方法。
1. 1999年1月7日申请的美国临时申请60/115133(Dip PenNanolithography”)。
2. 1999年10月4日申请的美国临时申请60/157633(Methods UtilizingScanning Probe Microscope Tips and Products Therefor or Produced Thereby”)。
3. 2000年1月5日申请的美国常规申请09/477997(Methods UtilizingScanning Probe Microscope Tips and Products Therefor or Produced Thereby”)。
4. 2000年5月26日申请的美国临时申请60/207713(Methods UtilizingScanning Probe Microscope Tips and Products Therefor or Produced Thereby”)。
5. 2000年5月26日申请的美国临时申请60/207711(Methods UtilizingScanning Probe Microscope Tips and Products Therefor or Produced Thereby”)。
6. 2001年5月24日申请的美国常规申请09/866533(Methods UtilizingScanning Probe Microscope Tips and Products Therefor or Produced Thereby”)。
7. 2002年5月30日公开的美国专利申请No.2002/0063212Al(MethodsUtilizing Scanning Probe Microscope Tips and Products Therefor or ProducedThereby”)。
8. 2002年9月5日公开的美国专利申请No.2002/0122873A1(Nanolithography Methods and Products Produced Therefor and ProducedThereby”)。
9.以2000年1月7日申请的PCT申请No.PCT/US00/00319为基础的2000年7月13公布的PCT申请WO 00/41213A1(Methods Utilizing Scanning ProbeMicroscope Tips and Products Therefor or Produced Thereby”)。
10.以2001年5月25日申请的PCT申请No.PCT/US01/17067为基础的2000年12月6公布的PCT申请No.WO 01/91855A1(Methods UtilizingScanning Probe Microscope Tips and Products Therefor or Produced Thereby”)。
11. 2001年10月2日申请的美国临时申请60/326767(“Protein Arrays withNanoscopic Features Generated by Dip-Pen Nanolithography”)。
12. 2001年11月30日申请的美国临时申请60/337598(Patterning ofNucleic Acids by Dip-Pen Nanolithography”)。
13. 2001年12月17日申请的美国临时申请60/341614(Patterning of SolidState Features by Dip-Pen Nanolithography”)。
14. 2002年3月27日申请的美国临时申请60/367514(Method andApparatus for Aligning Patterns on a Substrate”)。
15. 2002年5月14日申请的美国临时申请60/379755(NanolithographicCalibration Methods”)。
16. 2003年8月26日申请的美国专利常规申请大流水号No.10/647430(文献号No.083847-0200)(Processess for Fabricating Conductive Patterns UsingNanolithography as a Patterning Tool)描述多种导电合成物和它们的图案化。
17.Cruchon-Dupeyrat等人在2003年11月12日申请的美国临时申请60/425252(“Methods and Apparatus for Ink Delivery to Nanolithographic ProbeSystems”)。
在Chang Liu等人在2003年1月30日公开的专利申请No.2003/0022470(“Parallel,Individually  Addressable Probes forNanolithography”)中能找到PDN方法的其他说明,因此援引作参考。
与DPNTM印刷和淀积相关的产品,包括:硬件、软件和仪器可以从NanoInk,Inc.(Chicago,IL)处获得。
II.掩模修复和制造
以下的专利中公开了掩模制造和修复,因此通过参考援引其全部内容,特别对于他们关于光掩模和修复材料的公开:Grenon等人的6090507(2000年7月18日);Grenon等人的6165649(2000年12月26日);Pierrat等人的6373976(2002年4月16日);Lee等人的6139993(2000年10月31日);Oprysko等人的4727234(1998年2月23日);Yang等人的6096459(2000年8月1日);Yang等人的6114073(2000年9月5日);Segal等人的4200668(1980年4月29日);和Kley等人的6353219(2002年3月5日)。
此外,Cotte等人的美国专利No.6451375(2002年9月17日)被通过参考援引其全部内容,特别对于其关于使用超临界液流技术在纳米级结构上淀积膜的公开。
而且,Narcus等人的美国专利No.4160049(1979年7月3日)被通过参考援引其全部内容,特别对于其关于镍涂层的无电淀积的公开。
此外,以下的文件被通过参考援引其全部内容: Handbook of Microlithography,Micromachining,and Microfabrication,Vol.I和II,包括Skinner等人写的Vol.I的第5章“Photomask Fabrication Procedures andLimitations”(377-474页),特别对于其关于衬底的制备,图案写入,图案处理,计量制,图案完整性检测,清洁,修复,薄膜的附着,最终缺陷检测,和材料的公开。而且,上述由Peckerar等人写的这本书的第8章被通过参考援引其全部内容,特别对于其关于最接近探针构图的公开。
Silicon Processing for the VLSI Era Vol.I:Process Technology,485-486页也公开了掩模和中间掩模中的缺陷修复。
关于先进的掩模修复可以采用以下参考文献:“Issues for advancedreticle fabrication:(You Want that reticle when?)”,J.G.Maltabes,FutureFab.,Vol.II,2001年6月29日。
图1提供了普通的掩模缺陷示意图,包括:修复前(左边)和修复后(右边)的光掩模缺陷:(1)变细的线或边缘缺口;(2)针孔缺陷;(3)间隙;(4)尖端或突起;(5)桥状部或颈状部;(6)拐角缺陷;(7)(石英)衬底中的碰伤或撞伤;(8)虚假的不透明斑点。通过添加工艺能修复透明缺陷,例如,淀积墨汁(顶部),而通过减去工艺(底部)能去除不透明的缺陷,例如,化学蚀刻剂的淀积,其中可通过或不通过机械作用辅助。
一个缺陷可以是裂纹(flaw),不完整(imperfection)和/或弱点(weakness),指的是例如:
a—在设计部件中的多余开口(如以上所限定);
b—多余污点,突起或尖端;
c—在两个设计部件之间的桥状部或颈状部;
d—衬底的厚度或它的光传输特性不正常;
出于本公开的目的,以纳米级部件为客体,它的(至少)一个尺寸为(a)小于几微米,但大于500nm(纳米);(b)小于500nm但大于100nm;(c)小于100nm但大于10nm;(d)小于10nm达到分子尺寸。
而且,开口可以是(1)某物缺失的区域;(2)桥或突起之间的空洞。它包括但不限于:(a)孔洞,穿孔(perforation)或孔隙(aperture);(b)间隙;(c)凹槽(recess),凹痕(identation)或凹穴(cavity);(d)裂纹(fissure),裂缝(crack)或破裂(break)。
本发明中,根据突起的半导体结点,特别优选具有横向尺寸是大约100nm或更小,大约80nm或更小,大约56nm或更小,或大约35nm或更小的开口的部分或基本上完全填充。
III.图2和图3A和图3B
图2提供了用于例如添加(淀积)修复工艺的几种典型工艺流程的实例。添加掩模修复流程的实例为:(a)借助外部仪器(例如空间成像器)和/或通过扫描探针定位初始缺陷,以获得扫描探针显微镜图象;(b)用手工或自动控制在缺陷区中淀积墨汁直到结束,如(c)中所示;根据需要应用后纳米级构图处理;例如,触发淀积的物理或化学转换(固化);可能包括:(d1)加热晶片;(d2)用电磁射线(例如,用UV光)照射淀积物,或(d3)将它浸泡在适当的溶液中,例如,还原剂的水溶液中或有机溶剂中,或(d4)将它曝露在化学蒸汽中(例如,还原剂、碱等),这在图2中没有显示。步骤d1到d3可以用于整个晶片或图案周围的局部晶片。(e)一旦修复完成,可随意清洁晶片(例如,去除残留的墨汁)以及用原子力显微镜(AFM)或空间成象技术随意检测已修复的缺陷。
图3提供了可行的添加/减去光掩模修复设备的添加示意图,如果组合DPNTM印刷技术和纳米级机械加工和/或DPNTM印刷辅助纳米级机械加工,可以在同一时期(session)用相同的仪器同时或依次修复透明缺陷和不透明缺陷。例如通过靠近期间监控悬臂的偏斜,促使两个活动的微制造悬臂的排列与光掩模晶片(1)最大可能靠近。例如,通过光化(actinic)光学显微镜和与图案识别软件相关的晶片的小力高速SPM成像技术,定位要修复的缺陷(2)。左边的探针(3)被供应和涂覆墨汁,而右边的探针,为大力恒定悬臂,能提供大的接触力和/或释放第二墨汁,其能作为纳米研磨(milling)工具。可以通过例如悬臂后部上的金属加热器所形成的双压电晶片(bimorph)的热膨胀和悬臂本身的结构材料的热膨胀使悬臂单独动作。在添加修复模式[A]中,使探针3与衬底接触并以缓慢的速度扫描(raster),以填充透明缺陷区。在减去修复模式[B]中,探针4对于淀积了虚假金属的区域施加大力,以便刮去它。或者探针4通过多次扫描该以将其刮去而能够去除虚假金属。探针4可以被墨汁(5)任意涂覆,在纳米制造和纳米级机械加工过程中,该墨汁用作润滑剂和/或蚀刻剂(因此,它可以是DPNTM印刷辅助纳米机械加工)。
特别是在一个实施例中,Kley的美国专利US,No.6353219中公开的设备适于以直接写入纳米构图印刷或淀积模式操作,特别是,正如在此公开的,通过添加材料使用涂覆有一种或多种墨汁的扫描探针显微镜尖端,来制造和修复光掩模。
IV.图案化合物和淀积材料
此外,淀积物是淀积纳米构图的一步或多步的结果(包括但不限于DipPenTM纳米构图印刷和淀积工艺,和相关的工艺),添加的工艺步骤可以在之后或之前进行。例如,可以是淀积在衬底顶部上的点、或线,或者由点和线组合形成的任何图案。也可以填充缺陷或设计凹槽。
出于本公开的目的,墨汁或图案化合物可以是在纳米级以控制方式释放的一种或多种化合物。墨汁可以是液体、气体、固体物质或其组合。它们可以是单相的或多相的,本质上同类的或不同类的,并且可以包括:溶剂、表面活性化合物或其他的辅助剂。
墨汁或图案化合物的实例包括:
(1)小有机分子,以及能够形成自聚集单层的特殊分子,包括:硫醇类、硅烷类和金属二磷酸盐衍生物;
(2)有机金属和无机化合物,包括:金属盐,包含金属镍、铬、铂、钯、铜、金、银、铁、钼和钨的金属的金属复合物(complex);
(3)还原剂或氧化剂,包括:氢硼化物(例如,NaBH4);铝和锡化合物,例如,四氢铝酸锂(氢化锂铝)、乙二胺,甲硼烷复合物(二甲基胺:甲硼烷)、乙二醇,PEG;以及其他的化合物和过氧化物;
(4)纳米微粒的溶液和悬浮液,包括:金属簇(cluster)和聚合物微粒,例如,金和银的胶体,胶乳球及其衍生物,和MoSi2粉;
(5)聚合物,或聚合物的前体,例如,甲醛和间苯二酚;
(6)有益于微电子处理的材料,包括:光刻胶,剥离剂和蚀刻剂;
(7)无电淀积和电镀溶液;
(8)催化剂;
(9)光学图案墨汁的配方,包括银盐感光乳胶(emulsion);
(10)光敏染料和可塑剂(乙烷基咔唑(ethylcarbazole))
以及溶液,分散体及其混合物。
具体地说,下列化学化合物及其混合物可以用作墨汁或图案化合物:
(a)甲酸铜或乙酸铜,硫酸银,硝酸银,四氟硼酸银,氯化钯,乙酸钯,和乙酰丙酮化物,六氯化铂(IV)酸,柠檬酸胺铁;
(b)锌、镍、镉、钛、钴,铅铁,和锡的羧酸盐,(假)-卤化物,硫酸盐和硝酸盐;
(c)羰基金属复合物,包括六羰基铬;
(d)胺碱(amine bases),包括:环己胺,3-甲基吡啶,(异)喹啉,环戊胺,二甲基亚砜,二甲基甲酰胺,甲酰胺,亚乙基二酰胺;
(e)聚合物,包括聚(环氧乙烷),聚(甲基丙烯酸酯),聚(乙烯咔唑),聚(丙烯酰胺)。
还包括它们的化合物及混合物,这些物质在处理后分别产生在光刻波长处光学不透明材料和光学透明材料,包括以下材料:
a-金属,例如,铬、钯、铂,铁,钼、镍、银、和钨;或
b-主要由无水硅酸,硅酸盐,氧化铝,硅化钼,以及溶胶-凝胶前体,原硅酸盐/硼酸盐/铝酸盐,和/或金属氧化物,例如,In2O3,和SnO2,MoSi(例如旋涂玻璃,ITO)构成的材料。
淀积步骤包括但不限于:例如用一个或多个探针通过DPNTM印刷或淀积,淀积一种或多种墨汁。可行的墨汁包括但不限于:可形成最终图案整体的前体和化合物、催化剂、溶剂、小分子或聚合载体剂、基质复合(host matrix)材料、或牺牲还原剂,和上述材料的混合物。他们可被淀积成薄膜,或厚多层膜(通过多个淀积步骤形成),从一层到另一层的化学组合物可以变化也可以不变化。
图26和图27中显示出其他的实施例。图26显示出可以相互反应的多种墨汁的使用。图27显示出外部照射的使用,以促使墨汁的局部固化。在附图和实例中描述了其他的实施例。
V.预淀积和后淀积步骤
原则上,本发明中考虑的淀积和蚀刻方法也主要包括:
(a)零或更多的预淀积步骤,包括零或更多的缺陷特性化步骤;
(b)旨在改善墨汁涂敷的零或更多的探针/衬底清洁或化学修改步骤;
(c)一个或更多的淀积步骤,其可以采用蘸水笔纳米构图技术;
(d)零或更多的后淀积步骤,包括零或更多的清洁步骤;
(e)零或更多的检测步骤;
预淀积衬底表面处理步骤,包括但不限于(没有按实际的顺序):
(a)等离子体,UV,或臭氧清洁,清洗,干燥,吹干;
(b)化学清洁,例如,皮拉里哈(piranha)清洁,碱蚀刻(例如,过氧化氢和氢氧化铵);
(c)衬底的化学或物理修改以促进墨汁输送,或附着,或共价(covalent)修改(例如,进行碱处理以在氧化硅上产生带电荷的表面,用氨-硅烷化剂或氢硫基-硅烷化剂使其硅烷化,携带化学反应功能团的聚合物);
(d)防止以后工艺步骤(例如涂抗蚀剂或薄膜)的侧面(side)效应的保护;
(e)通过从光学显微镜(例如AIMS)、电子显微镜(例如CD SEM)或成象(例如,EDS,AES,XPS)、离子成象(例如TOF SSIMS)或者扫描探针成像(例如,AFM,AC AFM,NSOM,EFM…)获得的技术进行衬底的检测;
(f)所有的步骤在以下的后-淀积部分及其组合中详细说明。
探针清洁和修改步骤,包括但不限于(没有按实际的顺序):
(a)等离子体清洁,清洗,干燥,吹干;
(b)化学清洁,例如,皮拉里哈(piranha)清洁,碱蚀刻(例如,过氧化氢和氢氧化铵),或氢氧化钠;
(c)探针的化学或物理修改以促进或增强墨汁涂覆、附着、或输送(例如,碱处理以产生氮化硅尖端的带电荷表面,用氨-硅烷化剂或氢硫基-硅烷化剂使其硅烷化,用小分子或聚合剂如聚(乙烯乙二醇)进行非共价修改,这些修改方法包括通过增加孔隙率(porosity)或提高墨汁传递可获得的表面区域,来增加尖端上墨汁负载的那些修改。
后-淀积步骤包括但不限于(没有按实际的顺序):
(a)加热衬底,例如,用加热灯、热气吹风机、或加热板、或加热炉;
(b)用电磁射线(特别是红外光,可见光,和紫外光)或者用带电微粒(例如,从枪或等离子体源引出的电子、离子)照射衬底。该工艺可以在空气、真空、或在溶液中进行,可以采用或不采用光敏剂;
(c)图案化衬底浸泡在一种或多种溶液中;
(d)电化学还原;
(e)化学还原;
(f)图案化衬底曝露在蒸汽或气体中;
(g)图案化衬底的声处理,以及所有纳米级、上述步骤的局部等效,如果可行,能源和/或材料的组合通过一个或多个探针来提供,所述探针可以与DPN探针相同,也可以与DPN探针不同;它们包括但不限于:
(h)淀积的材料或衬底周围的局部加热;
(i)淀积的材料或衬底周围的局部照射,以及它们的全部组合。
可以多次重复全部或某些步骤顺序。
下面进一步描述一系列添加实施例,包括某些加工实例。
部分1:直接淀积
本发明的第一实施例中,通过例如Dip PenTM纳米级构图印刷或淀积,在区域中淀积一种或多种墨汁。可以连续淀积具有不同化学组分的墨汁。例如,首先可以淀积打底(priming)墨汁,以提供适当的表面化学特性。然后,通过淀积步骤的多次重复,逐层淀积第二墨汁一次或多次,直到达到足够的厚度为止。
图6显示出形成纳米级厚层中金属前体墨汁的逐步淀积。在后面的层应用之前,可以通过化学还原剂或照射处理或不处理每一层。淀积适当数量的层,以形成金属、金属氧化物或其他不透明材料的光学不透明结构。
图26表示另一个实施例。
例1
聚合物或聚合物前体被淀积,并被空气干燥或空气固化,为了获得光学适合(即不透明)的淀积物,可固化的聚合物/前体可以与胶体溶液混合,特别是与金属或金属氧化物的纳米微粒混合。市售的墨汁包含:水,甲基异丁基酮,或异丙醇基银和碳纳米微粒涂料,例如,由Ted Pella公司提供的这些商品。
例2
衬底上淀积底层,例如,ω-功能化自聚集单层(例如,石英上的三烷氧基-硅烷或三氯-硅烷,铸币(coinage)金属上的烷烃硫醇。按照随后淀积的墨汁的功能,选择ω-端功能基(例如,-SH,-COOH,-CHO…)。例如,被保护的配位体金属胶体(金,银)可以通过配位体与分层的端基之间的位置交换或者通过静电作用,来进行粘附。在另一个实例中,淀积具有与ω-端基相反电荷的(例如富-胺或富-羧酸)聚合电解质。然后,淀积带电荷的胶体微粒,以形成静电夹层,如Chen等人[K.M.Chen,X.Jing,L.C.Kimerlingand P.T.Hammond,“Selective Self Organization of Colloids on PatternedPolyelectrolyte Templates”.Langmuir,16,7825-7834(2000).]and by Wuelfing等人(Chem.Mater.2001,13,87-95)所描述的.
部分2:化学化合物或混合物的淀积和涂覆
在本发明的第二实施例中,在淀积之前或之后将一种或多种化合物或混合物施加到整个衬底上。在优选实施例中,通过例如DPNTM印刷或淀积来淀积一种或多种墨汁后,衬底被浸泡在一种或多种溶液中。该处理可以重复多次。例如,前体可以是(贵金属)催化剂,而溶液是无电淀积溶液,或者前体是金属盐,而溶液是还原剂。
例3:金属淀积和化学还原
在要用金属填充的区域内,通过DPNTM印刷或淀积,从水溶液淀积氯化钯(PdCl2)或乙酸钯。通过DPNTM印刷或淀积、或溢流(flooding)、或将衬底浸泡在含有还原剂溶液的槽中、淀积还原剂(例如,氯化锡(SnCl2),含水二甲基胺-硼烷,二甲基硫-硼烷,或氢硼化钠),使钯盐还原成金属态,pd0。当选择适当的金属盐作墨汁时,该工艺也可以用于淀积其他金属,例如,银、铜、铁、铂、金、和钴。
图10(加工实例),在图10所示的还原反应之前或之后,进行AFM成像。用DPNTM印刷方法,在氨基硅烷化玻璃(Schott Glass公司)上,淀积具有10%的聚氧乙烯(分子量MW10000)的氯化钯二钠(水中1mg/μL),随后用0.03M二甲基胺-硼烷复合物(DMAB)的水溶液,将其化学还原成钯金属。图案的原子力显微图像显示出(a)还原以前的钯盐图案,(b)通过DMAB还原后的金属线和点图案,和(c)表示点图案高度的行扫描。
例4:采用无电淀积的金属淀积
用DPN在要用金属填充的区域内,从水溶液中淀积四氯钯酸钠II(氯化钯,NaPdCl4)或乙酸钠。通过DPNTM印刷或其他淀积方法,或通过浸泡在溶液槽中,淀积还原剂(例如二氯化锡(SnCl2),二甲基胺-硼烷,或氢硼化钠),将钯还原成催化剂状态,Pd0。然后,将钯部件放到无电淀积槽中,包括以下实例:
(1)硫酸镍,次磷酸钠,乳酸,氢氧化钠,硫脲(镍淀积);
(2)硫酸镍,次磷酸钠和氯化钠,琥珀酸钠,盐酸(镍淀积);
(3)氯化钴,柠檬酸钠,氯化铵(钴淀积);
(4)硫酸铜,氢氧化钠,甲酸,EDTA,甲基二氯硅烷(铜淀积);
(5)氰化钾金,氰化钾和氢氧化钾,氢硼化钾(金淀积);
(6)氯化钯,盐酸,氢氧化氨和氯化氨,一元磷酸钠(钯淀积);
(7)氰化银和氰化钠,氰化钠和氢氧化钠,二甲基胺-硼烷(银淀积);
(8)四氯化锡(SnCl4),氧化铬(III)(Cr2O3)和NH4F2(铬淀积)。
再参见“electroless rhodium plating”[Kozlov等人,2002年的美国专利No.6455175],因此援引作参考。可以用含有胶体微粒的无电淀积溶液淀积合成膜。该工艺中也可以使用铂盐以形成铂金属结构,其催化金属钴淀积。也可以选择浸泡淀积,其将衬底或衬底上的牺牲层用作氧化的种源(species)。
例5
聚合物催化剂被淀积在衬底上并放在前体溶液(单体)中,在催化剂作用下气体或液体反应,形成表面接合聚合物刷(brush),然后,通过无电淀积使其热分解或金属化。通常,需要多种方法淀积聚合物,然后,将其热分解成抗化学腐蚀且不透明的富-碳剩余物。
部分3:淀积-光照射
在本发明的第三实施例中,以图案的形式将一种或多种墨汁施加到衬底上,并且通过外部加热、光照射、或声波激励(参见例如图27)的作用,将墨汁转换成所需的材料。
例6
氧化和还原化合物被混合在一起,被施加到尖端上,并通过DPNTM印刷或淀积被淀积在衬底上所选择的位置。然后,墨汁混合物被加热(通过加热整个衬底,或对局部探针感应加热)。具体地说,可以用金属盐和有机配位体混合(cocktail)。典型的墨汁组成包括:金属盐(例如,羧酸盐、硝酸盐、或卤化物)连同适当的有机刘易斯(Lewis)基或配位体(胺或磷化氢)。添加物(小分子,例如乙烯乙二醇;聚合物,例如聚氧乙烷、PMMA、聚乙烯咔唑等)可用来修改墨汁的溶解性、活化性、或流变性。在墨汁混合物的淀积之后,在周围或在惰性气体环境中(40-200℃)缓慢加热,促进盐的不均衡化,以形成金属沉淀物和可挥发的有机物。这种途径能够在具有极小有机污染的适当条件下淀积各种金属或金属氧化物,例如铜[参见Shama等人的美国专利No.5980998,因此通过参考援引其全部内容,特别对于淀积的材料]。如果在反应发生之前配位体就从图案化的衬底挥发,则会有潜在的缺陷出现。在那种情况下,在加热之前,在第二步骤中,图案化盐的衬底可以被曝露于配位体。
本方法使用的一个具体实例采用DPNTM印刷或淀积,以在氧化硅、玻璃、或氨基硅烷化玻璃上图案化在氯仿中溶解的乙酰丙酮钯。在图案化之后,甲酰胺滴液(1微升)被放到水平衬底上,并被加热到150℃达2分钟。所生成的金属图案对于溶剂漂洗稳定的溶剂(包括:水、乙醇和其他无极性有机物)是稳定的,而通过溶剂漂洗,去除还原之前的盐图案。
图11.(加工实例)图11显示出在使用甲酰胺处理和加热前后的AFM图像和图案高度扫描。通过DPNTM印刷在氧化硅衬底上淀积乙酰丙酮钯[Pd(acac),1μg/mL在CHCl3和DMSO中],随后,通过在图案化的表面上施加甲酰胺并加热(加热85℃-120℃)来进行还原。原子力显微图像显示(a)还原前的钯盐图案,(b)加热后对应的金属线图案,和(c)表示点图案高度的行扫描。
例7
六羧基铬被施加到用于DPNTM印刷或淀积的尖端上,并且在光掩模上被图案化。然后,掩模加热到高于其分解温度(>150℃),释放出一氧化碳气体,并淀积金属铬。该工艺也可以用六羧基钨或六羧基钼。类似的工艺使用热、火花等离子体或WF6或WCl6的的光学辅助分解,WF6或WCl6是可以购买的化学气相淀积(CVD)剂。
例8
用溶性金属形成的液态金属(例如,镓、铟、或汞)的合金,以对于Hg淀积例如铜、银、或金。通过添加铋、镉或锌也可以形成三元或四元合金。在室温附近可以是液体或浆料的合金通过适当的装置被施加到尖端上,并被淀积在要填充的区域中。然后,通过加热(例如,汞被挥发)或化学氧化来分解合金,留下具有更高熔点的金属或更简单的合金。
例9
通过DPNTM印刷或淀积来淀积热固性聚合物,并加热衬底直到固化为止。
例10
与例9相关,通过DPNTM淀积或印刷来淀积富-碳聚合物,或它的前体。然后煅烧聚合物,以获得石墨状材料。有用的聚合物实例包括聚丙烯腈(PAN)。优选不膨胀的聚合物。前体/聚合物可在溶剂中被溶解,被淀积到DPNTM淀积或印刷探针上,并被输送到要填充的开口。然后,衬底被加热直到化合物碳化为止。
图9显示出有机聚合溶液的淀积,其随后被煅烧成富-碳材料;金属有机物前体热分解成富-金属化合物也是可行的。
例11a
图12(加工例)。光敏墨汁混合物,例如,照相乳胶被涂到将要填充的开口,并且通过光照射衬底,以使其固化。实例包括:VanDyke工艺中采用的银盐乳胶(柠檬酸铁铵90g,酒石酸15g,硝酸银37.5g,和去离子水1000g),或者在被放到有机物质中时会变成光敏性的其他金属盐。通过以下步骤制备乳胶:(1)混合柠檬酸铁和酒石酸;(2)加少量的硝酸银并同时搅拌;(3)加额外的水;和(4)溶液老化2-3天。然后,该混合物被用作DPNTM印刷或淀积工艺中的墨汁,被干燥,然后在UV灯下被固化,以形成金属材料。图12a-c显示出本方法。图12d是在硝酸盐乳胶被用作墨汁时,在氨基硅烷化的玻璃上产生的图案的AFM图象。图12e和图12f是在照相墨汁混合物中的硝酸银被硫酸银代替时所产生的图案(线和方形)的图象。图12为(a)通过DPNTM印刷在氨基硅烷化的玻璃衬底上淀积银盐乳胶,银盐乳胶包含:氯化铁铵、酒石酸、硝酸银或硫酸银和水;然后(b)在UV灯下通过光致还原显影,以形成(c)金属纳米级结构。例如参见有300年历史的Van Dyke照相工艺。AFM图象显示出用硝酸银(d)和硫酸银(e,f)作为金属盐制造的图案。
例11b
光敏聚合物前体被涂到要填充的开口,并且用光照射衬底,以使其固化。实例包括:例如由Epotex销售的UV可固化环氧胶(glue)和UV交联抗蚀剂(例如由Shipley或Clariant销售)。
例12
在本发明的本实施例中,尖锐的光导向探针被用作墨汁淀积装置,或者,与墨汁淀积装置结合。一旦墨汁被淀积到表面上,则通过来自(附着的)光源的局部照射能改变墨汁的化学或物理状态,以获得所需的产物(参见图27和以下有关原位固化的添加说明)。
光导向探针可以是已经用不透明材料(例如,铝)随意涂覆过的拉伸光纤,或中空的微细导管,扫描近-场光学显微镜探针,或被放在激光束焦点中的金属或涂覆有金属的尖锐探针(无孔NSOM),它能够将探针与表面之间的电磁场高度局部放大。墨汁例如是UV固化的聚合物,或以UV固化聚合物为基础的混合物。
例13
墨汁混合物以纳米级图案的形式被涂到表面上。暴露在来自例如激光的适当功率和频率的照射,促使形成连续金属膜。Stellacci等人(Adv.Mater.2002,14,194)对这种墨汁混合物的实例进行了描述,因此通过参考援引其全部内容。这种金属墨汁组成包括:金属盐(例如,四氟硼酸盐),金属籽晶微粒,光敏剂,和作为牺牲还原剂的基质复合聚合物(例如,聚乙烯咔唑)。在淀积到表面上之后,这种金属墨汁组成通过激光高温分解被还原成固体金属部件。这种途径可以利用比较低的连续波激光曝光量(1mW)来淀积金属例如银。通过适当选择金属盐和聚合物基质,这种途径也可以用于图案化其他金属,例如,金和铜。通过向墨汁混合物中加入增塑剂,例如乙烯咔唑,可以改进工艺。
例14
纳米尺寸的金属纳米微粒的悬浮体以纳米级结构的形式或膜的形式被直接淀积在衬底上,然后被照射以产生连续金属结构。一个实例使用硫醇类保护的金纳米微粒,例如由Ali等人(Langmuir 2002,28,872-876)所描述的那些;或钯微粒,例如,由Hidber等人(Langmuir 1996,12,1375-1380)描述的那些,两个文献由此被结合引作参考。
例15
低熔化温度的热塑性聚合物被涂到加热的探针上,在加热和流动的同时被淀积。聚合物在冷衬底上的冷却获得最终的部件。聚合物通过热稳定填料来加载。
部分4:胶体去保护和熔化
例16
本发明的另一实施例中,包封微粒的溶液被淀积在要图案化的区域中,可以通过以下方式提供包封:(a)小有机配位体接合到微粒(例如,铜纳米微粒上的吡啶);(b)纳米微粒上的自聚合单层(例如,金纳米微粒上的链烷硫醇;参见Wuelfing等人Chemistry of Materials,13(1):87-95,2001);(c)由范德瓦尔斯(Van de Waals)力或静电力吸附的聚合物(例如,PEG)。通过加热使纳米微粒被解除保护并被熔化在一起,以形成不透明的金属结构。
由于烧结温度显著低于整体熔化温度,由于关于小直径原子团(clusters)观察到的开尔文(Kelvin)效应[“Sintering of alkanethiol-capped gold andplatinum nanoclusters”,J.E.Martin et al J Phys.Chem.B 107(2);430-434,2003],所以,该技术即使对于温度敏感的光掩模也是实用的。
这种图案化技术的微米级等同物能够被实现:例如,参见[Microstructuring by printing and laser curing of nanoparticle solutions”,Bieri等人,Applied Physic Letters 82(20);3529-3531,2003 and“laser writing inpolarized silver nanorod films”O.Wilson等人,Advanced Materials14(13-14):1000,2002年7月。
配位稳定的金属纳米微粒墨汁直接淀积可选择的办法是借助于具有相反电荷的金属离子或聚电解质,以逐层的方式淀积纳米微粒层(bed)。参见图7,其显示出通过淀积配位体稳定的金属或金属氧化物纳米微粒,接下来热分解引起配位体解除保护和微粒熔化,进行金属结构的纳米级淀积。通过与粘接层(具有相反电荷的金属离子或聚电解质)连续层叠,以受控、逐层的方式,随意淀积纳米微粒。
图8显示出(聚合物)前体与金属胶体的混合物的淀积,接下来通过UV固化。这种方法可以采用商用制备(银浆)。也可以采用空气固化或热固性聚合物,溶胶-凝胶,和氧化物纳米微粒。
此外,被含氮芳香族化合物保护的铜纳米微粒能够被淀积,然后,被加热到>300℃[2001年冬天]。
部分5:直接电镀淀积
在另一个实施例中,在用氧化还原(redox)化合物涂覆的淀积纳米构图探针与衬底之间施加偏置电压,衬底必须是导电的,或者例如通过预先应用导电膜(例如,铟锡氧化物ITO)使其导电。
例17
在电气化学偏压下,从相应的盐淀积金属。Li等人描述了通过DPN淀积金属纳米结构的方法,其中,金属盐例如HAuCl4被输送到衬底表面,同时通过纳米电气化学反应在衬底表面被还原[Li,2001]。参见图4,图4显示出在偏压控制下通过DPNTM印刷的金属淀积。在导电探针(例如,涂覆有金属的原子力显微镜(AFM)的尖端)与衬底(必须使其可以导电)之间施加偏置电压。探针已经被预先涂覆了有氧化还原作用的墨汁,例如,金属配位体复合物。理论上不希望受到限制,但人们相信在探针与衬底之间会自然形成的新月形物体在某些情况下以扫描显微镜探针方式可以用作纳米级、可动的电气化学单元[Bard 1999]。施加偏压的电源可以是电压源、电流源,并且可以作为探针速度和间隙电阻的函数而被调节。
可以采用类似的方法,以通过金属例如铜、金、和铂填充铬结构中的缺陷孔。多次通过缺陷区,以高度控制的方式将金属构建到所需的高度。由于缺陷位置周围材料的导电性,所以这种方法适用于修复铬结构中的孔。在这种情况下,可以用第二个最接近的探针提供偏置电压。
部分6:光学透明和不透明材料的淀积
在本发明的这一部分中,公开了例如石英或兰宝石的光学透明衬底的添加修复。目的是提高透明衬底的透射性并校正透明衬底的相移错误:(a)存在气孔;(b)存在碰伤,但已经被整个修复。
正如在不透明材料修复方法中,孔可以用该材料直接填充,或者用前体来填充,该前体然后被处理以获得所需的材料。
考虑作为填充物的材料应该具有光学透射性,并且在构图波长处的折射率和热膨胀系数要接近于衬底。优选将被淀积的材料与衬底要具有相同或基本相同的化学组分。
例18:旋涂玻璃
旋涂玻璃(SOG)是在烘烤后可形成玻璃衬底的液体配方。通用的配方包括:掺杂有砷、锑、硼或磷化合物的硅酸盐,磷硅酸盐,或硅氧烷,作为富-硅石材料或富-硅酸盐材料的前体。例如,由Honeywell Electronic材料提供的商用前体溶液。
例19:溶胶-凝胶
在另一个例子中,溶胶-凝胶前体被图案化并被转换成不透明的氧化物或金属。Su等人描述了通过DPNTM印刷或淀积,使用溶胶-凝胶金属醇盐前体墨汁淀积固态结构[Su等人,J.Am.Chem.Soc.,124(8).1560-1581,Feb.2002]。
软金属有机物混合结构在最后步骤中在有氧存在的状态下分解,产生相应的金属氧化物,参见图5,图5显示出通过DPNTM印刷-淀积溶胶-凝胶墨汁例如烷氧基复合物及其聚合物,来淀积金属氧化物(参见以上参考文件13的临时专利申请及以下要引用的它的对应申请:美国专利申请2003/0162004)。在图案化期间形成的金属有机物混合结构可以被直接采用或被热分解产生相应的氧化物。在还原环境中通过高温分解可获得金属/还原形式的图案。
通过用加热代替还原环境,类似的方法可用于淀积不透明金属结构。5-10nm高的膜层被写入并被热分解,以产生不透明结构。通过不使用烘烤或使用部分烘烤和直接使用将被淀积的金属有机聚合物,可以避免这种缺陷。
可以采用以下的参考文件:
о“Sol-gel science:the physics and chemistry of sol-gel processing”C.J.Brinker,G.W.Scherer,published by Harcourt in 1990。
о“Moving beyond molecules:Patterning solid-state features via dip-pennanolithography with sol-based Inks”,M.Su,X.G.Liu,S.Y..Li,V.Dravid,C.Mirkin,JACS 124(8)1560-1561,2002。
оMirkin,Chad A等人公开的美国专利申请No.2003/0162004 A1(“Patterning of solid state features by direct write nanolithographic printmg”)。
例20:通过溶胶-凝胶法制备的不透明结构
溶胶-凝胶前体可以与纳米级微粒例如碳黑或其他不透明剂混合,以使所生成的淀积物不透明。
以下的参考文件可被采用并且被结合在本文中引作参考:
-“Preparation of silica gel carbon black composite by the sol gel process inthe presence of polymer-grafted carbon black”,K.Fujiki.等人,J.MaterialScience,33(7);1871-1879,1998。
-“carbon black/alumina gel composite:Preparation by sol-gel process in thepresence of polymer-grafted carbon black and its electric properties”,N.Tsubokawa等人,Journal of polymer Science Part A-Polymer Chemistry37(18):3591-3597,1999。
提供了可以用于修复掩模,修复光掩模,制造掩模,制造光掩模的添加说明和加工实例。例如,在氧化硅衬底上制备的二元掩模。
图13
图13示出通过纳米淀积修复掩模中工序的进一步示意图;(1)例如利用光学显微镜检测掩模;如果发现有缺陷,(2)保护掩模的薄膜被去除;(3)清洗掩模,去除薄膜残留物和其他有机和/或无机污物;(4)掩模被放入修复装置中并被对准,从而使得先前确定的缺陷能被准确定位;(5)纳米构图探针指向第一缺陷;进行第一淀积;(6)按需要,对晶片进行外部工艺步骤,例如,加热、UV照射、曝露在化学蒸汽中等会导致膜层固化的工艺步骤。根据需要,为每一层和每个缺陷重复工艺;(7)如果判断为具有足够好的质量,例如产品质量,则掩模可以被任意地清洗,对没有修复的缺陷进行检测(例如,在步骤1中),并被重新引入到制造中。
图14A和14B
图14A和14B显示出分层堆叠的形成,其中,产生的高度和吸光率与光掩模是一致的。示意性示出[A]当在单个淀积步骤中一层膜不适宜时,堆叠多层膜以形成足够高度图案的方法;和[B]增强淀积图案以满足适于修复的边缘位置、线边缘粗糙度、侧壁角度和/或厚度目标(和这样的光学特性)的方法。[A](a)在透明缺陷中通过直接写入淀积纳米构图来淀积第一墨汁的第一层。最左边的物体代表先前存在的掩模图案。(b)例如通过化学反应,加热或暴露到UV光下来固化墨汁;从而使得(c)相同或不同墨汁的另一层可以淀积在第一层上。(d)该工艺可以重复一次或多次,直到达到适当的高度为止。[B](e)通过局部施加大接触力,淀积化学蚀刻剂,或者通过二者的结合(以类似于化学机械抛光的方式),提高由淀积纳米构图(可以包括一层膜或多层膜)所形成的图案的几何特性。步骤(f)证明沿着边缘重叠以获得高侧壁角度的可能结果,同时(g)显示出使图案高度相等以及降低图案的粗糙度。
纳米构图可用于在现有的淀积物顶上淀积覆盖(capping)层,覆盖层的功能是例如在随后的掩模清洗步骤中保护淀积物并促进所述淀积物粘附到衬底上。例如,覆盖层可以是例如在金属淀积物上淀积的溶胶-凝胶前体。
部分7:先进的光掩模修复(PSM)和下一代光刻掩模
提供有关掩模的添加说明。用于COG修复的许多方法也适用于先进的光掩模(APSM,AAPSM)和NGL掩模技术(EUV、EPL等),而且修复这些类型的掩模存在很大的经济刺激。
相移掩模的优点是消除了图案边缘的相消干扰,提高了分辨率。交替孔径PSM,也叫做强相移器,是通过在石英掩模的交替透明区中蚀刻180°相移窗口而制成。在这个区域中的修复技术集中在修复衬底缺陷,例如,去除石英碰伤以及利用石英类材料填充石英凹坑[Alternating phase shift mask defectprintability for 130nm and 100nm KrF lithography”,Kim等人,internationalSEMATECH,2000年5月19日]。这可以通过溶胶-凝胶淀积方法来获得。
衰减PSM(弱相移器)取决于部分透射的相移材料(例如,硅化钼)的淀积。从光掩模中的透明开口衍射的光将与从相移区泄漏出来的光发生相消干扰,提高了对比度。相移层的添加修复取决于具有相同的全部光特性(透明度,折射率)的膜层淀积,这可以通过精确控制补钉的化学特性和厚度来实现。在“Electrical characterization of polymethylsiloxane/MoSi2-derivedcomposite ceramic”,J.Cordelair,P.Greil,J.Am.Cer.Soc.84(10):2256-2259,2001中描述了在透明的溶胶-凝胶类无机粘接剂中嵌入的纳米微粒的淀积。
下一代光刻(NGL)正被研发,以在2006-2013年时间范围代替DUV/VUV光刻,临界尺寸×(CD)低于70nm。主要的竞争者是远紫外线(EUV,14.6nm)。其他的技术正出于考虑之中,包括电子投射光刻(EPL)(包括SCAPEL),和X-射线光刻(1nm)。此外,纳米压印构图(NIL)、冲压技术在小批应用中也能找到适当的市场。在这种方法中,掩模被用作模具(也称为模具),以在结构中压印或冲压。例如,参见Chou的美国专利US,No.5772905和No.6309580。纳米压印掩模的修复和制造是本发明的一部分。
当前正在开发的EUV掩模包括多个衍射反射器,所述衍射反射器包含多达40层的交替层(例如,Mo/Si层),涂覆有缓冲层(通常是氧化硅、氧氮化硅(100nm),有时有C(75nm)膜)和吸收器(absorber)图案(通常是Cr或TaN,30-50nm厚)[Hector等人,J.Vac.Sci.Tech.B,19(6):2612-2616,以及J.R.Wasson等人,J.Vac.Sci.Tech.B,19(6):2635-Nov-Dec 2001]。由于吸收器的特性和EUV镜上保护缓冲层的存在,所以,为传统光掩模提出的纳米级淀积修复技术(例如,金属淀积)能够转移到EUV掩模。而且,注意在EUV波长处大多数材料强烈吸收,便于选择修复补钉的化学组分。
X-射线光刻和EPL掩模通常是支撑吸收器图案(例如,Au)的薄膜(例如,Si)或模板。在前一种情况下,可以采用上述的金属淀积方法。
在纳米压印构图方法(NIL)中,纳米级模具以华夫熨烫(Waffle-iron)方式挤压软衬底[“Imprint of sub-25nm vias and trenches in polymers”,S.Y.Chou,P.R.Krauss,P.J.Renstorm,Appl,.Phys.Letter 67(21):31114-3116,1995;“Multilevel nanoimprint lithography with submicron alignment over 4 in.Siwafer”,W.Zhang and S.Y.Chou,Appl.Phys.Letter 79(6):845]。可以从Nanonex,EV Group,Obduat,and Molecular Imprints公司获得NIL设备。后面的公司使Step&FlashTM压印构图(S-FILTM)商用化,其中透明模板被挤压而与涂覆有可固化的光聚合物的衬底接触,并被UV光照射。然后去除预先涂覆了释放层的模板,产生光聚合物印痕。另外的蚀刻步骤将图案转移到下面的衬底上,对其他的晶片重复该工艺。模板/模具典型由蚀刻部件为100-250nm深的石英(S-FIL)、硅上二氧化硅制成,并且典型通过电子束光刻(PSM掩模技术)接下来进行反应离子蚀刻(RIE)来制造。本领域的技术人员将会认识到通过几种不同的方法(包括微接触压印)也能使用同样的掩模。对于本发明,这些模具和模板是掩模,而且可以通过在此描述的方法制造和修复。
由于NIL掩模/模具通常用与光掩模基底(blank)相同的材料制成,所以,可以直接应用上述的光掩模衬底修复方法(特别是溶胶-凝胶)。
掩模部件尺寸的逐步缩减和苛刻的临界尺寸控制需求,使得对于先进的掩模技术而言,缺陷检测和修复非常严格。因此,对于用于新兴构图的掩模制造和修复,需要高精度的工具,其能进行纳米级XY控制并且能够以高Z分辨率处理多层膜。
与光掩模或者EUV掩模(掩模与晶片部件尺寸之比是4∶1或5∶1)相对比,NIL掩模的掩模与晶片部件尺寸之比是1∶1。掩模部件尺寸已经超出传统修复工具的能力。因此,直接写入纳米构图对于修复NIL模具具有极大的吸引力。
部分8:提高从尖端淀积墨汁的速度和尖端墨汁容量的方法
例21:使用涂覆有聚合物的“DPN压印尖端”增强的淀积
通过淀积纳米构图来修复光掩模需要淀积大量的材料(大约千分之一立方微米),相当于最短时间内AFM悬臂式氮化硅尖端上存在的量。因此,有利于通过以下方式改进DPN探针:(1)当墨汁被运送到尖端上时,使更多的墨汁保留在尖端上;(2)当采用尖端时,更多的墨汁可被淀积。
一种改进包括使用半多孔和/或凝胶状层涂覆尖端,例如,硅氧烷聚合物,或共聚物,例如,聚二甲基硅氧烷(PDMS)或它们的共聚物。从理论上不希望受到限制,但人们相信(1)聚合物形成存储墨汁的海棉状涂层;和(2)通过聚合物涂层增加尖端与样品之间的接触面积,其从而增加了墨汁淀积的总速度。
以下的加工实例中,采用以下配方制备溶胶-凝胶墨汁:40μL的MEOS(甲氧基乙氧基正硅酸酯)和10μL的10%聚丙二醇与环氧乙烷的加聚物(由BASF,Ludwigshafen,Germany商用化的环氧乙烷和环氧丙烷的嵌段共聚物)的水溶液。在淀积溶胶-凝胶结构后,他们在淀积后在120℃被固化6分钟。在固化之后,该纳米结构可抵抗适当的声处理,皮拉里哈(浓缩硫酸和过氧化氢的3∶1混合物)和RCA2处理(水、过氧化氢及氢氧化铵的5∶1∶1混合物)。
为了在部件中淀积金属墨汁,可以采用以下工艺:在乙烯乙二醇中制备四氯钯酸钠II的饱和溶液(80%的水)。在淀积之后,通过将金属盐曝露在DMAB蒸汽中15-30秒,然后将衬底加热到120℃-160℃温度10分钟,使金属盐还原成金属。在有乙醇存在时还原金属盐的方法以多个工艺为基础。
以下的工艺说明涂覆有PDMS尖端的制造(参见图24):
оSi或Si/SiOx衬底(2×2cm2)和Si3N4尖端被浸泡到H2O2∶NH4OH∶为=1∶1∶5(v∶v∶v∶)的沸腾混合物中大约1小时,然后使用双去离子水(例如,由Milli-Q equipmem提供)漂洗,并在纯氮气(N2)流中干燥。
о硅氧烷弹性体和硅氧烷弹性体固化剂(Sylgard 184硅树脂弹性体用具,Dow Coming公司,中部,MI)以10∶1的比例(w/w)混合在一起。所生成的弹性体基本上是纯聚二甲基硅氧烷。
о混合物液滴被放到清洁的Si或Si/SiOx衬底上,并被放到原子力显微镜的样品台上。清洁Si3N4微型悬臂(可从NanoInk公司获得)被安装到尖端夹具中。Si3N4尖端被放置于与硅树脂混合物接触,然后返回。该工艺被再次重复。
о被覆盖的尖端被放到炉中12小时,在60℃-70℃,以完全固化聚合物涂层。
图25中显示出用该尖端收尾的悬臂的光学图象。
о在构图之前,制成的尖端通过浸到适当的溶液中并在空气中干燥而蘸上墨汁;
о标准的Si3N4尖端可以与涂覆有聚合物的尖端交换,不需要重新调节试验参数。
涂覆尖端的优点包括:
-涂覆工艺比较且通常简单,价格低,且具有高成功率(几乎是100%);
-使用涂覆尖端淀积组合了DPN和微米接触印刷(μCP)的优点,但是有比μCP更高的分辨率(亚100nm);
-这种涂覆尖端可以图案化全液体墨汁或者仍然溶解在溶剂中的墨汁;
-涂覆尖端仍然可以用于AFM成像;
-与在“Scanning probe with elastomeric(PDMS)tip for scanning probemicrocontact printing(SP-μCP),X.Wang,k.Ryu,D.Bullen,J.Zou,C.Liu,(Langmuir,2003)中描述的方法相反,不需要长的尖端制造工艺。
其他的聚合物和非聚合化合物也可以用作尖端涂层,并且包括:(a)烷基-芳香基-硅氧烷型聚合物;和(b)氟化聚合物。总之,可以采用适合于微米接触印刷[Wilbur等人,Advanced materials 6(7-8):600-604,1994]和类似的软构图的聚合物。
采用PDMS尖端(聚二甲基硅氧烷)的加工实例:
在图24中显示了该工艺。一块Si或Si/SiOx衬底(2×2cm2)和Si3N4尖端被浸泡到沸腾混合物(H2O2∶NH4OH∶H2O=1∶1∶5(V:V∶V)中大约1小时,然后用Milli-Q水(H2O)漂洗,并用纯氮气(N2)干燥。
混合物1:以10∶1的比例(w/w)完全混合的硅氧烷弹性体和硅氧烷弹性体固化剂(Sylgard 184硅树脂弹性体用具,Dow coming公司,中部,MI,48686-0994)。将混合液1的液滴放到清洁的Si或Si/SiOx衬底上,并放到AFM的样品台上。将清洁的Si3N4尖端安装到尖端夹具中。移动Si3N4尖端与混合液1接触,然后移回尖端,再次重复该工艺。取出尖端,并将尖端放到炉中在60℃-70℃达12小时。取出尖端,并制造DPN模板尖端(参见光学图像,图25)。
使用DPN模板尖端进行的光掩模试验的试验条件与使用Si3N4尖端进行的光掩模试验的试验条件相同。只是将Si3N4尖端换成DPN模板尖端。
除PDMS之外,也可以用疏水聚合物,弹性聚合物,和热固性系统,包括:聚硅氧烷类聚合物,和烷基-芳香基-硅氧烷类聚合物和氟化聚合物。总之,可以采用适合于微米接触印刷和软性构图的聚合物。
以下的附图提供了本发明的添加加工实例:
图15
图15(加工实例)显示出淀积结构的放大图。溶胶-凝胶结构被写入二元掩模(可以从供应商处获得测试掩模)中的一个最小部件中。掩模中的部件(5个孔)为1μm×2μm。而且,纳米结构也可在更小的结构中产生。
图16
图16(加工实例)显示出在测试掩模上用于溶胶-凝胶结构淀积的目标部件。孔的尺寸为长(2μm),宽(1μm)和高(75nm)。
图17
在图17(加工实例)中,点被产生,证明了对部件的高度和对准的控制。从顶部部件开始的尺寸是:
  高(nm)   宽(nm)   时间(分钟)
  17   128   3
  17.5   150   3.5
  18.5   163   4
图18
图18(加工实例)中,通过保持涂覆有溶胶-凝胶的尖端15分钟,在测试掩模中产生45.3nm高的高点。这证明了能够产生非常高的纳米结构而不会失去对长度和宽度尺寸(X和Y)的控制。
该加工实例对高度没有特别的限制。高度可以是例如约5nm-约200nm,并且更好是约10nm-约200nm,并且更好是约10nm-约100nm,并且最好是约25nm-约75nm。该高度为至少30nm、至少45nm、至少55nm、至少100nm、或至少150nm。对层数没有特别的限制,但是可以例如是2-20层,或者更好是3-15层。也可以是单层形成一体成形结构。
图19
在图19(加工实例)中,三根线被产生,包括基本上精确地沿缺陷边缘的一根线。从左边开始线的尺寸是:
  高(nm)   宽(nm)   时间(分钟)
  15   162   6
  10   150   5
  5   138   4
图20
图20(加工实例)显示出用于金属盐和溶胶-凝胶结构淀积的FIBics结构上的目标孔。该孔为约200nm宽,以及约100nm深。
图21
图21(加工实例)显示出由FIB制成的部件中的孔的填充。淀积部件的平均高度是46nm。在这次实验中采用氮化硅尖端。停留时间是14分钟。淀积结构在120℃被固化6分钟。
图22
图22(加工实例)显示出在FIB结构中填充的金属盐。在顶部显示出另一个空孔。在底部显示出用金属墨汁填充的孔。使用PDMS尖端来进行淀积。在全部还原步骤(DMAB,加热)后且在适度声处理后记录下图象,填充的纳米结构的高度超过100nm。
图23
图23(加工实例)显示出通过逐层层叠构建金属结构。首先。使用PDMS涂覆的尖端淀积在80%的乙烯/20%的水中制备的氯化钯饱和溶液。样品被从仪器中取出,曝露在DMAB蒸汽中15-30秒,并被加热到120℃-160℃。样品被再放到仪器中,并在此重复该工艺。每一层大约为2.2nm高;由两层构成的叠层大约4.6nm高。
图24
图24提供表示PDMS涂覆的DPN压印尖端的制造示意图。
图25
图25(加工实例)提供了PDMS涂覆的DPN压印尖端的光学显微镜图象。
就加工例而言,除非有其他的规定,所有的化学材料都来自于Aldrich(St,Louis,MO)。
本发明的添加说明如下:
在专利申请公开文献中,光掩模修复的新例包括以下可以由本行业技术人员用于实践本发明的参考文件:
(a)Haight等人的2003/0127441(2003年7月10日公开);
(b)Border等人的2003/0087200(2003年5月8日公开);
(c)Kanamitsu等人的2002/0122992(2002年9月5日公开);
(d)Kyushuo等人的2002/0009843(2002年1月24日公开)。
公布的美国专利包括:Grenon等人的US.6165649(2000年12月26日)和Lee的US.6139993(2000年10月31日)。
在此采用的掩模包括:光掩模,其被调整尺寸,以露出整个半导体晶片,或包含整个集成电路的衬底;以及掩模,例如中间掩模,其被调整尺寸,以仅露出晶片或衬底上的一个区域,例如单个芯片(chip)或管芯(die)。中间掩模被采用,以通过一个步骤或重复曝光方法,露出晶片或衬底上的多个芯片或管芯。而且,光掩模不限于用于光学曝光照射的掩模,还包括适用于UV、EUV、X-射线和电子束曝光的掩模。
本发明提供纳米级功能部件(包括:淀积物,被填充的孔,和被蚀刻的孔),以及启动方法和设备。要制造的具体部件的实例包括:
(a)透射光掩模和反射光掩模中的缺陷修复补钉,例如用于远紫外线(EUV)光刻的光掩模。这包括不透明或透明材料的淀积,和他们的蚀刻。例如,这包括:增大或减小部分透明材料的厚度,例如在衰减PSM中的MoSi2的;或者增大或减小透明材料区的厚度或在强PSM中的窗口,以调节照射的相移尽可能地接近180度。光掩模也可以通过这种方法来制造,例如,通过在透明的掩模衬底上淀积不透明或部分透射的图案,或者通过建立或去除强PSM中的相移窗口中的材料,从而由于PSM区的厚度不同,而能获得180度的相位差。
(b)微电子电路中的路径,线及其缺陷,应用在高值芯片图案的修复或制造中;例如,这包括在半导体器件或其他固体器件中的金属、或金属硅化物的互连金属化中,将金属淀积到不需要的开口中,以修复金属化以及去除不需要的淀积物,例如,金属线之间不需要的短路。或者,采用形成绝缘层的墨汁,例如,氧化硅、氮化硅、或氧氮化硅和/或氧化铝,选择地淀积非常薄的栅绝缘层,例如,其厚度低于10nm的栅绝缘层。或者,采用形成导电栅材料的墨汁,例如,金属或硅化物选择淀积超窄栅电极,例如,MESFET或MOSFET栅电极,其宽度小于10nm。
(c)微电机系统(MEMS)中填充的缺陷,应用在样品的修复、改进和测试中。例如,MEMS中的悬臂和薄膜可以这种方式来修复或制造。
光学特性对于光掩模的修复非常重要。为了修复透明缺陷,该修复在光刻期间采用的波长处不透明或吸收。为了修复不透明缺陷,采用光刻波长处良好的透射性。要求修复的区域引入最小的相位错误。最好是修复的区域在检测过程中不表现为缺陷(例如,观察时没有散射;在检测波长处该修复应该不透明)。
此外,在掩模的使用期限内,掩模清洁步骤不损坏淀积物。淀积物应附着到衬底上,并且不会被清洗步骤去除,以及它应该能够经受通过皮拉里哈或浓氢氧化钠溶液去除微量有机物。总之,在光刻过程中(例如,通过高密度UV照射)修复不会受到损坏,或者,至少应该维持期望掩模寿命的有效部分。
关于计量制,应以高精度和高重复性将图案放置在缺陷位置中;应以高分辨率控制图案的横向尺寸和高度;当在连续的步骤/层膜中淀积图案时,应保持对位置和尺寸的控制;图案的侧壁角应接近90度,并且线边缘粗糙度要小。可接受的计量制会随着光刻和技术重点(node)而变化。
通过采用溶胶-凝胶墨汁或金属墨汁使用多羟基化合物(polyol)工艺来进行光掩模修复。除了玻璃上铬(COG)掩模之外,可以采用先进的COG衍生技术(PSM,OPC)和其他技术(EUV,X-射线掩模)。MoSi2,PSM,AAPSM,EUV和EPL都包括在本发明的范围内。修复这些类型的掩模在经济上有更大的刺激。
关于先进的光掩模修复,可以采用以下的参考文件:“Issues for advancedreticle fabrication:(You want reticle when?)”,J.G.Maltabes,Future Fab,Vol.11,J 2001年6月29日]”。
关于纳米压印构图掩模修复:在纳米压印构图(NIL)中,纳米级模具被以华夫熨烫(Waffle-iron)方式挤压软衬底[“Imprint of sub-25nm vias andtrenches in polymers”,S.Y.Chou,P.R.Krauss,P.J.Renstorm,Appl.Phys.Letters67(21):3114-3116,1995;“Multilevel nanoimprint lithography with submicronalignment over 4 in.Si wafer”,W.Zhang and S.Y.Chou,Appl.Phys.Letter79(6):845]。可以从Nanonex、EV Group、Obduat和Molecular Imprints公司获得NIL设备。后面的公司使Step&FlashTM Imprint Lithography(S-FILTM)商用化,其中透明模板与涂覆有可固化光聚合物的衬底挤压接触,并被UV光照射。然后,去除预先涂覆了释放层的模板,产生光聚合物印痕。另外的蚀刻步骤将图案转移到位于下面的衬底上,对另一个晶片重复该工艺。模板/模具由石英(S-FIL)、硅上二氧化硅制成,且蚀刻的部件为100-250nm深,并且典型通过电子束光刻(PSM掩模技术)接下来进行反应离子蚀刻(RIE)来制备。由于NIL是1∶1的复制技术,所以,掩模部件尺寸超出传统修复工具的能力。所以,直接写入构图对于NIL模具的修复具有极大的吸引力。本行业技术人员会认识到利用类似掩模的技术存在各种变化。这包括微接触印刷模具。
对于在溶胶-凝胶中使用碳黑的实施例,可以采用以下的参考文献:
“Preparation of silicon gel carbon black composite by sol gel process in thepresence of polymer-grafted carbon black”,k.Fujik et al.,j.Material Science,33(7):1871-1879,1998。
“Carbon black/alumina gel composite:Preparation by sol gel process in thepresence of polymer-grafted carbon black and its electric properties”,N.Tsubokawa et al.,Journal of polymer Science Part A-polymer Chemistry37(18):3591-3597,1999。
对于MoSi2衰减相移光掩模修复中的实施例:
“The deposition of MoSi2 nanoparticle embedded in transparent inorganicbinder has been described in“Electrical characterization ofpolymethylsiloxane/MoSi2-derived composite ceramic”,J.Cordelair,P.Greil,J.Am.Cer.Soc.84(10):2256-2259,200l”。
对于近场光掩模修复的实施例:
“Near-field photomask repair with a femtosecond laser”,Lieberman et al.,Journal of Microscopy Vol.194,Pt2/3,1999年5月/6月,537-541页。
对于不透明缺陷的化学-机械蚀刻的实施例,可以采用以下的参考文献:
现有技术中的实例包括来自中空吸液管的化学蚀刻剂的淀积。参见例如“Fountain pen nanochemistry:Atomic Force control of chrome etching”,A.Lewis等人,Applied Physics Letter 75(17),2689,1999年10月,及其中的参考文献,由此被结合引作参考。在Leiws的美国专利US.6600856和Leiws等人的美国专利US.6396966中可以找到制造所述纳米吸液管的方法。
用微吸液管局部淀积金属
同样,参见“Localized electrochemical deposition of metals usingmicropipettes”,A.D.Mueller等人,Thin Solid Film 336(2000),32-36。
美国专利申请2002/0122873(“Nanolithogrophy methods and productstherefore and pyoduced thereby”,Mirkin等人)描述了能够运送的小孔(aperture)探针尖端的制造和使用方法。
对于修复衬底中缺陷的方法:石英碰伤化学蚀刻:在本加工实例中,BOE(缓冲的氧化物蚀刻剂,公知的氟化铵和氢氧化铵混合剂)被涂到氮化硅尖端上。使所述的尖端与要修复的光掩模衬底区域接触。BOE蚀刻二氧化硅要比较蚀刻氮化硅快很多,选择性超过200∶1。重复该工艺直到石英碰伤被完全消除为止。可选地,能中和过量蚀刻剂的化合物可以被涂第二探针。随意清洗模板以消除残留物。
缺陷修复的质量要求
参见以下参考文献;“Near-field optical photomask repair with afemtosecond laser,Lieberman等人,Journal of Microscopy Vol.194,Pt 2/3,1999年5月/7月,537-541页。
可以用高分辨率修复方法。优选实施例中,可以采用以下的参数:边缘位置最好大于50nm,整个晶片的精度是2μm,扫描重复率(图案位置)是20nm,400nm孔径(分辨率)以及边缘位置等于CD的10%。
о来自检测工具的操作数据
о处理6英寸×6英寸的掩模板;>5英寸×5英寸的写入区;
о掩模晶片不要放到200℃以上的温度下
原位固化方法
在上述多个实施例中,后淀积步骤被执行以为淀积物提供持久的特性,在后淀积步骤中,一种或多种墨汁(通过照射、加热,与液体、气体或蒸汽等反应)从它们所淀积的化学或物理状态转换成其他状态。例如,可以还原、聚合、或烧结淀积物,以获得适当的光特性、化学抗蚀性和衬底附着性。
本发明的本实施例涉及“原位”固化方法,其中这种转换是局部发生的,和/或在纳米级光刻工艺流程中发生的。本实施例进一步涉及固化在衬底上淀积的墨汁的方法,但不是仍然存在尖端上的墨汁。例如,这种方法消除了从纳米光刻设备移动衬底(例如,掩模)以使其进行外部工艺步骤的需要。
当必须淀积一层以上以满足所需规格(厚度、透明度)时,在此公开的方法特别有用。以上公开了逐层构建的结构。
在本发明的第一实施例中,采用第一探针在有影响的区域(例如,缺陷)中淀积第一墨汁。然后,将第二探针引到第一淀积物附近并释放第二墨汁。该工艺可以扩展到第三探针或更多的探针。
在优选实施例中,墨汁被选择为能与另一种墨汁进行化学反应或者在存在另一种墨汁时能够发生化学反应(在后一种情况下,一种墨汁中的一种或多种化合物促进另一种墨汁的一种或多种化合物的化学反应)。例如,墨汁1和墨汁2分别包括金属盐和还原剂。混合的墨汁1和墨汁2导致金属图案的形成,同时使整个掩模的加热和曝光需要的还原剂蒸汽最少。参见图26。
另一个实施例中,以这样一种方式淀积墨汁,即在化学组分呈现梯度,且因此在产生的图案中呈现物理特性的梯度。优选建立垂直梯度。
优选用于淀积多种墨汁的探针属于同一个探针阵列,并且已经通过浸入含有所述墨汁的墨汁槽阵列中蘸取墨汁,如Cruchon-Dupeyrat等人在2003年11月12日提交的的美国专利临时申请流水号No.60/425252中公开的。
该工艺可以重复一次或多次,也可以与逐层淀积结合使用。
在本发明第四实施例中,用第一探针淀积能改性的材料(例如,光敏材料,或热敏材料)。第二探针用于用电磁辐射照射所述的淀积物,或者,局部加热所述的淀积物。例如,第二探针可以是能释放光的近-场扫描光学显微镜(NSOM)探针,和能局部加热衬底的扫描热显微镜尖端。
在第五实施例中,探针用于在有影响的区域中淀积墨汁。然后,淀积物被送到转换装置中,同时淀积探针离开衬底以进行图案化,或以其他方式被保护,从而防止在探针上的前体墨汁自己固化。例如,可以用激光束照射淀积物和它附近的区域,对它进行热固化或光固化(图27)。通过将探针从照射位置移开,可以将探针从激光辐射屏蔽开。
在其他实施例中,光入射到(透明)衬底中,以这种方式使得在淀积物附近光于内部被全反射,引发例如它的光致还原或光聚合。探针可以从衬底表面返回几微米,以使它离开损耗(evanescent)波。
在第三实施例中,淀积装置是可以释放墨汁的中空探针,例如纳米吸液管。第二化合物被提供在衬底上或被输送到附近(例如,以气态形式)。所述第一墨汁暴露于所述第二化合物导致衬底上的第一和/或第二化合物的(化学)转换,但在纳米级吸液管中不发生转换。
第四实施例涉及在衬底被加热到淀积的墨汁发生转换的温度同时,淀积探针在热度上保持低温。
优选实施例
总之,本发明提供了一系列优选实施例,包括以下实施例:
实施例1中,物件(article)包括至少一个已填充的纳米级开口。
实施例2中,实施例1的物件中的纳米级开口在填充前是缺陷。实施例3中,要求1的物件中的纳米级开口在填充前是光掩模中的缺陷。
实施例4中,为包括填充一个或多个纳米级开口的方法。实施例5中,实施例4的方法中通过纳米光刻或纳米级制造方法进行填充;实施例6中,实施例5的方法中纳米构图方法包括淀积纳米构图方法;实施例7中,实施例5的方法中纳米构图方法包括直接写入纳米构图方法。实施例8中,实施例5的方法中纳米构图方法包括:纳米移植(nanograft)、月牙形物体纳米移植、拾取-和-放置纳米构图,或纳米笔读出器写入器;实施例9中,实施例6-8中任何一个的方法中,纳米构图方法包括使用包含金属的淀积材料。实施例10中,实施例6-8中任何一个的方法中,纳米构图方法包括使用包含要铬、钴、镍、钨、钼或银的淀积材料。实施例11中,实施例6-8中任何一个的方法中,纳米构图方法包括使用金属氧化物或包含金属微粒的溶液的淀积材料。实施例12中,实施例6-8中任何一个的方法中,纳米构图方法包括使用不透过电磁射线的淀积材料。实施例13中,实施例4-12中任何一个的方法中,该方法包括纳米构图印刷,在该纳米构图印刷中包括使用是光掩模的衬底。
实施例14中,光掩模修复方法包括通过使用扫描探针显微镜尖端直接写入纳米构图,将金属加到有缺陷的光掩模上。实施例15中,按实施例14的方法中添加的材料是光学透明材料。实施例16中,按实施例14的方法中添加的材料是不透明材料。实施例17中,光掩模的制造方法包括:通过使用扫描探针显微镜尖端直接写入纳米构图,将材料添加到衬底以形成光掩模。
实施例18中,纳米构图方法包括:(1)提供具有至少一个缺陷的光掩模衬底;(2)提供扫描探针显微镜尖端,其中尖端涂覆有图案化化合物;(3)使被涂覆的尖端与光掩模衬底接触,从而将化合物涂覆到衬底上,以修复至少一个缺陷。
实施例19中,纳米级构图方法包括:(1)提供具有至少一个缺陷的光掩模衬底;(2)提供扫描探针显微镜尖端,其中该尖端涂覆有图案化化合物;(3)用被涂覆的尖端将化合物施加到衬底,以修复至少一个缺陷。
实施例20中,纳米构图方法包括:(1)提供具有至少一个缺陷的光掩模衬底;(2)提供扫描探针显微镜尖端,其中该尖端涂覆有图案化化合物;(3)使被涂覆的尖端与光掩模衬底接触,从而将化合物加到衬底上。
实施例21中,纳米构图方法包括:(1)提供具有至少一个缺陷的光掩模衬底;(2)提供扫描探针显微镜尖端,其中该尖端涂覆有图案化化合物;(3)用被涂覆的尖端将化合物加到衬底。
实施例22中,纳米构图方法包括在形成光掩模中,用被涂覆的扫描探针显微镜尖端将图案化化合物淀积到衬底上。
实施例23中,使用SPM技术检测和改进物体的方法包括以下步骤:
通过用第一SPM探针对物体进行SPM测量,以检测物体;
从SPM测量产生修改数据,其确定要在物体的材料上进行的修改;和
相应于修改数据,通过用第一SPM探针或第二SPM探针将材料加到物体的材料来进行修改;
其中,通过直接写入纳米构图印刷将材料从探针尖端转移到物体来执行材料的添加。
实施例24中,按实施例23的方法,其中修改至少包括:物体材料的结构性修改或物体材料的化学修改;和
实施例25中,使用SPM技术检测和修改物体的方法,该方法包括以下步骤:
通过使用第一SPM探针进行物体的SPM测量,以检测物体;
从SPM测量产生修改数据,其确定对物体的材料进行的修改;和
相应于修改数据,通过用第一SPM探针或第二SPM探针将材料添加到物体的材料,来进行修改;
其中,无论是第一SPM探针或第二SPM探针都是涂覆有墨汁的原子力显微镜尖端,该墨汁是要加到物体的材料上的材料。
实施例26中,使用SPM技术检测和修改物体的系统,该系统包括:
一组SPM探针,包括:用于检测的探针,其构成为进行一个或多个SPM测量;和用于修改的探针,其构成为将材料加到物体的材料,用于检测的探针和用于修改的探针可以是同一个探针,或者是分开的探针;
第一元件,构成为通过使用用于检测的探针进行物体的SPM测量来检测物体;
第二元件,构成为从SPM测量产生修改数据,其确定对物体的材料进行的修改;和
第三元件,构成为根据修改数据通过使用用于修改的探针将材料加到物体的材料,来进行修改;
其中,通过直接写入纳米构图印刷来执行材料的添加。
实施例27中,使用SPM技术检测和修改物体的系统,该系统包括:
一组SPM探针,包括:用于检测的探针,其构成为进行一个或多个SPM测量;和用于修改的探针,其构成为将材料加到物体的材料,用于检测的探针和用于修改的探针可以是同一个探针,或者是分开的探针;
第一元件,构成为通过使用用于检测的探针进行物体的SPM测量来检测物体;
第二元件,构成为从SPM测量产生修改数据,其确定对物体的材料进行的修改;和
第三元件,构成为根据修改数据通过使用用于修改的探针将材料加到物体的材料,来进行修改;
其中,用于修改的探针是涂覆有墨汁的原子力显微镜尖端,该墨汁是要加到物体的材料上的材料。
实施例28中,修复相移掩模的方法,包括(1)提供相移掩模;(2)提供扫描探针显微镜尖端,其中,该尖端涂覆有图案化化合物;(3)用已涂覆的尖端将化合物施加到掩模,从而将穿过掩模的辐射的相移调整180°。
实施例29中,实施例28的方法中,尖端包括AFM尖端。
实施例30中,实施例29的方法中,掩模包括:强相移掩模,以及图案化化合物调节掩模中透明窗口的高度。
实施例31中,实施例29的方法中,掩模包括:衰减相移掩模,以及图案化化合物调节掩模上部分透射材料的高度。
实施例32中,固体器件上的互连金属的修复方法,包括:(1)提供包括互连金属的固体器件;(2)提供扫描探针显微镜尖端,其中,尖端涂覆有图案化化合物;(3)用已涂覆的尖端将化合物涂覆到金属上,以填充金属中的开口。
实施例33中,实施例32的方法中,尖端包括AFM尖端,并且固体器件包括半导体器件。
实施例34中,场效应晶体管的栅绝缘层的形成方法,包括:在晶体管沟道上设置扫描探针显微镜尖端,其中,尖端涂覆有图案化化合物,用已涂覆的尖端将前体化合物施加到沟道上,并将前体材料转换成栅绝缘层。
实施例35中,实施例34的方法中,还包括在栅绝缘层上形成栅电极。
实施例36中,实施例35的方法中,栅绝缘层包括氧化硅。
实施例37中,MEMS的修复方法,包括:(1)提供MEMS;(2)提供扫描探针显微镜尖端,其中,尖端涂覆有图案化化合物;(3)用已涂覆的尖端将化合物运用到MEMS,以修复MEMS。
实施例38是在衬底上淀积材料的方法,包括:
提供尖端,该尖端的末端包括弹性体;
在尖端的末端提供图案化化合物;
提供衬底;
从尖端末端将图案化化合物淀积到衬底上;
实施例39是按实施例38的方法,其中弹性体是疏水聚合物。实施例40是按实施例38的方法,其中尖端是扫描探针显微镜尖端。实施例41是按实施例38的方法,其中尖端是原子力显微镜尖端。实施例42是按实施例38的方法,其中衬底是有缺陷的掩模。实施例43是按实施例38的方法,其中图案化化合物是光掩模修复墨汁。实施例44是按实施例38的方法,其中淀积步骤用于制造微光刻的光掩模。
实施例45是改进从尖端淀积材料的方法,包括用弹性体改进尖端的步骤。实施例46是按实施例45的方法,其中弹性体是疏水的硅氧烷弹性体。
实施例47是按实施例45的方法,其中,通过用弹性体的前体涂覆尖端,然后将前体转换成弹性体,来修改尖端。实施例48是按实施例45的方法,其中尖端是扫描探针显微镜尖端。实施例49是按实施例45的方法,其中尖端是原子力显微镜尖端。

Claims (97)

1.一种在半导体工业中添加掩模修复的方法,其能够精确控制横向尺寸和高度,该方法包括:
从尖端通过直接写入纳米构图将材料淀积到有缺陷的掩模,用以进行添加修复。
2.按照权利要求1的方法,其中该有缺陷的掩模包括光学透明衬底,在该透明衬底上包含掩模层,该掩模层为光学不透明图案。
3.按照权利要求1的方法,其中该有缺陷的掩模是相移光掩模。
4.按照权利要求1的方法,其中该有缺陷的掩模是EUV光刻掩模、电子投射光刻掩模、X-射线光刻掩模、或离子投射光刻掩模。
5.按照权利要求1的方法,其中该有缺陷的掩模用于纳米压印构图。
6.按照权利要求1的方法,其中该有缺陷的掩模包括不透明缺陷。
7.按照权利要求1的方法,其中该有缺陷的掩模包括透明缺陷。
8.按照权利要求1的方法,其中该有缺陷的掩模包括纳米级开口,该材料被添加到该纳米级开口。
9.按照权利要求1的方法,其中该有缺陷的掩模包括横向尺寸小于约100nm的开口,该材料被添加到该开口。
10.按照权利要求1的方法,其中该有缺陷的掩模包括横向尺寸小于约80nm的开口,该材料被添加到该开口。
11.按照权利要求1的方法,其中该有缺陷的掩模包括横向尺寸小于约56nm的开口,该材料被添加到该开口。
12.按照权利要求1的方法,其中该有缺陷的掩模包括横向尺寸小于约35nm的开口,该材料被添加到该开口。
13.按照权利要求1的方法,其中该掩模包括横向尺寸为约100nm或更小的要修复的部件。
14.按照权利要求1的方法,其中该尖端是扫描探针显微镜尖端。
15.按照权利要求1的方法,其中该尖端是原子力显微镜尖端。
16.按照权利要求1的方法,其中该尖端是中空尖端。
17.按照权利要求1的方法,其中该材料是光学透明材料。
18.按照权利要求1的方法,其中该材料是光学不透明材料。
19.按照权利要求1的方法,其中该材料被用作多层膜。
20.按照权利要求1的方法,其中该材料被施加到至少30nm高。
21.按照权利要求1的方法,其中该材料被施加到至少45nm高。
22.按照权利要求1的方法,其中该材料被施加到至少100nm高
23.按照权利要求1的方法,其中该材料被施加到至少150nm高。
24.按照权利要求1的方法,其中该材料是溶胶-凝胶材料。
25.按照权利要求1的方法,其中该材料是金属氧化物、或玻璃,或其前体。
26.按照权利要求1的方法,其中该材料是金属材料或金属前体。
27.按照权利要求1的方法,其中该材料是不透明的碳材料或其前体。
28.按照权利要求1的方法,其中该材料包括纳米微粒。
29.按照权利要求1的方法,其中该材料包括一种或多种高分子量化合物。
30.按照权利要求1的方法,其中该材料具有与图案类似的光学特性,该材料被添加到该图案。
31.按照权利要求1的方法,其中该添加步骤不在真空条件下进行。
32.按照权利要求1的方法,其中通过相同的材料重复该添加步骤。
33.按照权利要求1的方法,其中通过不同的材料重复该添加步骤。
34.按照权利要求1的方法,还包括一个或多个后添加步骤,所述后添加步骤包括:外部加热、光照射、声激发、或通过暴露到蒸汽或液体中产生的化学反应。
35.按照权利要求1的方法,其中该添加步骤是作为通过多个尖端进行的一系列添加步骤之一而被执行。
36.按照权利要求1的方法,还包括从该有缺陷的掩模减去材料。
37.按照权利要求1的方法,其中材料的减去是使用尖端来执行。
38.按照权利要求1的方法,其中材料的减去是使用扫描探针显微镜尖端来执行。
39.按照权利要求1的方法,其中材料的减去是使用原子力显微镜尖端来执行。
40.一种纳米构图方法,包括:(1)提供掩模;(2)提供扫描探针显微镜尖端,其中,该尖端涂覆有图案化合物;(3)使被涂覆的尖端与该掩模接触,从而将该化合物施加到掩模。
41.按照权利要求40的方法,其中该尖端是原子力显微镜尖端。
42.按照权利要求40的方法,其中该尖端是中空尖端。
43.按照权利要求40的方法,其中该图案化合物是溶胶-凝胶材料。
44.按照权利要求40的方法,其中该图案化合物包括金属。
45.按照权利要求40的方法,其中接触步骤被重复,以形成多层结构。
46.按照权利要求40的方法,还包括从该掩模减去材料。
47.一种纳米构图方法,包括:(1)提供具有至少一个缺陷的衬底;(2)提供具有图案化合物的尖端;(3)使该尖端与该衬底接触,从而将该化合物施加到缺陷处的衬底,以修复该缺陷。
48.按照权利要求47的方法,其中该尖端是原子力显微镜尖端。
49.按照权利要求47的方法,其中该尖端是中空尖端。
50.按照权利要求47的方法,其中该图案化合物是溶胶-凝胶材料或金属。
51.一种掩模制造方法,包括:通过使用扫描探针显微镜尖端进行直接写入纳米构图将材料添加到衬底而形成掩模,以图案化衬底上的材料。
52.按照权利要求51的方法,其中该尖端是原子力显微镜尖端。
53.按照权利要求51的方法,其中该尖端是中空尖端。
54.按照权利要求51的方法,其中该材料是不透明材料。
55.按照权利要求51的方法,其中该材料是透明材料。
56.按照权利要求51的方法,其中该材料包括金属。
57.按照权利要求51的方法,其中该掩模是光掩模。
58.按照权利要求51的方法,其中该掩模是EUV光刻掩模、电子投射光刻掩模、X-射线光刻掩模、或离子投射光刻掩模。
59.按照权利要求51的方法,其中该材料在该衬底上被图案化为至少10nm高。
60.按照权利要求51的方法,其中该材料在该衬底上被图案化为至少100nm高。
61.一种纳米构图方法,包括:在掩模制造中,使用被涂覆的原子力显微镜尖端在衬底上淀积图案化合物。
62.按照权利要求61的方法,其中该掩模是用于纳米构图的掩模。
63.按照权利要求61的方法,其中该图案化合物被淀积为至少100nm高。
64.按照权利要求61的方法,其中该材料是溶胶-凝胶材料或金属。
65.按照权利要求61的方法,其中该图案化合物被淀积多次,以形成多层淀积物。
66.一种纳米构图方法,包括:使用尖端在衬底上层叠一种或多种图案化合物,从而所述一种或多种图案化合物形成至少约10nm高的结构。
67.按照权利要求66的方法,其中该结构是掩模增强结构。
68.按照权利要求66的方法,其中该结构为至少约45nm高。
69.按照权利要求66的方法,其中该结构为至少约100nm高。
70.按照权利要求66的方法,其中该结构为约10nm到250nm高。
71.按照权利要求66的方法,其中该结构是单层膜。
72.按照权利要求66的方法,其中该结构包括多层膜。
73.按照权利要求66的方法,其中该化合物是溶胶-凝胶化合物或金属化合物。
74.一种通过权利要求1的方法制备的被修复的掩模。
75.一种通过权利要求40的方法制备的被修复的掩模。
76.一种通过权利要求47的方法制备的被修复的掩模。
77.一种通过权利要求51的方法制造的掩模。
78.一种通过权利要求61的方法制造的掩模。
79.一种通过添加修复使用扫描探针显微镜来修复掩模的方法。
80.按照权利要求79使用的方法,其中该扫描探针显微镜是原子力显微镜。
81.一种通过添加构图使用扫描探针显微镜来制备掩模的方法。
82.按照权利要求81使用的方法,其中该扫描探针显微镜是原子力显微镜。
83.一种包括以下步骤的方法:
通过使用第一SPM探针对物体进行SPM测量,来检测该物体;
通过使用该第一SPM探针或第二SPM探针将材料添加到该物体的材料上,来修复该物体;
其中,通过直接写入纳米构图印刷将该材料从该探针转移到该物体,来进行该材料的添加。
84.一种被修复的掩模,包括:
有缺陷的掩模衬底,包括至少一个纳米级开口,该开口为缺陷;
至少一个添加修复纳米结构,至少部分填充该开口。
85.按照权利要求84的掩模,其中该纳米级结构基本上填充该开口。
86.按照权利要求84的掩模,其中该纳米级开口的横向尺寸为约100nm或更小。
87.按照权利要求84的掩模,其中该纳米级开口的横向尺寸为约80nm或更小。
88.按照权利要求84的掩模,其中该纳米级开口的横向尺寸为约56nm或更小。
89.按照权利要求84的掩模,其中该纳米级开口的深度为约500nm或更小。
90.按照权利要求84的掩模,其中该纳米级开口的深度为约100nm或更小。
91.按照权利要求84的掩模,其中该添加修复结构是溶胶-凝胶结构,
92.按照权利要求84的掩模,其中该添加修复结构是金属结构。
93.按照权利要求84的掩模,其中该添加修复结构是碳结构。
94.按照权利要求84的掩模,其中该添加修复结构为与该掩模衬底基本相同的材料。
95.按照权利要求84的掩模,其中该添加修复结构是与该掩模衬底不同的材料。
96.一种单层纳米结构,高度至少为100nm,并且横向尺寸为约200微米或更小。
97.一种多层纳米结构,高度至少为100nm,并且横向尺寸为约200微米或更小。
CNA200380105744XA 2002-10-21 2003-10-21 纳米级设计结构、其制造方法及设备以及在掩模修复、增强和制造上的应用 Pending CN1726431A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US41978102P 2002-10-21 2002-10-21
US60/419,781 2002-10-21

Publications (1)

Publication Number Publication Date
CN1726431A true CN1726431A (zh) 2006-01-25

Family

ID=32176479

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA200380105744XA Pending CN1726431A (zh) 2002-10-21 2003-10-21 纳米级设计结构、其制造方法及设备以及在掩模修复、增强和制造上的应用

Country Status (9)

Country Link
US (1) US7691541B2 (zh)
EP (1) EP1556737B1 (zh)
JP (1) JP2006504136A (zh)
KR (1) KR101101698B1 (zh)
CN (1) CN1726431A (zh)
AT (1) ATE419558T1 (zh)
AU (1) AU2003290531A1 (zh)
DE (1) DE60325629D1 (zh)
WO (1) WO2004038504A2 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101638219A (zh) * 2008-07-31 2010-02-03 首尔大学校产学协力团 纳米图形化中凸起效应的去除
CN101344717B (zh) * 2008-08-15 2011-05-18 深圳市路维电子有限公司 光罩修补液
CN101726633B (zh) * 2008-10-16 2011-12-07 京元电子股份有限公司 具修补层的测试载板
CN101925977B (zh) * 2008-01-30 2013-02-27 韩国标准科学研究院 修复光掩模的装置及方法
CN101738514B (zh) * 2008-11-11 2013-03-20 京元电子股份有限公司 具有修补层金属垫的测试载板制造方法
CN107015029A (zh) * 2017-05-11 2017-08-04 四川理工学院 原子力显微镜接触模式表征用碳素材料样本的制做方法
CN109478558A (zh) * 2016-03-22 2019-03-15 Xtpl股份有限公司 用于在基板上形成线结构的自下而上法
CN110627376A (zh) * 2019-09-24 2019-12-31 上海电机学院 一种纳米SiO2超疏水涂层及制备工艺和用途
CN111063610A (zh) * 2019-12-30 2020-04-24 上海集成电路研发中心有限公司 光刻缺陷修复方法
CN111480105A (zh) * 2017-11-24 2020-07-31 浜松光子学株式会社 晶圆的检查方法及晶圆
CN114014262A (zh) * 2021-10-13 2022-02-08 电子科技大学 一种石墨烯量子点阵列的微纳复合制备方法
TWI816149B (zh) * 2020-06-30 2023-09-21 德商卡爾蔡司Smt有限公司 用於設定微影光罩之至少一圖案元素之至少一側壁角度的方法及設備、用於使用至少一具質量粒子束檢驗微影光罩之至少一缺陷的方法及設備、以及包含指令之電腦程式

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6337479B1 (en) * 1994-07-28 2002-01-08 Victor B. Kley Object inspection and/or modification system and method
US8071168B2 (en) * 2002-08-26 2011-12-06 Nanoink, Inc. Micrometric direct-write methods for patterning conductive material and applications to flat panel display repair
US7491422B2 (en) * 2002-10-21 2009-02-17 Nanoink, Inc. Direct-write nanolithography method of transporting ink with an elastomeric polymer coated nanoscopic tip to form a structure having internal hollows on a substrate
US7217396B2 (en) * 2003-05-05 2007-05-15 The Board Of Trustees Of The University Of Illinois Microfabricated micro fluid channels
US20040228962A1 (en) * 2003-05-16 2004-11-18 Chang Liu Scanning probe microscopy probe and method for scanning probe contact printing
US7153615B2 (en) * 2003-08-20 2006-12-26 Intel Corporation Extreme ultraviolet pellicle using a thin film and supportive mesh
TWI261726B (en) * 2004-04-09 2006-09-11 Allied Integrated Patterning C Acceptable defect positioning and manufacturing method for large-scaled photomask blanks
US8235302B2 (en) * 2004-04-20 2012-08-07 Nanolnk, Inc. Identification features
JP4652725B2 (ja) * 2004-06-09 2011-03-16 エスアイアイ・ナノテクノロジー株式会社 フォトマスク欠陥修正方法
US7034290B2 (en) * 2004-09-24 2006-04-25 Agilent Technologies, Inc. Target support with pattern recognition sites
US8261662B1 (en) 2004-11-08 2012-09-11 Nanolnk, Inc. Active pen nanolithography
US7171841B2 (en) * 2004-12-01 2007-02-06 Uchicago Argonne, Llc Ultrafast and ultrasensitive hydrogen sensors based on self-assembly monolayer promoted 2-dimensional palladium nanoclusters
CN1300635C (zh) * 2004-12-09 2007-02-14 上海交通大学 真空负压纳米压印方法
US20100297027A1 (en) * 2004-12-20 2010-11-25 Nanolnk, Inc. Overt authentication features for compositions and objects and methods of fabrication and verification thereof
US20100294147A1 (en) * 2004-12-20 2010-11-25 Nanoink, Inc. Apparatus and methods for preparing identification features including pharmaceutical applications
US20060138079A1 (en) * 2004-12-27 2006-06-29 Potyrailo Radislav A Fabrication process of microfluidic devices
EP1854160B1 (en) 2005-02-10 2017-06-21 Yeda Research And Development Co., Ltd. Redox-active structures and devices utilizing the same
US8461628B2 (en) * 2005-03-18 2013-06-11 Kovio, Inc. MOS transistor with laser-patterned metal gate, and method for making the same
US8212225B2 (en) * 2005-05-13 2012-07-03 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of The University Of Oregon TEM grids for determination of structure-property relationships in nanotechnology
US20080280099A1 (en) * 2005-05-23 2008-11-13 Hutchison James E Silicon Substrates with Thermal Oxide Windows for Transmission Electron Microscopy
US7833904B2 (en) * 2005-06-16 2010-11-16 The Trustees Of Columbia University In The City Of New York Methods for fabricating nanoscale electrodes and uses thereof
US8057857B2 (en) * 2005-07-06 2011-11-15 Northwestern University Phase separation in patterned structures
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
JP4607705B2 (ja) * 2005-08-24 2011-01-05 株式会社東芝 マスク欠陥修正方法及び半導体装置の製造方法
US20100294927A1 (en) * 2005-09-12 2010-11-25 Nanolnk, Inc. High throughput inspecting
US7281419B2 (en) * 2005-09-21 2007-10-16 The Board Of Trustees Of The University Of Illinois Multifunctional probe array system
US7473912B2 (en) * 2005-11-09 2009-01-06 Yang Xiao Charles Method and apparatus for patterning micro and nano structures using a mask-less process
US20070172745A1 (en) * 2006-01-26 2007-07-26 Smith Bruce W Evanescent wave assist features for microlithography
US8192794B2 (en) * 2006-04-19 2012-06-05 Northwestern University Massively parallel lithography with two-dimensional pen arrays
JP2009534200A (ja) 2006-04-19 2009-09-24 ノースウエスタン ユニバーシティ 2次元ペン配列を有する並列リソグラフィのための物品
KR100866499B1 (ko) * 2006-05-18 2008-11-03 주식회사 파이컴 폴리머 마스크의 수리 방법
WO2008091279A2 (en) 2006-06-28 2008-07-31 Northwestern University Etching and hole arrays
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
JP5003094B2 (ja) * 2006-10-20 2012-08-15 凸版印刷株式会社 ハーフトーン型位相シフトマスクの製造方法
DE102007055540A1 (de) * 2006-11-29 2008-06-19 Sii Nano Technology Inc. Verfahren zum Korrigieren von Photomaskendefekten
US9181098B2 (en) 2006-12-15 2015-11-10 Los Alamos National Security, Llc Preparation of array of long carbon nanotubes and fibers therefrom
EP2122417B1 (en) * 2006-12-18 2012-08-15 Northwestern University Fabrication of microstructures and nanostructures using etching resist
US7767985B2 (en) * 2006-12-26 2010-08-03 Globalfoundries Inc. EUV pellicle and method for fabricating semiconductor dies using same
MY154929A (en) * 2007-02-08 2015-08-28 Fontana Technology Particle removal method and composition
US7680553B2 (en) 2007-03-08 2010-03-16 Smp Logic Systems Llc Methods of interfacing nanomaterials for the monitoring and execution of pharmaceutical manufacturing processes
KR20100015321A (ko) * 2007-03-13 2010-02-12 나노잉크, 인크. 검시창을 사용하는 나노리소그래피
US20080264441A1 (en) * 2007-04-30 2008-10-30 Yoji Takagi Method for removing residuals from photomask
CA2681443A1 (en) * 2007-05-09 2008-11-20 Nanoink, Inc. Compact nanofabrication apparatus
US8404160B2 (en) 2007-05-18 2013-03-26 Applied Nanotech Holdings, Inc. Metallic ink
US10231344B2 (en) 2007-05-18 2019-03-12 Applied Nanotech Holdings, Inc. Metallic ink
AU2008265818A1 (en) * 2007-06-20 2008-12-24 Northwestern University Patterning with compositions containing nanomaterials and polymers
US20090004231A1 (en) 2007-06-30 2009-01-01 Popp Shane M Pharmaceutical dosage forms fabricated with nanomaterials for quality monitoring
US8039379B1 (en) * 2007-07-02 2011-10-18 Novellus Systems, Inc. Nanoparticle cap layer
US7994640B1 (en) 2007-07-02 2011-08-09 Novellus Systems, Inc. Nanoparticle cap layer
KR20100056453A (ko) * 2007-08-08 2010-05-27 노쓰웨스턴유니버시티 캔틸레버 어레이에 대해 독립적으로 어드레스 가능한 자가 보정 잉킹 방법
US20090061161A1 (en) * 2007-08-27 2009-03-05 Lynn Sheehan Laser patterning of a cross-linked polymer
US8540922B2 (en) * 2007-08-27 2013-09-24 Hewlett-Packard Development Company, L.P. Laser patterning of a carbon nanotube layer
US20090061184A1 (en) * 2007-08-31 2009-03-05 United Technologies Corporation Processes for Applying a Conversion Coating with Conductive Additive(S) and the Resultant Coated Articles
AU2008312607A1 (en) * 2007-10-15 2009-04-23 Nanoink, Inc. Lithography of nanoparticle based inks
AU2008329813A1 (en) * 2007-11-26 2009-06-04 Nanoink, Inc. Cantilever with pivoting actuation
US8256018B2 (en) * 2008-02-05 2012-08-28 Nanoink, Inc. Array and cantilever array leveling
US8506849B2 (en) 2008-03-05 2013-08-13 Applied Nanotech Holdings, Inc. Additives and modifiers for solvent- and water-based metallic conductive inks
US8068328B2 (en) * 2008-03-12 2011-11-29 Intel Corporation Nanolithographic method of manufacturing an embedded passive device for a microelectronic application, and microelectronic device containing same
WO2009140441A2 (en) * 2008-05-13 2009-11-19 Nanoink, Inc. Height sensing cantilever
US9730333B2 (en) 2008-05-15 2017-08-08 Applied Nanotech Holdings, Inc. Photo-curing process for metallic inks
US9104948B2 (en) 2008-09-16 2015-08-11 Ramot At Tel-Aviv University Ltd. System and a method for nano imprinting
WO2010032243A1 (en) * 2008-09-16 2010-03-25 Ramot At Tel Aviv University Ltd. A system and a method for nano imprinting
KR101053450B1 (ko) * 2008-09-26 2011-08-03 참엔지니어링(주) 마스크 리페어 장치 및 방법
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
JP5168100B2 (ja) * 2008-11-17 2013-03-21 大日本印刷株式会社 フォトマスク等の欠陥修正方法
EP2412007B1 (en) 2009-03-27 2020-07-22 Ishihara Chemical Co., Ltd. Buffer layer to enhance photo and/or laser sintering
CA2754701A1 (en) * 2009-04-14 2010-10-21 Nanoink, Inc. Conducting lines, nanoparticles, inks, and patterning
KR101129025B1 (ko) * 2009-06-25 2012-03-23 주식회사 하이닉스반도체 위상반전마스크의 위상차 에러 보정방법
JP2012532342A (ja) * 2009-06-30 2012-12-13 ナノインク インコーポレーティッド フォトマスクの修復方法
US8422197B2 (en) 2009-07-15 2013-04-16 Applied Nanotech Holdings, Inc. Applying optical energy to nanoparticles to produce a specified nanostructure
GB0915251D0 (en) * 2009-09-02 2009-10-07 Univ Bangor Low temperature platinisation for dye-sensitised solar cells
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20110203656A1 (en) * 2010-02-24 2011-08-25 Iowa State University Research Foundation, Inc. Nanoscale High-Aspect-Ratio Metallic Structure and Method of Manufacturing Same
CA2794720A1 (en) * 2010-04-27 2011-11-03 Nanoink, Inc. Force curve analysis method for planar object leveling
KR101983525B1 (ko) * 2010-12-17 2019-05-28 칼 짜이스 에스엠티 게엠베하 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치
US8630479B2 (en) * 2011-01-07 2014-01-14 Kla-Tencor Corporation Methods and systems for improved localized feature quantification in surface metrology tools
DE102011004214A1 (de) * 2011-02-16 2012-08-16 Carl Zeiss Sms Gmbh Vorrichtung und Verfahren zum Analysieren und Verändern einer Probenoberfläche
US8458810B2 (en) * 2011-04-07 2013-06-04 Michael E. MCCONNEY Scanning thermal twisting atomic force microscopy
RO128117B1 (ro) * 2011-06-16 2019-04-30 Institutul Naţional De Cercetare-Dezvoltare Pentru Microtehnologie Procedeu de nanolitografie 2d şi 3d de tip fountain pen asistat optic
TWI438436B (zh) * 2011-07-12 2014-05-21 Univ Nat Cheng Kung 熱探針
WO2013059670A2 (en) 2011-10-21 2013-04-25 Nanoink, Inc. Octahedral and pyramid-on-post tips for microscopy and lithography
CN102736405B (zh) * 2012-06-15 2014-07-16 深圳市华星光电技术有限公司 一种光罩及其修正方法
EP2870644A2 (en) 2012-07-09 2015-05-13 Yeda Research and Development Co. Ltd. Logic circuits with plug and play solid-state molecular chips
WO2014011578A1 (en) 2012-07-09 2014-01-16 Applied Nanotech Holdings, Inc. Photosintering of micron-sized copper particles
US8748063B2 (en) 2012-08-01 2014-06-10 International Business Machines Corporation Extreme ultraviolet (EUV) multilayer defect compensation and EUV masks
US9690191B2 (en) * 2013-02-17 2017-06-27 Carl Zeiss Sms Ltd. Surface defect repair by irradiation
US9494854B2 (en) * 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9038269B2 (en) * 2013-04-02 2015-05-26 Xerox Corporation Printhead with nanotips for nanoscale printing and manufacturing
US9672316B2 (en) 2013-07-17 2017-06-06 Arm Limited Integrated circuit manufacture using direct write lithography
US9086639B2 (en) 2013-09-12 2015-07-21 International Business Machines Corporation Fabrication of on-product aberration monitors with nanomachining
IL229525A0 (en) 2013-11-20 2014-01-30 Yeda Res & Dev Metal complexes of tris-bipyridyl and their uses in electrochromic applications
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
JP6214019B2 (ja) * 2014-03-07 2017-10-18 株式会社Joled バンクの補修方法、有機el表示装置およびその製造方法
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10252463B2 (en) * 2014-07-22 2019-04-09 Nabil A. Amro Compact instrument with exchangeable modules for multiple microfabrication and/or nanofabrication methods
KR102352740B1 (ko) * 2015-04-30 2022-01-18 삼성디스플레이 주식회사 마스크의 제조 방법 및 표시 장치의 제조 방법
CN105182684A (zh) * 2015-10-20 2015-12-23 京东方科技集团股份有限公司 一种掩模板的检修方法
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
WO2017106199A1 (en) * 2015-12-16 2017-06-22 The Regents Of The University Of California Technique for three-dimensional nanoprinting
RU2702960C2 (ru) * 2016-03-24 2019-10-14 Федеральное государственное бюджетное учреждение науки Институт систем обработки изображений Российской академии наук (ИСОИ РАН) Способ изготовления фазовых дифракционных решеток, микроструктур и контактных масок
DE102016205941B4 (de) * 2016-04-08 2020-11-05 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Analysieren eines Defekts einer fotolithographischen Maske oder eines Wafers
RU2659103C1 (ru) * 2017-04-07 2018-06-28 Федеральное государственное бюджетное образовательное учреждение высшего образования "Владимирский Государственный Университет имени Александра Григорьевича и Николая Григорьевича Столетовых" (ВлГУ) Способ формирования планарных структур методом атомно-силовой литографии
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10510937B2 (en) * 2017-11-22 2019-12-17 X-Celeprint Limited Interconnection by lateral transfer printing
US10679110B2 (en) 2018-04-01 2020-06-09 Ramot At Tel-Aviv University Ltd. Nanotags for authentication
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
JP7361622B2 (ja) * 2019-03-05 2023-10-16 Hoya株式会社 フォトマスクの修正方法、フォトマスクの修正装置、ペリクル付きフォトマスクの製造方法および表示装置の製造方法
US11459232B2 (en) * 2019-04-08 2022-10-04 Donna C. Mauro Additive manufacturing methods for modification and improvement of the surfaces of micro-scale geometric features
KR20210149893A (ko) 2019-04-30 2021-12-09 램 리써치 코포레이션 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
SG11202108851RA (en) 2020-01-15 2021-09-29 Lam Res Corp Underlayer for photoresist adhesion and dose reduction
KR20240085718A (ko) * 2022-12-08 2024-06-17 한국외국어대학교 연구산학협력단 제품의 표면에 미세 패턴을 형성하는 방법 및 이를 적용하여 형성한 미세 패턴을 포함하는 제품

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4160049A (en) * 1977-11-07 1979-07-03 Harold Narcus Bright electroless plating process producing two-layer nickel coatings on dielectric substrates
US4200668A (en) * 1978-09-05 1980-04-29 Western Electric Company, Inc. Method of repairing a defective photomask
EP0165685B1 (en) * 1984-06-20 1992-09-23 Gould Inc. Laser-based system for the total repair of photomasks
US4968390A (en) * 1988-11-03 1990-11-06 Board Of Regents, The University Of Texas System High resolution deposition and etching in polymer films
US5126574A (en) * 1989-10-10 1992-06-30 The United States Of America As Represented By The Secretary Of Commerce Microtip-controlled nanostructure fabrication and multi-tipped field-emission tool for parallel-process nanostructure fabrication
US5015323A (en) * 1989-10-10 1991-05-14 The United States Of America As Represented By The Secretary Of Commerce Multi-tipped field-emission tool for nanostructure fabrication
US5104684A (en) * 1990-05-25 1992-04-14 Massachusetts Institute Of Technology Ion beam induced deposition of metals
EP0729642B1 (en) * 1993-12-08 1997-07-02 Leica Lithography Systems Ltd. Method of writing a pattern by an electron beam
US6000947A (en) * 1994-04-12 1999-12-14 The Board Of Trustees Of The Leland Stanford, Jr. Method of fabricating transistor or other electronic device using scanning probe microscope
US6353219B1 (en) * 1994-07-28 2002-03-05 Victor B. Kley Object inspection and/or modification system and method
US5756997A (en) * 1996-03-04 1998-05-26 General Nanotechnology, L.L.C. Scanning probe/optical microscope with modular objective/probe and drive/detector units
US6337479B1 (en) * 1994-07-28 2002-01-08 Victor B. Kley Object inspection and/or modification system and method
JPH10506457A (ja) * 1994-07-28 1998-06-23 ジェネラル ナノテクノロジー エルエルシー 走査型プローブ顕微鏡装置
US5751683A (en) * 1995-07-24 1998-05-12 General Nanotechnology, L.L.C. Nanometer scale data storage device and associated positioning system
US5441386A (en) * 1994-07-29 1995-08-15 Hsieh; Hsin M. Lubricating system for cooling fans
US5674409A (en) * 1995-03-16 1997-10-07 International Business Machines Corporation Nanolithographic method of forming fine lines
DE19630705A1 (de) * 1995-08-30 1997-03-20 Deutsche Telekom Ag Verfahren zur Herstellung von 3-dimensional strukturierten Polymerschichten für die integrierte Optik
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5747120A (en) * 1996-03-29 1998-05-05 Regents Of The University Of California Laser ablated hard coating for microtools
KR100189741B1 (ko) * 1996-07-19 1999-06-01 구본준 위상반전마스크 및 그의 제조방법
WO1998029770A1 (en) * 1996-12-30 1998-07-09 D-Star Technologies, Inc. Near-ultraviolet formation of refractive-index grating using phase mask
US6165649A (en) * 1997-01-21 2000-12-26 International Business Machines Corporation Methods for repair of photomasks
EP1012584A4 (en) 1997-01-21 2006-10-04 Rave L L C SYSTEM AND METHOD FOR MONITORING AND / OR MODIFYING OBJECTS
US6396966B1 (en) * 1997-02-09 2002-05-28 Nanoptics, Inc. Glass structures for nanodelivery and nanosensing
US5854487A (en) * 1997-02-28 1998-12-29 Park Scientific Instruments Scanning probe microscope providing unobstructed top down and bottom up views
JPH10282130A (ja) * 1997-04-01 1998-10-23 Canon Inc プローブとそれを用いた走査型プローブ顕微鏡
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5865978A (en) * 1997-05-09 1999-02-02 Cohen; Adam E. Near-field photolithographic masks and photolithography; nanoscale patterning techniques; apparatus and method therefor
US5798193A (en) * 1997-05-16 1998-08-25 Micron Technology, Inc. Method and apparatus to accurately correlate defect coordinates between photomask inspection and repair systems
US6292382B1 (en) * 1997-06-01 2001-09-18 Nanoptics, Inc. Nanometric writing and erasure combined with supersensitive reading without erasure
US5980998A (en) * 1997-09-16 1999-11-09 Sri International Deposition of substances on a surface
US6005247A (en) * 1997-10-01 1999-12-21 Intevac, Inc. Electron beam microscope using electron beam patterns
EP0979414B1 (de) 1998-01-30 2006-08-30 NaWoTec GmbH Vielsondentestkopf und prüfverfahren
KR100269329B1 (ko) * 1998-06-29 2000-12-01 윤종용 포토마스크의 결함 수리 방법
US6271130B1 (en) * 1998-11-25 2001-08-07 The University Of Chicago Semiconductor assisted metal deposition for nanolithography applications
US6146227A (en) * 1998-09-28 2000-11-14 Xidex Corporation Method for manufacturing carbon nanotubes as functional elements of MEMS devices
US6600856B1 (en) * 1998-12-06 2003-07-29 Nanoptics, Ltd. Lensed optical fibers and unique micropipettes with subwavelength apertures
US6096459A (en) * 1998-12-28 2000-08-01 Micron Technology, Inc. Method for repairing alternating phase shifting masks
US6114073A (en) * 1998-12-28 2000-09-05 Micron Technology, Inc. Method for repairing phase shifting masks
US20020122873A1 (en) * 2000-01-05 2002-09-05 Mirkin Chad A. Nanolithography methods and products therefor and produced thereby
US6635311B1 (en) 1999-01-07 2003-10-21 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or products thereby
US6827979B2 (en) * 1999-01-07 2004-12-07 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or produced thereby
US6197455B1 (en) * 1999-01-14 2001-03-06 Advanced Micro Devices, Inc. Lithographic mask repair using a scanning tunneling microscope
US6270946B1 (en) * 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
US6452171B1 (en) * 1999-07-23 2002-09-17 Piezomax Technologies, Inc. Method for sharpening nanotube bundles
US6253015B1 (en) * 2000-02-08 2001-06-26 Corning Incorporated Planar waveguides with high refractive index
KR100455279B1 (ko) * 2000-05-06 2004-11-06 삼성전자주식회사 Set 소자 제작 방법
US6322938B1 (en) * 2000-05-22 2001-11-27 The United States Of America As Represented By The Secretary Of The Air Force Nanolithography for multi-passband grating filters
US6455175B1 (en) * 2000-07-06 2002-09-24 Honeywell International Inc. Electroless rhodium plating
JP2002040627A (ja) * 2000-07-24 2002-02-06 Nec Corp レーザパターン修正方法並びに修正装置
AU2002239740A1 (en) 2000-10-20 2002-06-11 Chad A. Mirkin Nanolithography methods and products therefor and produced thereby
JP3914386B2 (ja) * 2000-12-28 2007-05-16 株式会社ルネサステクノロジ フォトマスク、その製造方法、パターン形成方法および半導体装置の製造方法
US6451375B1 (en) * 2001-01-05 2002-09-17 International Business Machines Corporation Process for depositing a film on a nanometer structure
JP4149676B2 (ja) * 2001-02-05 2008-09-10 株式会社東芝 フォトマスクの修正方法
US6573592B2 (en) 2001-08-21 2003-06-03 Micron Technology, Inc. Semiconductor die packages with standard ball grid array footprint and method for assembling the same
IL145136A0 (en) 2001-08-27 2002-06-30 Multiple plate tip or sample scanning reconfigurable scanning probe microscope with transparent interfacing of far-field optical microscopes
IL145191A0 (en) 2001-08-30 2002-06-30 Aaron Lewis Optically amplifying near-field optical signals
US6740474B2 (en) * 2001-11-06 2004-05-25 Eastman Kodak Company Technique for making deep microstructures in photoresist
AU2002364001B2 (en) * 2001-12-17 2008-09-11 Northwestern University Patterning of solid state features by direct write nanolithographic printing
US7994450B2 (en) * 2002-01-07 2011-08-09 International Business Machines Corporation Debris minimization and improved spatial resolution in pulsed laser ablation of materials
US7998528B2 (en) * 2002-02-14 2011-08-16 Massachusetts Institute Of Technology Method for direct fabrication of nanostructures
US7098056B2 (en) * 2002-08-09 2006-08-29 Nanoink, Inc. Apparatus, materials, and methods for fabrication and catalysis
US8071168B2 (en) * 2002-08-26 2011-12-06 Nanoink, Inc. Micrometric direct-write methods for patterning conductive material and applications to flat panel display repair
US7005378B2 (en) * 2002-08-26 2006-02-28 Nanoink, Inc. Processes for fabricating conductive patterns using nanolithography as a patterning tool
US7223438B2 (en) * 2002-09-17 2007-05-29 Northwestern University Patterning magnetic nanostructures
US7491422B2 (en) * 2002-10-21 2009-02-17 Nanoink, Inc. Direct-write nanolithography method of transporting ink with an elastomeric polymer coated nanoscopic tip to form a structure having internal hollows on a substrate

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101925977B (zh) * 2008-01-30 2013-02-27 韩国标准科学研究院 修复光掩模的装置及方法
CN101638219A (zh) * 2008-07-31 2010-02-03 首尔大学校产学协力团 纳米图形化中凸起效应的去除
CN101638219B (zh) * 2008-07-31 2013-01-09 首尔大学校产学协力团 纳米图形化中凸起效应的去除方法
CN101344717B (zh) * 2008-08-15 2011-05-18 深圳市路维电子有限公司 光罩修补液
CN101726633B (zh) * 2008-10-16 2011-12-07 京元电子股份有限公司 具修补层的测试载板
CN101738514B (zh) * 2008-11-11 2013-03-20 京元电子股份有限公司 具有修补层金属垫的测试载板制造方法
CN109478558B (zh) * 2016-03-22 2020-05-05 Xtpl股份有限公司 用于在基板上形成结构的方法和设备
CN109478558A (zh) * 2016-03-22 2019-03-15 Xtpl股份有限公司 用于在基板上形成线结构的自下而上法
CN107015029B (zh) * 2017-05-11 2019-12-13 四川理工学院 原子力显微镜接触模式表征用碳素材料样本的制做方法
CN107015029A (zh) * 2017-05-11 2017-08-04 四川理工学院 原子力显微镜接触模式表征用碳素材料样本的制做方法
CN111480105A (zh) * 2017-11-24 2020-07-31 浜松光子学株式会社 晶圆的检查方法及晶圆
CN111480105B (zh) * 2017-11-24 2022-05-31 浜松光子学株式会社 晶圆的检查方法及晶圆
US11624902B2 (en) 2017-11-24 2023-04-11 Hamamatsu Photonics K.K. Wafer inspection method and wafer
CN110627376A (zh) * 2019-09-24 2019-12-31 上海电机学院 一种纳米SiO2超疏水涂层及制备工艺和用途
CN111063610A (zh) * 2019-12-30 2020-04-24 上海集成电路研发中心有限公司 光刻缺陷修复方法
CN111063610B (zh) * 2019-12-30 2024-02-02 上海集成电路研发中心有限公司 光刻缺陷修复方法
TWI816149B (zh) * 2020-06-30 2023-09-21 德商卡爾蔡司Smt有限公司 用於設定微影光罩之至少一圖案元素之至少一側壁角度的方法及設備、用於使用至少一具質量粒子束檢驗微影光罩之至少一缺陷的方法及設備、以及包含指令之電腦程式
CN114014262A (zh) * 2021-10-13 2022-02-08 电子科技大学 一种石墨烯量子点阵列的微纳复合制备方法

Also Published As

Publication number Publication date
KR20050071599A (ko) 2005-07-07
EP1556737A2 (en) 2005-07-27
WO2004038504A2 (en) 2004-05-06
ATE419558T1 (de) 2009-01-15
AU2003290531A8 (en) 2004-05-13
EP1556737B1 (en) 2008-12-31
WO2004038504A3 (en) 2004-11-04
JP2006504136A (ja) 2006-02-02
AU2003290531A1 (en) 2004-05-13
KR101101698B1 (ko) 2011-12-30
DE60325629D1 (de) 2009-02-12
US20040175631A1 (en) 2004-09-09
US7691541B2 (en) 2010-04-06

Similar Documents

Publication Publication Date Title
CN1726431A (zh) 纳米级设计结构、其制造方法及设备以及在掩模修复、增强和制造上的应用
Sreenivasan Nanoimprint lithography steppers for volume fabrication of leading-edge semiconductor integrated circuits
Mirkin The power of the pen: development of massively parallel dip-pen nanolithography
Cabrini et al. Nanofabrication handbook
Huo et al. Polymer pen lithography
Resnick et al. Imprint lithography for integrated circuit fabrication
Liddle et al. Lithography, metrology and nanomanufacturing
Li et al. Elucidating the role of surface hydrolysis in preparing organosilane nanostructures via particle lithography
TW200426496A (en) Formation of discontinuous films during an imprint lithography process
CN1444494A (zh) 利用扫描探针显微镜针尖的方法及其产品或产品的制作方法
Takeishi et al. Nanoimprint system development and status for high volume semiconductor manufacturing
Imboden et al. Top-down nanomanufacturing
Garno et al. Directed electroless growth of metal nanostructures on patterned self-assembled monolayers
Takashima et al. Nanoimprint system development and status for high-volume semiconductor manufacturing
Schoot et al. Next-generation lithography–an outlook on EUV projection and nanoimprint
Singh et al. Defect reduction of high-density full-field patterns in jet and flash imprint lithography
Resnick et al. Imprint lithography: lab curiosity or the real NGL
Tiginyanu et al. Nanoimprint lithography (NIL) and related techniques for electronics applications
Nuraje et al. Biomineralization Nanolithography: Combination of Bottom‐Up and Top‐Down Fabrication To Grow Arrays of Monodisperse Gold Nanoparticles Along Peptide Lines
Ye et al. Defect reduction for semiconductor memory applications using jet and flash imprint lithography
US20100096766A1 (en) Imprint Lithography System and Method
Melliar-Smith Lithography beyond 32 nm: a role for imprint
Emoto et al. Defectivity and particle reduction for mask life extension, and imprint mask replication for high-volume semiconductor manufacturing
Haaheim et al. Self‐leveling two‐dimensional probe arrays for Dip Pen Nanolithography®
Yang et al. Nano‐fabrication: A review

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1088079

Country of ref document: HK

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication
REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1088079

Country of ref document: HK