CN1649126A - 用于在半导体器件中形成互连线的方法及互连线结构 - Google Patents

用于在半导体器件中形成互连线的方法及互连线结构 Download PDF

Info

Publication number
CN1649126A
CN1649126A CNA2005100058323A CN200510005832A CN1649126A CN 1649126 A CN1649126 A CN 1649126A CN A2005100058323 A CNA2005100058323 A CN A2005100058323A CN 200510005832 A CN200510005832 A CN 200510005832A CN 1649126 A CN1649126 A CN 1649126A
Authority
CN
China
Prior art keywords
layer
interlayer insulating
insulating film
oxidation barrier
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100058323A
Other languages
English (en)
Other versions
CN100349281C (zh
Inventor
李敬雨
慎烘縡
金在鹤
魏荣振
李承珍
朴起宽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1649126A publication Critical patent/CN1649126A/zh
Application granted granted Critical
Publication of CN100349281C publication Critical patent/CN100349281C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60PVEHICLES ADAPTED FOR LOAD TRANSPORTATION OR TO TRANSPORT, TO CARRY, OR TO COMPRISE SPECIAL LOADS OR OBJECTS
    • B60P7/00Securing or covering of load on vehicles
    • B60P7/06Securing of load
    • B60P7/08Securing to the vehicle floor or sides
    • B60P7/0807Attachment points
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60YINDEXING SCHEME RELATING TO ASPECTS CROSS-CUTTING VEHICLE TECHNOLOGY
    • B60Y2200/00Type of vehicle
    • B60Y2200/10Road Vehicles
    • B60Y2200/14Trucks; Load vehicles, Busses
    • B60Y2200/145Haulage vehicles, trailing trucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Transportation (AREA)
  • Mechanical Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了用于形成互连线的方法和互连线结构。该方法包括:在半导体衬底上形成层间绝缘层,其中该层间绝缘层由掺碳的低k值介电层形成;在该层间绝缘层上形成氧化阻挡层;在该氧化阻挡层上形成氧化物覆盖层;在该氧化物覆盖层、氧化阻挡层和层间绝缘层中形成通孔;在该通孔之内形成导电层图案。

Description

用于在半导体器件中形成互连线的方法及互连线结构
本发明要求于2004年1月28日提交的韩国专利申请No.2004-5520的优先权,其全部内容在此引入以做参考。
技术领域
本发明涉及一种用于制作半导体器件的方法。更具体而言,本发明一般性地涉及一种用于在半导体器件中形成互连线的方法和一种互连线结构。
背景技术
由于半导体器件的设计规则变得更小了,用于互连和驱动组件的互连线的密度增大,而互连线的宽度减小。这样一来,由于互连线之间的间距变小,寄生电容增大。此外,由于互连线的截面积减小,互连线电阻增大。互连线电阻和寄生电容的增大导致了电阻-电容延迟(RC延迟),这降低了整个电路中电信号的速度。RC延迟降低了半导体器件的总的工作速度并增大了功耗。为了实现高度集成的半导体器件必须要解决RC延迟的问题。
使用具有低电阻率的导电材料降低了互连线的电阻;在金属镶嵌(damascene)工艺中铜是一种合适的互连线材料。金属镶嵌工艺分为单金属镶嵌工艺和双金属镶嵌工艺。美国专利No.4944836公开了一种传统的单金属镶嵌工艺,美国专利No.4789648公开了一种传统的双金属镶嵌工艺。美国专利No.6057239公开了一种利用牺牲填充层的传统双金属镶嵌工艺。
为了减少寄生电容,使用由低k值介电材料、例如有机金属硅酸盐玻璃(OSG)制成的层间绝缘层或金属间绝缘层来隔离互连线。不过,与氧化硅相比,低k值的介电材料机械性质和化学性质要差些。结果,当形成的互连线具有低k值介电材料层间绝缘层或使用金属间绝缘层时,在后续的等离子型干法蚀刻或平坦化工艺中该低k值介电层会劣化。这样一来,为了防止低k值介电层劣化,在低k值介电层上形成一氧化物覆盖层(oxide cappinglayer)。
不过,在等离子工艺期间,低k值介电层中的碳会与氧反应。在形成氧化物覆盖层时使用氧作为氧化剂。低k值介电层的氧化在该层的表面上引起多孔特征。结果,在湿法清洗工艺中低k值介电层会发生严重的钻蚀(undercutting)。该湿法清洗工艺在通过构图氧化物覆盖层和低k值介电层于低k值介电层中形成沟槽或通孔之后使用。而且,如果低k值介电层的介电常数有变化,则半导体器件的可靠性就会劣化。
发明内容
本发明的实施例提供了用于形成半导体器件的互连线的方法。本发明的实施例还提供了一种半导体器件的互连线结构。
因此,本发明公开了一种用于形成半导体器件的互连线的方法,其步骤为:在半导体衬底上形成一层间绝缘层,该层间绝缘层由低k值掺碳(carbon-doped)的介电材料形成;在该层间绝缘层上形成一氧化阻挡层;在该氧化阻挡层上形成一氧化物覆盖层;穿过氧化物覆盖层、氧化阻挡层和层间绝缘层形成一通孔;以及在该通孔之内形成一导电层图案。
另一实施例公开了一种用于形成半导体器件的互连线的方法,其步骤为:在半导体衬底上形成一层间绝缘层,该层间绝缘层由低k值掺碳的介电材料形成;在该层间绝缘层上形成一氧化阻挡层;在该氧化阻挡层上形成一氧化物覆盖层;在氧化物覆盖层、氧化阻挡层和层间绝缘层中形成一双金属镶嵌图案;以及在该双金属镶嵌图案之内形成一导电图案。
本发明还公开了一种互连线结构,其包括:一半导体衬底,一置于半导体衬底上的低k值掺碳介电层,一置于低k值掺碳介电层上的氧化阻挡层,氧化阻挡层和低k值掺碳介电层中的开口,以及填充在该开口内的导电层图案。
附图说明
通过以下对优选实施例的描述和附图,本发明的各方面将会非常明了。
图1到图4为依据本发明第一实施例的用于形成半导体器件的互连线的方法的横截面图;
图5到图11为依据本发明第二实施例的用于形成半导体器件的互连线的方法的横截面图;
图12和图13为依据本发明第三实施例的用于形成半导体器件的互连线的方法的横截面图;以及
图14A和图14B为扫描电子显微镜图像,用于比较形成半导体器件的互连线的常规方法和依据本发明一个实施例的形成半导体器件的互连线的方法。
具体实施方式
以下将参照附图对本发明做更为充分的描述,附图中示出了本发明的示例性实施例。附图中,为清晰起见夸大了层和区域的厚度。应当理解,当称一个元件,例如一层、一个区域或一衬底在另一元件“上”或“到其上”时,它可以直接在另一元件上或者还可能存在插入元件。
图1到图4为依据本发明第一实施例的用于形成半导体器件的互连线的方法的横截面图。
参考图1,层间绝缘层104形成于半导体衬底100上。导电区域102形成在半导体衬底100中。导电区域102优选为其中注入了P型或N型杂质离子的杂质扩散区域。层间绝缘层104由低k值的掺碳介电材料形成。在本发明的实施例中,低k值介电材料具有低于氧化硅的介电常数,氧化硅具有约为4的介电常数。层间绝缘层104优选由SiOC或SiOCH的OSG层形成。例如,低k值掺碳介电层为一有机旋涂聚合物层(organic spin-on polymerlayer),例如SiLKTM,其可从Dow Chemical Co.购买。当层间绝缘层104由OSG层形成时,该OSG层优选由等离子增强型化学气相淀积(PECVD)工艺形成。在这种情况下,可以使用Novellus的CORALTM、Applied Materials,Inc.的Black DiamondTM、Sumitomo Chemical America,Inc.的Surmika FilmTM或ASM International的AURORATM作为前体(precursor)。
氧化阻挡层106形成于层间绝缘层104上。氧化阻挡层106优选为碳氮化硅(SiCN)层。SiCN层优选由PECVD工艺形成。在这种情况下,甲基硅烷(methyl silane)、二甲基硅烷(dimethyl silane)、三甲基硅烷(trimethylsilane)或四甲基硅烷(tetramethyl silane)可以用作硅和碳源,而NH3和He气可用作反应气体。氧化阻挡层106形成为约100或更小的厚度,优选约50或更小。
接着,氧化物覆盖层108形成于氧化阻挡层106上。氧化物覆盖层108防止了层间绝缘层104在后续的干法蚀刻工艺中劣化。氧化物覆盖层108优选由,例如,未掺杂硅酸盐玻璃(USG)、氟化硅酸盐玻璃(FSG)或利用PECVD工艺形成的原硅酸四乙酯(TEOS)形成。来自于形成氧化物覆盖层108期间使用的等离子体反应的活性氧可以氧化层间绝缘层104的上部。不过,插入层间绝缘层104和氧化物覆盖层108之间的氧化阻挡层106阻止了层间绝缘层104上部的氧化。
参考图2,在氧化物覆盖层108上形成光致抗蚀剂图案110以暴露出氧化物覆盖层108的预定区域。利用光致抗蚀剂图案110作为蚀刻掩模对氧化物覆盖层108、氧化阻挡层106和层间绝缘层104进行构图。构图优选通过等离子体各向异性蚀刻工艺执行。结果,形成通孔112以暴露出导电区域102。如有必要,可以在半导体衬底100和层间绝缘层104之间形成蚀刻停止层(未示出)以防止在各向异性蚀刻工艺中损伤到导电区域102的上部。导电区域102上的蚀刻停止层可以在各向异性蚀刻工艺期间或者通过额外的湿法蚀刻工艺蚀刻。
参考图3,光致抗蚀剂图案110经由灰化工艺被除去。然后进行湿法清洗以去除来自各向异性蚀刻工艺的任何蚀刻副产品,或者去除任何形成在导电区域102上的氧化层。湿法清洗工艺优选利用基于氟的蚀刻剂,例如稀释HF(DHF)执行。氧化阻挡层106防止了在湿法清洗工艺期间在层间绝缘层104上部之上的钻蚀。
湿法清洗工艺之后,形成导电层116以完全填充通孔112。导电层116优选为铜。可选择地,导电层116可以是金属层,例如Al和W,或者导电层116可以是多晶硅层。如有必要,可以在氧化物覆盖层108、导电区域102和通孔112的侧壁上形成扩散阻挡层114以阻止层间绝缘层104和导电层116之间的扩散或反应。扩散阻挡层114由Ta、TaN、TaAlN、TaSiN、TaSi2、Ti、TiN、WN和TiSiN的至少一个叠层形成。
参照图4,导电层116被平坦化,以形成填充通孔112的导电层图案116′。例如,导电层116优选被化学和机械抛光,以暴露出氧化物覆盖层108。如果形成了扩散阻挡层114,其形成在氧化物覆盖层108上的部分被除去。在这种情况下,氧化物覆盖层108防止了层间绝缘层104在抛光工艺中被暴露。可选择地,也可以进行化学和机械抛光工艺直至打磨掉导电层116、氧化物覆盖层108和氧化阻挡层106,从而暴露出层间绝缘层104。可以防止因氧化物覆盖层108和氧化阻挡层106所致的寄生电容。
在下文中,将会依据本发明第一实施例参照图4描述半导体器件的互连线结构。
该互连线结构包括半导体衬底100和置于半导体衬底100上的层间绝缘层104。层间绝缘层104由低k值的掺碳介电层形成。可以优选地在半导体衬底100和层间绝缘层104之间插入蚀刻停止层(未示出)。氧化阻挡层106位于层间绝缘层104上。氧化阻挡层106优选由SiCN形成。此外,氧化阻挡层106约为100或更薄,更优选地,厚度约50或更小。氧化阻挡层106防止了层间绝缘层104的上部被氧化。此外,依据本发明第一实施例,优选地,进一步在氧化阻挡层106上设置氧化物覆盖层108。通过填充通孔112在该通孔内形成导电层图案116′。通孔112穿过氧化物覆盖层108、氧化阻挡层106和层间绝缘层104。如有必要,可以进一步在导电层图案116′和通孔112的内壁之间插入扩散阻挡层114。
图5到图11为依据本发明第二实施例的用于形成半导体器件的互连线的方法的横截面图;
参照图5,在半导体衬底300上形成蚀刻停止层304。在半导体衬底300中形成导电区域302。导电区域302优选为通过向半导体衬底300中注入P型或N型杂质离子而形成的杂质扩散区域。蚀刻停止层304优选由SiN、SiC或SiCN形成。蚀刻停止层304防止导电区域302在后续的湿法清洗工艺或后续的用以形成通孔的各向异性蚀刻工艺中暴露并受损。可以如第一实施例那样使用同样的方法和材料,依次形成低k值的掺碳介电材料的层间绝缘层306、氧化阻挡层308和氧化物覆盖层310。氧化阻挡层308优选由厚度约100或更小的SiCN层形成;且优选地,厚度为约50或更小。
接着,在氧化物覆盖层310、氧化阻挡层308和层间绝缘层306内形成双金属镶嵌图案以暴露蚀刻停止层304。
参考图6,在氧化物覆盖层310上形成第一光致抗蚀剂图案312以暴露出氧化物覆盖层310的预定区域。利用第一光致抗蚀剂图案312作为蚀刻掩模各向异性地蚀刻氧化物覆盖层310、氧化阻挡层308和层间绝缘层306,以形成通孔314,从而暴露出蚀刻停止层304。
参考图7,第一光致抗蚀剂图案312经由灰化工艺被除去。提供牺牲填充层316以完全填充通孔314。然后在牺牲填充层316上形成第二光致抗蚀剂图案318。牺牲填充层316防止了在后续用以形成沟槽的各向异性蚀刻工艺中暴露出导电区域302。牺牲填充层316是由旋涂工艺形成的氢化硅倍半氧烷(hydro silses quioxane,HSQ)。
参照图8,使用第二光致抗蚀剂图案318作为蚀刻掩模,各向异性地蚀刻牺牲填充层316、氧化物覆盖层310、氧化阻挡层308和层间绝缘层306的一部分以形成沟槽320。结果,形成了包括通孔314和沟槽320的双金属镶嵌图案322。
参照图9,经过额外的灰化工艺除去第二光致抗蚀剂图案318。然后除去残留在层间绝缘层306和通孔314上的任何牺牲填充层316。如果牺牲填充层316为HSQ,优选经由利用含HF的蚀刻剂的湿法蚀刻工艺除去任何残留层。然后除去由双金属镶嵌图案322暴露的蚀刻停止层304以暴露出导电区域302。如果蚀刻停止层304为氮化硅,优选经由利用含磷酸的蚀刻剂的湿法蚀刻工艺除去它。
在上述实施例中,进行了数个湿法蚀刻或湿法清洗工艺。执行这些工艺是为了,例如除去形成通孔314和沟槽320之后的任何蚀刻副产品,或者除去任何用作牺牲填充层316的HSQ。此外,进行额外的湿法清洗工艺以去除形成在经由双金属镶嵌图案322暴露的导电区域302上的氧化层。
参照图10,形成导电层326以完全填充双金属镶嵌图案322。导电层326优选为铜。在形成导电层326之前,可以可选地在氧化物覆盖层310、暴露的导电区域302和双金属镶嵌图案322的内壁上形成扩散阻挡层324。扩散阻挡层324优选由Ta、TaN、TaAlN、TaSiN、TaSi2、Ti、TiN、WN和TiSiN的至少一个叠层形成。
参照图11,平坦化导电层326以形成导电层图案326′。例如,优选化学和机械抛光导电层326以暴露氧化物覆盖层310,从而形成导电层图案326′。如果形成了扩散阻挡层324,也除去它。氧化物覆盖层310防止了在抛光过程中暴露出层间绝缘层306。换言之,氧化物覆盖层310防止了层间绝缘层306的抛光工艺期间的浆体污染或物理损伤。
导电层326、氧化物覆盖层310和氧化阻挡层308可选择性地经受化学和机械抛光以暴露出层间绝缘层306。结果,可以防止氧化物覆盖层310和氧化阻挡层308所致的寄生电容。
在下文中,将会依据本发明第二实施例参照图11描述半导体器件的互连线结构。
本发明第二实施例的互连线结构包括半导体衬底300和设置于半导体衬底300上的层间绝缘层306。层间绝缘层306由低k值掺碳介电层形成。优选在半导体衬底300和层间绝缘层306之间插入蚀刻停止层304。氧化阻挡层308位于层间绝缘层306上。氧化阻挡层308优选由SiCN形成。此外,氧化阻挡层308约为100或更薄,且更优选地具有约50或更小的厚度。氧化阻挡层308防止了层间绝缘层306的上部被氧化。此外,依据第二实施例,优选在氧化阻挡层308上进一步设置氧化物覆盖层310。设置导电层图案326′以填充双金属镶嵌图案322,该导电层图案326′穿过氧化物覆盖层310、氧化阻挡层308和层间绝缘层306。双金属镶嵌图案322包括沟槽320和通孔314,它们依次分别设置在层间绝缘层322的上部和下部。双金属镶嵌图案322暴露出导电区302。而且,如有必要,可以进一步在导电层图案326′和双金属镶嵌图案322的内壁之间插入扩散阻挡层324。
图12和图13为依据本发明第三实施例的用于形成半导体器件的互连线的方法的横截面图。
参照图12,在具有导电区域502的半导体衬底500上依次形成下蚀刻停止层504、第一层间绝缘层506、上蚀刻停止层508和第二层间绝缘层510。下蚀刻停止层504和上蚀刻停止层508中的每一层都优选为SiN、SiC、或SiCN。第一层间绝缘层506优选由低k值的掺碳介电材料形成,如第一和第二实施例中所述的那样,但也可由介电常数高于该低k值掺碳介电材料的材料形成。换言之,第一层间绝缘层506可以由通过CVD方法形成的氧化硅层、磷硅酸盐玻璃(PSG)层、USG层、FSG层、高密度等离子体(HDP)层、TEOS层或旋涂玻璃(SOG)层形成。第二层间绝缘层510由本发明第一和第二实施例中所述的低k值的掺碳介电材料形成。然后如本发明第一实施例那样使用同样的方法和材料在第二层间绝缘层510上形成氧化阻挡层512和氧化物覆盖层514。
参照图13,在氧化物覆盖层514、由SICN形成的氧化阻挡层512、第二层间绝缘层510、上蚀刻停止层508和第一层间绝缘层506中形成双金属镶嵌图案520以暴露出导电区域502上的下蚀刻停止层504。
以下将简要描述第二和第三实施例之间的差异。第一,依次构图氧化物覆盖层514、氧化阻挡层512、第二层间绝缘层510、上蚀刻停止层508和第一层间绝缘层506以形成通孔,从而暴露出导电区域502上的下蚀刻停止层504。如有必要,可以如第二实施例所述选择性地执行形成牺牲填充层的工艺。然后对氧化物覆盖层514、氧化阻挡层512和第二层间绝缘层510构图,直到暴露出上蚀刻停止层508,以在第二层间绝缘层510中形成沟槽518,其至少与通孔516重叠。随后的工艺步骤与本发明第二实施例相同。可选择地,在用以除去下蚀刻停止层504的工艺中,也可以一起除去上蚀刻停止层508。
图14A和图14B为扫描电子显微镜图像,用于比较形成半导体器件的互连线的常规方法和依据本发明一实施例的形成半导体器件的互连线的方法。
参照图14A,在半导体衬底10上依次形成OSG层12和作为覆盖层的USG层14。依次构图USG层14和OSG层12以在OSG层12中形成沟槽16。使用LAL溶液(HF和NH4Cl的混合溶液)执行湿法清洗工艺90秒钟。结果,可以看出,在沟槽16的上部中OSG层12处发生了钻蚀“U”,如图14A所示。
参照图14B,在半导体衬底20上依次形成OSG层22、由SiCN形成的氧化阻挡层24和作为覆盖层的USG层26。通过PECVD方法形成SiCN氧化阻挡层24,厚度为70。在该对比实例中,工艺反应室的温度在300℃到400℃ 之间,而压力为1Torr至10Torr。向工艺室中注入作为反应气体的三甲基硅烷和NH3以及He。依次构图USG层26、SiCN氧化阻挡层24和OSG层22以在OSG层22中形成沟槽28。使用LAL溶液执行湿法清洗工艺90秒钟。如图14B所示,抑制了钻蚀“U”的发生。
如上所述,根据本发明,在低k值的掺碳介电材料上形成氧化阻挡层并在氧化阻挡层上形成氧化物覆盖层。结果,防止了低k值掺碳介电层的上部被氧化。此外,还防止了低k值掺碳介电层的氧化,因而抑制了在后续湿法清洗工艺中低k值掺碳介电层上部的钻蚀。
此外,当形成半导体器件的互连线时,低k值掺碳介电层的特性没有变化,从而提高了半导体器件的可靠性。
已经在此公开了本发明的优选实施例,尽管使用了专门的术语,但只是在一般性和叙述性的意义上使用并解释它们,并非出于限制的目的。因此,本领域普通技术人员应当理解,在不背离本发明范围的前提下可以做出各种形式和细节上的变化。

Claims (31)

1.一种形成用于半导体器件的互连线的方法,包括以下步骤:
在一半导体衬底上形成一层间绝缘层,所述层间绝缘层由低k值的掺碳介电材料形成;
在所述层间绝缘层上形成一氧化阻挡层;
在所述氧化阻挡层上形成一氧化物覆盖层;
形成一通过所述氧化物覆盖层、所述氧化阻挡层和所述层间绝缘层的通孔;以及
在所述通孔之内形成一导电层图案。
2.如权利要求1所述的方法,其中所述低k值的掺碳介电材料为有机硅酸盐玻璃或有机旋涂聚合物。
3.如权利要求1所述的方法,其中所述氧化阻挡层形成为小于约100的厚度。
4.如权利要求1所述的方法,其中所述氧化阻挡层由碳氮化硅形成。
5.如权利要求1所述的方法,其中形成所述导电层图案包括以下步骤:
用导电材料填充所述通孔;以及
抛光所述导电材料以暴露出所述氧化物覆盖层。
6.如权利要求5所述的方法,其中抛光所述导电材料还包括抛光所述氧化物覆盖层和所述氧化阻挡层以暴露所述层间绝缘层。
7.如权利要求1所述的方法,还包括:
在形成所述层间绝缘层之前形成一蚀刻停止层。
8.如权利要求7所述的方法,还包括:
在形成所述通孔期间去除所述蚀刻停止层。
9.如权利要求7所述的方法,还包括:
在形成所述通孔之后利用湿法蚀刻工艺去除所述蚀刻停止层。
10.如权利要求1所述的方法,还包括在所述氧化物覆盖层和所述通孔的侧壁上形成一扩散阻挡层。
11.一种形成用于半导体器件的互连线的方法,包括以下步骤:
在一半导体衬底上形成一层间绝缘层,所述层间绝缘层由低k值的掺碳介电材料形成;
在所述层间绝缘层上形成一氧化阻挡层;
在所述氧化阻挡层上形成一氧化物覆盖层;
在所述氧化物覆盖层、所述氧化阻挡层和所述层间绝缘层中形成双金属镶嵌图案;以及
在所述双金属镶嵌图案之内形成一导电层图案。
12.如权利要求11所述的方法,其中所述低k值的掺碳介电材料是有机硅酸盐玻璃或有机旋涂聚合物。
13.如权利要求11所述的方法,其中所述氧化阻挡层形成为小于约100的厚度。
14.如权利要求11所述的方法,其中所述氧化阻挡层由碳氮化硅形成。
15.如权利要求11所述的方法,其中形成所述导电层图案包括以下步骤:
用导电材料填充所述双金属镶嵌图案;以及
抛光所述导电材料以暴露出所述氧化物覆盖层。
16.如权利要求15所述的方法,其中抛光所述导电材料还包括抛光所述氧化物覆盖层和所述氧化阻挡层以暴露所述层间绝缘层。
17.如权利要求11所述的方法,还包括在形成所述层间绝缘层之前形成一蚀刻停止层。
18.如权利要求11所述的方法,还包括在所述氧化物覆盖层和所述双金属镶嵌图案的侧壁上形成一扩散阻挡层。
19.一种形成半导体器件的互连线的方法,包括以下步骤:
在半导体衬底上形成堆叠绝缘层,该堆叠绝缘层包括依次堆叠的一下蚀刻停止层,一第一层间绝缘层,一上蚀刻停止层,以及一第二层间绝缘层,并且至少所述第二层间绝缘层由低k值的掺碳介电材料形成;
在所述第二层间绝缘层上形成一氧化阻挡层;
在所述氧化阻挡层上形成一氧化物覆盖层;
在所述氧化物覆盖层、所述氧化阻挡层和所述堆叠绝缘层中形成双金属镶嵌图案;以及
在所述双金属镶嵌图案之内形成一导电层图案。
20.一种互连线结构,包括:
设置于一半导体衬底上的低k值掺碳介电层;
设置于所述低k值掺碳介电层上的氧化阻挡层;
所述氧化阻挡层和所述低k值掺碳介电层中的开口;以及
填充于所述开口之内的一导电层图案。
21.如权利要求20所述的互连线结构,其中所述氧化阻挡层具有小于约100的厚度。
22.如权利要求20所述的互连线结构,其中所述氧化阻挡层为碳氮化硅。
23.如权利要求20所述的互连线结构,其中所述开口为所述氧化阻挡层和所述低k值掺碳介电层中的一通孔。
24.如权利要求20所述的互连线结构,还包括:
设置于所述半导体衬底和所述低k值掺碳介电层之间的蚀刻停止层;
设置于所述低k值掺碳介电层上的氧化物覆盖层;以及
设置于所述通孔中的扩散阻挡层。
25.如权利要求20所述的互连线结构,其中所述开口为一包括沟槽和通孔的双金属镶嵌图案,该沟槽和通孔相继分别设置在所述低k值掺碳介电层的上部和下部中。
26.一种互连线结构,包括:
设置于半导体衬底上的堆叠绝缘层,该堆叠绝缘层包括依次堆叠的一下蚀刻停止层,一第一层间绝缘层,一上蚀刻停止层,以及一第二层间绝缘层,并且至少所述第二层间绝缘层为低k值掺碳介电层;
设置于所述第二层间绝缘层上的一氧化阻挡层;
所述氧化阻挡层和堆叠绝缘层中的双金属镶嵌图案;以及
填充于所述双金属镶嵌图案中的一导电层图案。
27.如权利要求26所述的互连线结构,还包括:
设置于所述低k值掺碳介电层上的氧化物覆盖层;以及
设置于所述双金属镶嵌图案中的扩散阻挡层。
28.如权利要求26所述的互连线结构,其中所述氧化阻挡层具有小于约100的厚度。
29.如权利要求26所述的互连线结构,其中所述氧化阻挡层为碳氮化硅。
30.如权利要求26所述的互连线结构,其中所述第一层间绝缘层具有约4以上的介电常数。
31.如权利要求26所述的互连线结构,其中所述第一层间绝缘层为一低k值掺碳介电层。
CNB2005100058323A 2004-01-28 2005-01-27 用于在半导体器件中形成互连线的方法及互连线结构 Expired - Fee Related CN100349281C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020040005520A KR100593737B1 (ko) 2004-01-28 2004-01-28 반도체 소자의 배선 방법 및 배선 구조체
KR5520/2004 2004-01-28
KR5520/04 2004-01-28

Publications (2)

Publication Number Publication Date
CN1649126A true CN1649126A (zh) 2005-08-03
CN100349281C CN100349281C (zh) 2007-11-14

Family

ID=34651529

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100058323A Expired - Fee Related CN100349281C (zh) 2004-01-28 2005-01-27 用于在半导体器件中形成互连线的方法及互连线结构

Country Status (5)

Country Link
US (1) US7635645B2 (zh)
EP (1) EP1560264B1 (zh)
JP (1) JP2005217412A (zh)
KR (1) KR100593737B1 (zh)
CN (1) CN100349281C (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103165515A (zh) * 2011-12-08 2013-06-19 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103633018A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN110783257A (zh) * 2018-07-24 2020-02-11 爱思开海力士有限公司 具有对称的导电互连图案的半导体器件

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
JP4549937B2 (ja) * 2005-06-17 2010-09-22 パナソニック株式会社 半導体装置の製造方法
US7531448B2 (en) * 2005-06-22 2009-05-12 United Microelectronics Corp. Manufacturing method of dual damascene structure
KR100657166B1 (ko) * 2005-08-30 2006-12-13 동부일렉트로닉스 주식회사 구리 금속 배선의 형성 방법
KR100769133B1 (ko) * 2005-12-30 2007-10-22 동부일렉트로닉스 주식회사 반도체 소자의 구리 배선 형성 방법
JP4948278B2 (ja) * 2006-08-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2008044181A1 (en) * 2006-10-09 2008-04-17 Nxp B.V. Method of forming an interconnect structure
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7884019B2 (en) * 2007-06-07 2011-02-08 Texas Instruments Incorporated Poison-free and low ULK damage integration scheme for damascene interconnects
US7829369B2 (en) * 2007-07-12 2010-11-09 Aptina Imaging Corporation Methods of forming openings
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP5554951B2 (ja) 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8916469B2 (en) * 2013-03-12 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
KR101579669B1 (ko) * 2014-01-29 2015-12-23 (주) 이피웍스 제조 비용 및 제조 시간을 저감하고 종횡비를 향상시키는 실리콘 인터포저의 제조방법
TWI746624B (zh) * 2016-09-01 2021-11-21 美商Asm Ip控股公司 形成碳氫基底極薄膜之保護層的方法
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
DE102018131694A1 (de) * 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selektives abscheiden einer metallsperrschicht bei damascene-prozessen
CN113053941A (zh) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20210138927A (ko) * 2020-05-13 2021-11-22 에스케이하이닉스 주식회사 반도체 장치 제조방법
US11978668B2 (en) 2021-09-09 2024-05-07 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6720249B1 (en) 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
KR100479796B1 (ko) 2000-09-11 2005-03-31 동경 엘렉트론 주식회사 반도체 소자 및 이의 제조 방법
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6566283B1 (en) 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
KR100416596B1 (ko) 2001-05-10 2004-02-05 삼성전자주식회사 반도체 소자의 연결 배선 형성 방법
US6448185B1 (en) * 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US6509267B1 (en) 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6798043B2 (en) 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
US6734096B2 (en) 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
US20030155657A1 (en) 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
JP2003332340A (ja) * 2002-05-10 2003-11-21 Renesas Technology Corp 半導体装置の製造方法
JP4340729B2 (ja) 2002-06-10 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置とその製造方法
US7129162B2 (en) * 2002-12-30 2006-10-31 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes
US6767827B1 (en) * 2003-06-11 2004-07-27 Advanced Micro Devices, Inc. Method for forming dual inlaid structures for IC interconnections
JP2005183766A (ja) * 2003-12-22 2005-07-07 Hitachi Ltd 半導体装置及びその製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103165515A (zh) * 2011-12-08 2013-06-19 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103165515B (zh) * 2011-12-08 2015-03-11 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103633018A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN103633018B (zh) * 2012-08-29 2016-03-16 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN110783257A (zh) * 2018-07-24 2020-02-11 爱思开海力士有限公司 具有对称的导电互连图案的半导体器件
CN110783257B (zh) * 2018-07-24 2023-11-17 爱思开海力士有限公司 具有对称的导电互连图案的半导体器件

Also Published As

Publication number Publication date
CN100349281C (zh) 2007-11-14
US20050161821A1 (en) 2005-07-28
US7635645B2 (en) 2009-12-22
JP2005217412A (ja) 2005-08-11
KR20050077457A (ko) 2005-08-02
KR100593737B1 (ko) 2006-06-28
EP1560264B1 (en) 2013-04-24
EP1560264A1 (en) 2005-08-03

Similar Documents

Publication Publication Date Title
CN100349281C (zh) 用于在半导体器件中形成互连线的方法及互连线结构
CN1306590C (zh) 采用牺牲金属氧化物层形成双镶嵌金属互连的方法
JP4368498B2 (ja) 半導体装置、半導体ウェーハおよびこれらの製造方法
US6423628B1 (en) Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
CN1167107C (zh) 一种制造低介电常数中间层的集成电路结构的方法
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
MXPA97007616A (en) A method to manufacture an integrated circuit structure inter-levels of low constant dielectr
US20090017563A1 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US8415799B2 (en) Dual damascene interconnect in hybrid dielectric
US7790601B1 (en) Forming interconnects with air gaps
CN1245350A (zh) 半导体器件及制造该半导体器件的方法
KR20040079180A (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
CN1815711A (zh) 内连线结构及其形成方法
US6670709B2 (en) Semiconductor device and method of manufacturing the same
US6495448B1 (en) Dual damascene process
CN1198015A (zh) 半导体器件中的多层互连结构及其形成方法
WO2007091574A1 (ja) 多層配線構造および多層配線の製造方法
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US6537923B1 (en) Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6559033B1 (en) Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
US7517815B2 (en) Spin-on glass composition, method of preparing the spin-on glass composition and method of forming a porous silicon oxide layer using the spin-on glass composition
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
CN1661799A (zh) 半导体器件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071114

Termination date: 20210127

CF01 Termination of patent right due to non-payment of annual fee