JP2005217412A - 半導体素子の配線方法及び配線構造体 - Google Patents

半導体素子の配線方法及び配線構造体 Download PDF

Info

Publication number
JP2005217412A
JP2005217412A JP2005020364A JP2005020364A JP2005217412A JP 2005217412 A JP2005217412 A JP 2005217412A JP 2005020364 A JP2005020364 A JP 2005020364A JP 2005020364 A JP2005020364 A JP 2005020364A JP 2005217412 A JP2005217412 A JP 2005217412A
Authority
JP
Japan
Prior art keywords
film
interlayer insulating
insulating film
forming
antioxidant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005020364A
Other languages
English (en)
Inventor
Kyoung-Woo Lee
李 敬雨
Hong-Jae Shin
▲共▼縡 愼
Jae-Hak Kim
金 在鶴
Eishin Gi
榮振 魏
Seung-Jin Lee
承珍 李
Ki-Kwan Park
起▲寛▼ 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2005217412A publication Critical patent/JP2005217412A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60PVEHICLES ADAPTED FOR LOAD TRANSPORTATION OR TO TRANSPORT, TO CARRY, OR TO COMPRISE SPECIAL LOADS OR OBJECTS
    • B60P7/00Securing or covering of load on vehicles
    • B60P7/06Securing of load
    • B60P7/08Securing to the vehicle floor or sides
    • B60P7/0807Attachment points
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60YINDEXING SCHEME RELATING TO ASPECTS CROSS-CUTTING VEHICLE TECHNOLOGY
    • B60Y2200/00Type of vehicle
    • B60Y2200/10Road Vehicles
    • B60Y2200/14Trucks; Load vehicles, Busses
    • B60Y2200/145Haulage vehicles, trailing trucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Transportation (AREA)
  • Mechanical Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 炭素ドーピングされた低誘電膜上に酸化物キャッピング層を形成する間に、炭素ドーピングされた低誘電膜が劣化することを防止する半導体素子の配線方法と、その半導体素子の配線方法による配線構造体とを提供する。
【解決手段】 半導体素子の配線方法は、半導体基板上に層間絶縁膜104を形成することを含み、該層間絶縁膜104は炭素ドーピングされた低誘電率膜で形成される。該層間絶縁膜104上に酸化防止膜106を形成する。該酸化防止膜106上に酸化物キャッピング層108を形成する。前記酸化物キャッピング層108、前記酸化防止膜106及び前記層間絶縁膜104を貫通するビアホールを形成する。該ビアホール内に導電膜パターン116’を形成する。
【選択図】 図4

Description

本発明は、半導体素子の製造方法に関し、特に半導体素子の配線方法及び配線構造体(method of forming interconnection line and interconnection line structure in semiconductor device)に関する。
半導体素子のデザインルールが微細化するにつれて、該半導体素子を構成する各構成要素を電気的に連結させて回路装置として動作させるための配線の密度は増加し、線の幅は減少する。その結果、配線間の間隔の減少によって寄生キャパシタンスが増加し、配線の断面積の減少によって配線抵抗が増加する。このような配線抵抗及び寄生キャパシタンスの増加は、回路により伝達される電気的信号の流れを遅滞させる抵抗−キャパシタンス遅延(RC delay)をもたらす。該抵抗−キャパシタンス遅延は、半導体素子の全体的な動作速度を減少させて、電力消費を増加させることになり、半導体素子の高集積化のためには解決せねばならない問題である。
前記配線抵抗を減少させるための方案としては、低い比抵抗を有する導電性材料を適用する方法が考えられ、このような導電性材料としての銅は前記半導体素子の配線材料として相応しい。銅を使用して半導体素子の配線を形成する方法にはダマシーン(damascene)工程が適用される。該ダマシーン工程は、シングルダマシーン(single damascene)工程とデュアルダマシーン(dual damascene)工程に分類される。これに関し、典型的なシングルダマシーン工程は特許文献1に開示されており、デュアルダマシーン工程は特許文献2に開示されている。また、犠牲充填膜(sacrificial filling layer)を適用したデュアルダマシーン工程が特許文献3に開示されている。
一方、前記寄生キャパシタンスを減少させるためには、前記配線間の隔離のための層間絶縁膜(inter layer dielectric)または金属間絶縁膜(inter metallic dielectric)を低誘電率物質で形成する必要があり、代表的な低誘電率物質としてはオルガノシリケートガラス(organo silicate glass;OSG)がある。しかしながら、前記低誘電率物質は、従来の半導体素子の層間絶縁膜または金属間絶縁膜として使われたシリコン酸化膜に比べて機械的、化学的に脆弱な特性を有する。その結果、前記低誘電率物質を前記層間絶縁膜または金属間絶縁膜として使って半導体素子の配線を形成する場合、特にダマシーン工程による場合には後続工程、例えばプラズマ方式の乾式エッチングまたは平坦化工程で前記低誘電率物質が劣化することがある。従って、後続工程で前記低誘電率物質が劣化することを防ぐために前記低誘電率物質上に酸化物キャッピング層(oxide capping layer)が形成される。
しかしながら、前記層間絶縁膜または金属間絶縁膜が前記OSG膜の場合には、前記OSG膜上に前記酸化物キャッピング層を形成する間に酸化剤として使われるプラズマによる反応性酸素によって、前記OSG膜内の炭素がデプレーション(depletion)されて前記OSG膜の上部が酸化される。このようにOSG膜の上部が酸化されると、酸化された部分の膜の密度が落ちて多孔質の特性をあらわすことになる。その結果、前記酸化物キャッピング層及び前記OSG膜をパターニングして前記OSG膜内にビアホールまたはトレンチを形成した後、湿式洗浄を実施する場合に前記ビアホール上部またはトレンチ上部の前記OSG膜から発生するアンダーカット(undercut)がさらに激しくなることもある。また、前記OSG膜の誘電率の特性が変わる場合は、全体的な半導体素子の信頼性が低下する恐れがある。
米国特許第4,944,836号明細書 米国特許第4,789,648号明細書 米国特許第6,057,239号明細書
本発明が解決しようとする技術的課題は、炭素ドーピングされた低誘電膜上に酸化物キャッピング層を形成する間に前記炭素ドーピングされた低誘電膜が劣化することを防止する半導体素子の配線方法を提供することにある。
本発明が解決しようとする他の技術的課題は、前記半導体素子の配線方法による半導体素子の配線構造体を提供することにある。
本発明の一態様によると、シングルダマシーン工程による半導体素子の配線方法を提供する。この方法は、半導体基板上に層間絶縁膜を形成して、前記層間絶縁膜は炭素ドーピングされた低誘電膜で形成する段階を含む。前記層間絶縁膜上に酸化防止膜を形成する。次に、前記酸化防止膜上に酸化物キャッピング層を形成する。前記酸化物キャッピング層、前記酸化防止膜及び前記層間絶縁膜を貫通するビアホールを形成する。前記ビアホール内に導電膜パターンを形成する。
本発明の他の態様によると、デュアルダマシーン工程による半導体素子の配線方法を提供する。この方法は、半導体基板上に層間絶縁膜を形成する段階を含み、前記層間絶縁膜は炭素ドーピングされた低誘電膜で形成する。前記層間絶縁膜上に酸化防止膜を形成する。次に、前記酸化防止膜上に酸化物キャッピング層を形成する。前記キャッピング層、前記酸化防止膜及び前記層間絶縁膜を貫通するデュアルダマシーンパターンを形成する。前記デュアルダマシーンパターン内に導電膜パターンを形成する。
本発明のまた他の態様によると、半導体素子の配線構造体を提供する。前記半導体素子の配線構造体は、半導体基板上に配置されている炭素ドーピングされた低誘電膜を備える。前記炭素ドーピングされた低誘電膜上に酸化防止膜が配置される。前記酸化防止膜内、及び前記炭素ドーピングされた低誘電膜内に開口部が配置される。導電膜パターンが前記開口部を埋める。
本発明では、炭素ドーピングされた低誘電膜上に酸化防止膜を形成して前記酸化防止膜上に酸化物キャッピング層を形成することになる。その結果、前記酸化物キャッピング層を形成する間に、前記炭素ドーピングされた低誘電膜の上部が酸化され酸化された部分の膜の密度が落ちて多孔質化することを防止することができる。
また、前記炭素ドーピングされた低誘電膜の酸化が防止されることによって、後続の湿式洗浄中に前記炭素ドーピングされた低誘電膜の上部から発生する可能性があるアンダーカットを抑制することができる。
また、本発明によると、半導体素子の配線の形成において、層間絶縁膜として使われる前記炭素ドーピングされた低誘電膜の特性が工程中に変わることを防止して、全体的な半導体素子の信頼性を向上させることができる。
以下、添付した図面を参照して本発明の好ましい実施形態を詳しく説明する。しかしながら、本発明はここで説明される実施形態に限定されず、他の形態でも具体化される。むしろ、ここで紹介される実施形態は、開示された内容が徹底的で完全になるように、そして当業者に本発明の思想を充分に伝達できるようにするために提供されるものである。図面において、層及び領域の厚さは明確性を期するために誇張されたものである。明細書全体にかけて同一の参照番号は同一の構成要素をあらわす。
図1から図4は、本発明の第1実施形態による半導体素子の配線方法を説明するための断面図である。
図1に示すように、まず半導体基板100上に層間絶縁膜104を形成する。前記半導体基板100には、導電領域102が形成される。前記導電領域102は、半導体基板内にP型またはN型の不純物イオンを注入して形成された不純物拡散領域でもある。前記層間絶縁膜104は、炭素ドーピングされた低誘電膜で形成する。前記低誘電膜は、およそ4の誘電率を有するシリコン酸化膜よりも低い誘電率を有する誘電膜を意味する。好ましくは、前記炭素ドーピングされた低誘電膜は、化学組成物SiOCまたはSiOCHとして簡略に表されるOSG膜でもある。また、前記炭素ドーピングされた低誘電膜は、例えば米国ミシガン州ミドルランド所在のDow Chemicals社から入手できる商品名SiLKTM(登録商標)のような有機スピンオンポリマー(organic spin on polymer)膜でもあり、その他に炭素を含む低誘電膜として当業者によって適用できる低誘電膜を含む。以下、本明細書で使われる「炭素ドーピングされた低誘電膜」という用語は、同じ意味で使われる。前記層間絶縁膜104を前記OSG膜で形成する場合に前記OSG膜は、好ましくはPECVD(plasma enhenced CVD)法を適用して形成することができる。この際、前駆物質としては、例えば米国カリフォルニア州サンホセ所在のNovellus社の商品名CORAL(登録商標)、米国カリフォルニア州サンタクララ所在のApplied Materials社の商品名Black Diamond(登録商標)、米国サンタクララに所在するSumitomo Chemical America社の商品名Sumika Film(登録商標)、または日本のASM InternaTional社の商品名AURORA(登録商標)などが使われる。
続いて、図1に示すように、前記層間絶縁膜104上に酸化防止膜106を形成する。前記酸化防止膜106は、窒化シリコンカーバイド(SiCN)膜で形成することができる。前記SiCNは、例えばPECVD法で形成することができる。このとき、シリコン及び炭素ソースとしてはメチルシラン(methyl silane)、デメチルシラン(dimethyl silane)、トリメチルシラン(trimethyl silane)またはテトラメチルシラン(tetramethyl silane)が使われ、反応ガスとしてアンモニア(NH3)及びヘリウム(He)が使われる。
次に、前記酸化防止膜106上に酸化物キャッピング層108を形成する。前記酸化物キャッピング層108は、乾式エッチング工程のような後続工程中で前記層間絶縁膜104が劣化することを防ぐために形成する。前記酸化物キャッピング層108は、例えばPECVD法によるUSG(undoped silicate glass)膜、FSG(fluorinated silicate glass)膜、またはTEOS(tetra ethyl ortho silicate)膜で形成することができる。前記酸化物キャッピング層108を形成する過程で発生するプラズマによる反応性酸素は、炭素ドーピングされた低誘電膜で構成された前記層間絶縁膜104上部を酸化させることができる。しかし、本実施形態においては、前述したように前記層間絶縁膜104と前記酸化物キャッピング層108との間に前記酸化防止膜106を介在させることによって、前記層間絶縁膜104の上部が酸化されることを防ぐことができる。前記酸化防止膜106は、全体の配線形成工程に負担を与えない範囲で前記層間絶縁膜104の酸化を防ぐことができる厚さを有することが好ましい。前記酸化防止膜106は、およそ100Å以下の厚さを有するように形成することができ、好ましくは、およそ50Å以下の厚さを有するように形成することができる。
図2に示すように、前記酸化物キャッピング層108上に前記酸化物キャッピング層108の所定領域を露出させるフォトレジストパターン110を形成する。以後、前記フォトレジストパターン110をエッチングマスクとして使って、前記酸化物キャッピング層108、前記酸化防止膜106及び前記層間絶縁膜104を連続的にパターニングする。前記パターニングは、例えばプラズマ方式の異方性エッチングによって実施される。その結果、前記酸化物キャッピング層108、前記酸化防止膜106及び前記層間絶縁膜104を貫いて前記導電領域102を露出させるビアホール112が形成される。一方、場合によっては、前記異方性エッチング過程で前記導電領域102上部が損傷されることを防ぐために、前記層間絶縁膜104を形成する前に、前記半導体基板100上にエッチング停止膜(図示せず)をさらに形成することもできる。前記エッチング停止膜を形成した場合には、前記導電領域102上部の前記エッチング停止膜は前記異方性エッチング過程で同時にエッチングされるか、または別途の湿式エッチング工程によって除去することができる。
図3に示すように、まずは前記フォトレジストパターン110を除去する。該フォトレジストパターン110は、アッシング(ashing)工程を通して除去することができる。次に、前記異方性エッチング過程で発生したエッチング副産物を除去するか、または前記導電領域102上に形成された自然酸化膜を除去するための湿式洗浄を実施する。該湿式洗浄は、例えばDHF(dilute HF)のような弗素系列の化学溶液をエッチング液として使って実施することができる。本実施形態による場合、前述したようにSiCNの酸化防止膜106を形成することによって、前記酸化物キャッピング層108の形成中に前記炭素ドーピングされた低誘電膜からなる前記層間絶縁膜104の上部が酸化されて酸化された部分の膜質が劣化することを防止することができる。その結果、前記湿式洗浄中に前記層間絶縁膜104上部から発生するアンダーカット(undercut)を最大に抑制することができる。
前記湿式洗浄を実施した後に、前記ビアホール112を埋める導電膜116を形成する。好ましくは、該導電膜116は銅で形成される。その他に該導電膜116は、アルミニウム(Al)、タングステン(W)などの金属膜、またはポリシリコン膜で形成することができる。一方、場合によっては前記導電膜116を形成する前に、前記導電膜116と前記層間絶縁膜104との間の拡散または反応を防ぐために前記ビアホール112の内壁、前記ビアホール112によって露出した前記導電領域102、及び前記酸化物キャッピング層108上にコンフォーマルな拡散障壁層114をさらに形成することができる。前記拡散障壁層114は、Ta、TaN、TaAlN、TaSiN、TaSi2、Ti、TiN、WN及びTiSiNからなる一群から選択された一つの物質膜、または少なくとも二つの物質の積層膜で形成することができる。
図4に示すように、前記導電膜116を平坦化させて前記ビアホール112内に導電膜パターン116′を形成する。すなわち、前記酸化物キャッピング層108が露出するように前記導電膜116を化学的機械的研磨(chemical mechanical polishing)して、前記ビアホール112内に残存する導電膜パターン116′を形成する。前記拡散障壁層114を形成した場合には、前記層間絶縁膜104の上部に形成された部分の前記拡散障壁層114もこの過程で同時に研磨されて除去される。この場合、前記酸化物キャッピング層108は、前記層間絶縁膜104が研磨環境に露出されて研磨スラリーによって汚染されるか、または研磨途中で機械的な損傷を受けることを防止する役目をする。一方、前記化学的機械的研磨は、前記層間絶縁膜104が露出されるまで実施することができる。すなわち、前記層間絶縁膜104が露出されるように前記導電膜116、前記酸化物キャッピング層108及び前記酸化防止膜106を連続的に化学的機械的研磨(chemical mechanical polishing)することによって、前記酸化物キャッピング層108及び前記酸化防止膜106により寄生キャパシタンスが増加することを防止することができる。
以下、図4を参照して本発明の第1実施形態による半導体素子の配線構造体を説明する。
本発明の第1実施形態による半導体素子の配線構造体は、半導体基板100、及び前記半導体基板100上に形成された層間絶縁膜104を備える。該層間絶縁膜104は、炭素ドーピングされた低誘電膜で形成される。前記半導体基板100と前記層間絶縁膜104との間には、エッチング停止膜(図示せず)を介在させることができる。前記層間絶縁膜104上に酸化防止膜106が形成される。該酸化防止膜106はSiCN膜でもある。また、該酸化防止膜106は、およそ100Å以下の厚さ、好ましくは、およそ50Å以下の厚さを有することができる。前記酸化防止膜106は、前記炭素ドーピングされた低誘電膜からなった前記層間絶縁膜104の上部が酸化されることを防止する役目をする。前記酸化防止膜106上に酸化物キャッピング層108が形成される。前記酸化物キャッピング層108、前記酸化防止膜106及び前記層間絶縁膜104を貫通するビアホール112内に該ビアホール112を埋める導電膜パターン116′が形成される。前記ビアホール112の内壁と前記導電膜パターン116′との間に拡散障壁層114がさらに介在する。
図5から図11は、本発明の第2実施形態による半導体素子の配線方法を説明するための断面図である。
図5に示すように、半導体基板300上にエッチング停止膜304を形成する。前記半導体基板300には導電領域302が形成される。該導電領域302は、半導体基板内にP型またはN型の不純物イオンを注入して形成された不純物拡散領域でもある。前記エッチング停止膜304は、シリコン窒化膜(SiN)、シリコンカーバイド膜(SiC)または窒化シリコンカーバイド膜(SiCN)で形成することができる。前記エッチング停止膜304は、後続のビアホール形成のための異方性エッチング工程の時、または湿式洗浄時に前記導電領域302が露出されて電気的特性が損傷されることを防ぐために形成する。次に、前記本発明の第1実施形態と同様な方法、及び同様な物質を適用して前記エッチング停止膜304上に炭素ドーピングされた低誘電膜からなる層間絶縁膜306、酸化防止膜308、及び酸化物キャッピング層310を順に形成する。前記酸化防止膜308は、SiCN膜で形成することができ、およそ100Å以下の厚さを有するように形成することができる。好ましくは、前記酸化防止膜308は、およそ50Å以下の厚さを有するように形成することができる。
次に、前記酸化物キャッピング層310、前記酸化防止膜308及び前記層間絶縁膜306を貫いて前記導電領域302上部の前記エッチング停止膜304を露出させるデュアルダマシーンパターンを形成する。以下では、ビアファースト(via first)法を例にあげて前記デュアルダマシーンパターンを形成する方法について説明する。しかし、本発明の思想はここに限定されず、トレンチファースト(trench first)法などのデュアルダマシーンパターンを形成する多様な方法に適用されることは勿論のことである。
図6に示すように、まずは、前記酸化物キャッピング層310上に前記酸化物キャッピング層310の所定領域を露出させる第1フォトレジストパターン312を形成する。以後、前記第1フォトレジストパターン312をエッチングマスクとして使って、前記酸化物キャッピング層310、前記酸化防止膜308及び前記層間絶縁膜306を順に異方性エッチングして、前記導電領域302上部の前記エッチング停止膜304を露出させるビアホール314を形成する。
図7に示すように、アッシング工程を実施して前記第1フォトレジストパターン312を除去する。次に、前記酸化物キャッピング層310上に少なくとも前記ビアホール314の一部と重畳する、好ましくは、前記ビアホール314を含む開口部を有する第2フォトレジストパターン318を形成する。一方、前記第2フォトレジストパターン318を形成する前に前記ビアホール314を埋める犠牲充填膜(sacrificial filling layer)316をさらに形成することができる。前記犠牲充填膜316は、後続のトレンチ形成のための異方性エッチング工程中で前記ビアホール314によって露出した部分の前記エッチング停止膜304が早期にオープンされて、前記エッチング停止膜304下部の導電領域302が露出されることを防ぐために形成する。前記犠牲充填膜316は、スピンオン(spin on)方式のHSQ(hydro silses quioxane)膜で形成することができる。前記犠牲充填膜316を形成した場合に、前記第2フォトレジストパターン318は、前記犠牲充填膜316上に形成される。以下では前記犠牲充填膜316を形成した場合について説明する。
図8に示すように、前記第2フォトレジストパターン318をエッチングマスクとして使って、前記層間絶縁膜306の上部が部分的に除去されるように前記犠牲充填膜316、前記酸化物キャッピング層310、前記酸化防止膜308及び前記層間絶縁膜306を異方性エッチングして、前記層間絶縁膜306の上部に少なくとも前記ビアホール314の一部と重畳するトレンチ320を形成する。その結果、前記層間絶縁膜306内に前記ビアホール314及び前記トレンチ320で構成されるデュアルダマシーンパターン322が形成される。
図9に示すように、アッシング工程を実施して前記第2フォトレジストパターン318を除去する。次に、前記ビアホール314の内部、及び前記層間絶縁膜306の上部に残存する前記犠牲充填膜316を除去する。前記犠牲充填膜316がHSQ膜の場合に残存する前記HSQ膜は、HFを含む化学溶液をエッチング液として使った湿式エッチングによって除去することができる。以後、前記デュアルダマシーンパターン322によって露出した部分の前記エッチング停止膜304を取り除いて、前記エッチング停止膜304下部の前記導電領域302を露出させる。前記エッチング停止膜304がシリコン窒化膜の場合に前記露出した部分のエッチング停止膜304は、燐酸(phosphoric acid)を含む化学溶液をエッチング液として使った湿式エッチングを通じて除去することができる。
一方、前述の工程を実施する過程で、複数回の湿式エッチングまたは湿式洗浄が実施される。例えば、前記ビアホール314及び前記トレンチ320を形成した後に残存するエッチングの副産物を取り除くための湿式洗浄、または前述したように犠牲充填膜316として使われた残存HSQ膜を取り除くための湿式エッチングが実施される。その他に前記デュアルダマシーンパターン322によって露出された導電領域302上に形成された自然酸化膜を取り除くための湿式洗浄工程が実施される。本実施形態によれば、前述したように酸化防止膜308を形成することによって、前記酸化物キャッピング層310の形成中に前記炭素ドーピングされた低誘電膜からなる前記層間絶縁膜306の上部が酸化されて、酸化された部分の膜質が劣化することを防ぐことができる。その結果、前記湿式洗浄または湿式エッチング中に前記層間絶縁膜306上部で発生するアンダーカット(undercut)を最大に抑制することができる。
図10に示すように、前記デュアルダマシーンパターン322によって露出された部分の前記エッチング停止膜304を取り除いた後に、前記デュアルダマシーンパターン322を完全に埋める導電膜326を形成する。好ましくは、前記導電膜326は銅膜で形成することができる。一方、前記導電膜326を形成する前に、前記デュアルダマシーンパターン322の内壁、前記デュアルダマシーンパターン322によって露出された前記導電領域302、及び前記酸化物キャッピング層310上にコンフォーマルな拡散障壁層324をさらに形成することができる。前記拡散障壁層324は、Ta、TaN、TaAlN、TaSiN、TaSi2、Ti、TiN、WN及びTiSiNからなる一群から選択された一つの物質膜、または少なくとも二つの物質の積層膜で形成することができる。
図11に示すように、前記導電膜326を平坦化させて前記デュアルダマシーンパターン322内に導電膜パターン326′を形成する。すなわち、前記酸化物キャッピング層310が露出するように前記導電膜326を化学的機械的研磨(chemical mechanical polishing)して、前記デュアルダマシーンパターン322内に残存する導電膜パターン326′を形成する。前記拡散障壁層324を形成した場合には、前記層間絶縁膜306の上部に形成された部分の前記拡散障壁層324もこの過程で同時に研磨されて除去される。この場合、前記酸化物キャッピング層310は、前記層間絶縁膜306が研磨環境に露出されて研磨スラリーによって汚染されたり、研磨途中に機械的な損傷を受けたりすることを防止する役目をする。一方、前記化学的機械的研磨は、前記層間絶縁膜306が露出するまで実施することができる。すなわち、前記層間絶縁膜306が露出するように前記導電膜326、前記酸化物キャッピング層310及び前記酸化防止膜308を連続的に化学的機械的研磨(chemical mechanical polishing)することによって、前記酸化物キャッピング層310及び前記酸化防止膜308により寄生キャパシタンスが増加することを防ぐことができる。
以下、図11を参照して本発明の第2実施形態による半導体素子の配線構造体を説明する。
半導体基板300上に層間絶縁膜306が形成される。前記層間絶縁膜306は、炭素ドーピングされた低誘電膜で形成される。前記半導体基板300と前記層間絶縁膜306との間には、エッチング停止膜304が介在することができる。前記層間絶縁膜306上に酸化防止膜308が形成される。前記酸化防止膜308はSiCN膜でもある。また、前記酸化防止膜308は、およそ100Å以下の厚さを有することができ、好ましくは、およそ50Å以下の厚さを有することができる。前記酸化防止膜308は、前記炭素ドーピングされた低誘電膜からなる前記層間絶縁膜306の上部が酸化されることを防止する役目をする。前記酸化防止膜308上に酸化物キャッピング層310がさらに配置される。前記酸化物キャッピング層310、前記酸化防止膜308及び前記層間絶縁膜306内にそれらを貫通するデュアルダマシーンパターン322が形成される。該デュアルダマシーンパターン322を埋めるように導電膜パターン326′が配置される。前記デュアルダマシーンパターン322は、前記層間絶縁膜306の上部領域及び下部領域に連続的に形成されて、前記半導体基板300、もっと詳しくは、前記半導体基板300の導電領域302を露出させるトレンチ320及びビアホール314を含む。前記デュアルダマシーンパターン322の内壁と前記導電膜パターン326′との間に拡散障壁層324が介在される。
図12及び図13は、本発明の第3実施形態による半導体素子の配線方法を説明するための断面図である。
図12に示すように、導電領域502を有する半導体基板500上に下部エッチング停止膜504、第1層間絶縁膜506、上部エッチング停止膜508及び第2層間絶縁膜510を順に形成する。前記下部エッチング停止膜504及び前記上部エッチング停止膜508は、それぞれSiN膜、SiC膜またはSiCN膜で形成することができる。前記第1層間絶縁膜506は、前記本発明の第1実施形態で説明したような炭素ドーピングされた低誘電膜で形成することもできるが、これに限定されるのではない。例えば、前記第1層間絶縁膜506は、前記炭素ドーピングされた低誘電膜よりも高い誘電率を有するが、より強化された機械的特性を有する物質で形成することができる。前記第1層間絶縁膜506は、前記炭素ドーピングされた低誘電膜以外に、例えば、通常のCVD法によるシリコン酸化膜、PSG(phosphor silicate glass)膜、USG膜、FSG膜、HDP(high density plasma)膜、TEOS膜、またはSOG(spin on glass)膜で形成されることができ、これに限定されない。前記第2層間絶縁膜510は、前記本発明の第1実施形態で説明したような炭素ドーピングされた低誘電膜で形成する。次に、前記本発明の第1実施形態で説明したような方法及び物質を適用して、前記第2層間絶縁膜510上に酸化防止膜512及び酸化物キャッピング層514を形成する。
図13に示すように、前記酸化物キャッピング層514、前記SiCN酸化防止膜512、前記第2層間絶縁膜510、前記上部エッチング停止膜508及び前記第1層間絶縁膜506を貫いて前記導電領域502上部の前記下部エッチング停止膜504を露出させるデュアルダマシーンパターン520を形成する。本発明の第3実施形態によると、前記本発明の第2実施形態で説明したようなビアファースト法を適用して前記デュアルダマシーンパターン520を形成することができる。以下では、前記本発明の第2実施形態と異なるところについて説明する。まず、前記酸化物キャッピング層514、前記酸化防止膜512、前記第2層間絶縁膜510、前記上部エッチング停止膜508及び前記第1層間絶縁膜506を順にパターニングして前記導電領域502上部の前記下部エッチング停止膜504を露出させるビアホール516を形成する。次に、本発明の第2実施形態で説明したような犠牲充填膜の形成工程を追加として実施することができる。以後、前記上部エッチング停止膜508が露出されるまで前記酸化物キャッピング層514、前記SiCN酸化防止膜512及び前記第2層間絶縁膜510をパターニングして、前記第2層間絶縁膜510内に、少なくとも前記ビアホール516と重畳するトレンチ518を形成する。以下の工程は、前記本発明の第2実施形態で説明したものと同様である。ただし、前記デュアルダマシーンパターン520によって露出された部分の前記下部エッチング停止膜504を取り除く工程の中で、前記トレンチ518によって露出された部分の前記上部エッチング停止膜508も同時に除去することができる。
図14A及び図14Bは、従来の半導体素子の配線方法、及び本発明の一実験形態による半導体素子の配線方法を比較説明するための電子顕微鏡の写真である。
図14Aに示すように、比較例では、従来のように半導体基板10上にOSG膜12、及びキャッピング層としてのUSG膜14を順に形成した。以後、前記USG膜14及び前記OSG膜12を連続的にパターニングして前記OSG膜12内にトレンチ16を形成した後、LAL溶液(HF溶液及びNH4Cl溶液の混合溶液)を使って90秒間の湿式洗浄を実施した。その結果、図14Aに示すように前記トレンチ16上部の前記OSG膜12にアンダーカット(U)が発生することを確認できた。
図14Bに示すように、本発明の一実験形態では、半導体基板20上にOSG膜22、SiCN酸化防止膜24、及びキャッピング層としてのUSG膜26を順に形成した。前記SiCN酸化防止膜24は、PECVD法によって70Åの厚さに形成した。この際、前記半導体基板20の温度は300℃から400℃にして、チャンバの圧力は1Torr(133Pa)から10Torr(1.33kPa)に維持し、シリコン及び炭素ソースとしてトリメチルシランを、反応ガスとしてアンモニア及びヘリウムを前記チャンバ内に注入した。以後、前記USG膜26、前記SiCN酸化防止膜24及び前記OSG膜22を連続的にパターニングして前記OSG膜22内にトレンチを形成した後、図14Aに示す比較例のようにLAL溶液を使って90秒間湿式洗浄を実施した。その結果、図14Bに示すように前記SiCN酸化防止膜24を前記OSG膜22と前記USG膜26との間に形成した場合、前記トレンチ28上部の前記OSG膜22から発生したアンダーカットUが抑制されることが確認された。このような結果は、本実験形態によると、キャッピング層である前記USG膜26を形成する間に前記SiCN酸化防止膜24によって、前記OSG膜22の上部が酸化されて膜質が劣化することが防止されるためである。
本発明の第1実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第1実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第1実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第1実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第2実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第2実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第2実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第2実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第2実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第2実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第2実施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第3施形態による半導体素子の配線方法を説明するための断面図である。 本発明の第3施形態による半導体素子の配線方法を説明するための断面図である。 比較例としての従来の半導体素子の配線方法と、本発明の一実験形態による半導体素子の配線方法とを比較説明するための電子顕微鏡写真である。 比較例としての従来の半導体素子の配線方法と、本発明の一実験形態による半導体素子の配線方法とを比較説明するための電子顕微鏡写真である。
符号の説明
100 半導体基板、104 層間絶縁膜、106 酸化防止膜、108 酸化物キャッピング層、112 ビアホール、116 導電膜、116′ 導電膜パターン

Claims (31)

  1. 半導体基板上に層間絶縁膜を形成し、該層間絶縁膜は炭素ドーピングされた低誘電膜で形成される段階と、
    前記層間絶縁膜上に酸化防止膜を形成する段階と、
    前記酸化防止膜上に酸化物キャッピング層を形成する段階と、
    前記酸化物キャッピング層、前記酸化防止膜及び前記層間絶縁膜を貫通するビアホールを形成する段階と、
    前記ビアホール内に導電膜パターンを形成する段階と、
    を含むことを特徴とする半導体素子の配線方法。
  2. 前記炭素ドーピングされた低誘電膜は、OSG膜または有機スピンオンポリマー膜であることを特徴とする請求項1に記載の半導体素子の配線方法。
  3. 前記酸化防止膜は、100Å以下の厚さに形成されることを特徴とする請求項1に記載の半導体素子の配線方法。
  4. 前記酸化防止膜は、SiCN膜で形成することを特徴とする請求項1に記載の半導体素子の配線方法。
  5. 前記導電膜パターンを形成する段階は、
    前記ビアホールを埋める導電膜を形成する段階と、
    前記酸化物キャッピング層が露出されるように前記導電膜を研磨する段階と、
    を含むことを特徴とする請求項1に記載の半導体素子の配線方法。
  6. 前記導電膜を研磨する段階は、前記層間絶縁膜が露出されるように前記酸化物キャッピング層及び前記酸化防止膜を研磨する段階を含むことを特徴とする請求項5に記載の半導体素子の配線方法。
  7. 前記層間絶縁膜を形成する前にエッチング停止膜を形成する段階をさらに含むことを特徴とする請求項1に記載の半導体素子の配線方法。
  8. 前記ビアホールを形成する間に前記エッチング停止膜を除去する段階をさらに含むことを特徴とする請求項7に記載の半導体素子の配線方法。
  9. 前記ビアホールを形成した後に湿式エッチング工程を用いて前記エッチング停止膜を除去する段階をさらに含むことを特徴とする請求項7に記載の半導体素子の配線方法。
  10. 前記酸化物キャッピング層上と、前記ビアホールの内壁上とに拡散障壁層を形成する段階をさらに含むことを特徴とする請求項1に記載の半導体素子の配線方法。
  11. 半導体基板上に層間絶縁膜を形成し、該層間絶縁膜は炭素ドーピングされた低誘電膜で形成される段階と、
    前記層間絶縁膜上に酸化防止膜を形成する段階と、
    前記酸化防止膜上に酸化物キャッピング層を形成する段階と、
    前記酸化物キャッピング層、前記酸化防止膜及び前記層間絶縁膜を貫通するデュアルダマシーンパターンを形成する段階と、
    前記デュアルダマシーンパターン内に導電膜パターンを形成する段階と、
    を含むことを特徴とする半導体素子の配線方法。
  12. 前記炭素ドーピングされた低誘電膜は、OSG膜または有機スピンオンポリマー膜であることを特徴とする請求項11に記載の半導体素子の配線方法。
  13. 前記酸化防止膜は、100Å以下の厚さに形成されることを特徴とする請求項11に記載の半導体素子の配線方法。
  14. 前記酸化防止膜は、SiCN膜で形成することを特徴とする請求項11に記載の半導体素子の配線方法。
  15. 前記導電膜パターンを形成する段階は、
    前記デュアルダマシーンパターンを埋める導電膜を形成し、
    前記酸化物キャッピング層が露出するように前記導電膜を研磨する段階と、
    を含むことを特徴とする請求項11に記載の半導体素子の配線方法。
  16. 前記導電膜を研磨する段階は、前記層間絶縁膜が露出するように前記酸化物キャッピング層及び前記酸化防止膜を研磨する段階を含むことを特徴とする請求項15に記載の半導体素子の配線方法。
  17. 前記層間絶縁膜を形成する前にエッチング停止膜を形成する段階をさらに含むことを特徴とする請求項11に記載の半導体素子の配線方法。
  18. 前記酸化物キャッピング層上と、前記デュアルダマシーンパターンの内壁上とに拡散障壁層を形成する段階をさらに含むことを特徴とする請求項11に記載の半導体素子の配線方法。
  19. 半導体基板上に積層絶縁膜を形成し、該積層絶縁膜は順に積層された下部エッチング停止膜、第1層間絶縁膜、上部エッチング停止膜及び第2層間絶縁膜を含み、少なくとも前記第2層間絶縁膜は炭素ドーピングされた低誘電膜で形成される段階と、
    前記第2層間絶縁膜上に酸化防止膜を形成する段階と、
    前記酸化防止膜上に酸化物キャッピング層を形成する段階と、
    前記酸化物キャッピング層内、前記酸化防止膜内及び前記積層絶縁膜内にデュアルダマシーンパターンを形成する段階と、
    前記デュアルダマシーンパターン内に導電膜パターンを形成する段階と、
    を含むことを特徴とする半導体素子の配線方法。
  20. 半導体基板上に形成されている炭素ドーピングされた低誘電膜と、
    前記炭素ドーピングされた低誘電膜上に形成されている酸化防止膜と、
    前記酸化防止膜内、及び前記炭素ドーピングされた低誘電膜内の開口部と、
    前記開口部を埋める導電膜パターンと、
    を備えることを特徴とする半導体素子の配線構造体。
  21. 前記酸化防止膜は、100Å以下の厚さを有することを特徴とする請求項20に記載の半導体素子の配線構造体。
  22. 前記酸化防止膜は、SiCN膜であることを特徴とする請求項20に記載の半導体素子の配線構造体。
  23. 前記開口部は、前記酸化防止膜内、及び前記炭素ドーピングされた低誘電膜内のビアホールであることを特徴とする請求項20に記載の半導体素子の配線構造体。
  24. 前記半導体基板と前記炭素ドーピングされた低誘電膜との間に介在しているエッチング停止膜と、
    前記炭素ドーピングされた低誘電膜上に配置された酸化物キャッピング層と、
    前記ビアホールの内壁をコンフォーマルに覆う拡散障壁層と、
    をさらに備えることを特徴とする請求項23に記載の半導体素子の配線構造体。
  25. 前記開口部は、前記炭素ドーピングされた低誘電膜の上部領域内及び下部領域内に連続的に形成されたトレンチ及びビアホールを有するデュアルダマシーンパターンであることを特徴とする請求項20に記載の半導体素子の配線構造体。
  26. 半導体基板上に配置され、順に積層された下部エッチング停止膜、第1層間絶縁膜、上部エッチング停止膜及び第2層間絶縁膜を含み、少なくとも前記第2層間絶縁膜は炭素ドーピングされた低誘電膜からなる積層絶縁膜と、
    前記第2層間絶縁膜上に形成された酸化防止膜と、
    前記酸化防止膜内及び前記積層絶縁膜内のデュアルダマシーンパターンと、
    前記デュアルダマシーンパターンを埋める導電膜パターンと、
    を備えることを特徴とする半導体素子の配線構造体。
  27. 前記炭素ドーピングされた低誘電膜上に形成された酸化物キャッピング層と、
    前記デュアルダマシーンパターンの内壁をコンフォーマルに覆う拡散障壁層と、
    をさらに備えることを特徴とする請求項26に記載の半導体素子の配線構造体。
  28. 前記酸化防止膜は、100Å以下の厚さを有することを特徴とする請求項26に記載の半導体素子の配線構造体。
  29. 前記酸化防止膜は、SiCN膜であることを特徴とする請求項26に記載の半導体素子の配線構造体。
  30. 前記第1層間絶縁膜は、4以上の誘電率を有する絶縁膜であることを特徴とする請求項26に記載の半導体素子の配線構造体。
  31. 前記第1層間絶縁膜は、炭素ドーピングされた低誘電膜であることを特徴とする請求項26に記載の半導体素子の配線構造体。
JP2005020364A 2004-01-28 2005-01-27 半導体素子の配線方法及び配線構造体 Pending JP2005217412A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040005520A KR100593737B1 (ko) 2004-01-28 2004-01-28 반도체 소자의 배선 방법 및 배선 구조체

Publications (1)

Publication Number Publication Date
JP2005217412A true JP2005217412A (ja) 2005-08-11

Family

ID=34651529

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005020364A Pending JP2005217412A (ja) 2004-01-28 2005-01-27 半導体素子の配線方法及び配線構造体

Country Status (5)

Country Link
US (1) US7635645B2 (ja)
EP (1) EP1560264B1 (ja)
JP (1) JP2005217412A (ja)
KR (1) KR100593737B1 (ja)
CN (1) CN100349281C (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351899A (ja) * 2005-06-17 2006-12-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2010517307A (ja) * 2007-01-24 2010-05-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体キャップ層

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7531448B2 (en) * 2005-06-22 2009-05-12 United Microelectronics Corp. Manufacturing method of dual damascene structure
KR100657166B1 (ko) * 2005-08-30 2006-12-13 동부일렉트로닉스 주식회사 구리 금속 배선의 형성 방법
KR100769133B1 (ko) * 2005-12-30 2007-10-22 동부일렉트로닉스 주식회사 반도체 소자의 구리 배선 형성 방법
JP4948278B2 (ja) * 2006-08-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN103560107A (zh) * 2006-10-09 2014-02-05 英闻萨斯有限公司 形成互连结构的方法
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7884019B2 (en) * 2007-06-07 2011-02-08 Texas Instruments Incorporated Poison-free and low ULK damage integration scheme for damascene interconnects
US7829369B2 (en) * 2007-07-12 2010-11-09 Aptina Imaging Corporation Methods of forming openings
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP5554951B2 (ja) 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
CN103165515B (zh) * 2011-12-08 2015-03-11 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103633018B (zh) * 2012-08-29 2016-03-16 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
US8916469B2 (en) * 2013-03-12 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
KR101579669B1 (ko) * 2014-01-29 2015-12-23 (주) 이피웍스 제조 비용 및 제조 시간을 저감하고 종횡비를 향상시키는 실리콘 인터포저의 제조방법
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR20200011174A (ko) * 2018-07-24 2020-02-03 에스케이하이닉스 주식회사 대칭형 구조를 갖는 전도성 패턴들을 갖는 반도체 소자
CN113053941A (zh) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20210138927A (ko) * 2020-05-13 2021-11-22 에스케이하이닉스 주식회사 반도체 장치 제조방법
US11978668B2 (en) 2021-09-09 2024-05-07 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448185B1 (en) * 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
JP2003332340A (ja) * 2002-05-10 2003-11-21 Renesas Technology Corp 半導体装置の製造方法
JP2005183766A (ja) * 2003-12-22 2005-07-07 Hitachi Ltd 半導体装置及びその製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6720249B1 (en) 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
EP1777739A3 (en) 2000-09-11 2008-09-17 Tokyo Electron Limited Semiconductor device and fabrication method therefor
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6566283B1 (en) 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
KR100416596B1 (ko) 2001-05-10 2004-02-05 삼성전자주식회사 반도체 소자의 연결 배선 형성 방법
US6509267B1 (en) 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6798043B2 (en) 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
US6734096B2 (en) 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
US20030155657A1 (en) 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
JP4340729B2 (ja) 2002-06-10 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置とその製造方法
US7129162B2 (en) * 2002-12-30 2006-10-31 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes
US6767827B1 (en) * 2003-06-11 2004-07-27 Advanced Micro Devices, Inc. Method for forming dual inlaid structures for IC interconnections

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448185B1 (en) * 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
JP2003332340A (ja) * 2002-05-10 2003-11-21 Renesas Technology Corp 半導体装置の製造方法
JP2005183766A (ja) * 2003-12-22 2005-07-07 Hitachi Ltd 半導体装置及びその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351899A (ja) * 2005-06-17 2006-12-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP4549937B2 (ja) * 2005-06-17 2010-09-22 パナソニック株式会社 半導体装置の製造方法
JP2010517307A (ja) * 2007-01-24 2010-05-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体キャップ層

Also Published As

Publication number Publication date
KR100593737B1 (ko) 2006-06-28
KR20050077457A (ko) 2005-08-02
CN100349281C (zh) 2007-11-14
US7635645B2 (en) 2009-12-22
EP1560264A1 (en) 2005-08-03
EP1560264B1 (en) 2013-04-24
CN1649126A (zh) 2005-08-03
US20050161821A1 (en) 2005-07-28

Similar Documents

Publication Publication Date Title
JP2005217412A (ja) 半導体素子の配線方法及び配線構造体
US8420528B2 (en) Manufacturing method of a semiconductor device having wirings
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
JP4173374B2 (ja) 半導体装置の製造方法
US20120270390A1 (en) Semiconductor device and manufacturing method of the same
JP2004274053A (ja) ビアコンタクト構造体形成方法
US8415799B2 (en) Dual damascene interconnect in hybrid dielectric
JP2006041519A (ja) デュアルダマシン配線の製造方法
JP2006261440A (ja) 半導体装置およびその製造方法
JPWO2007078011A1 (ja) 多層配線の製造方法と多層配線構造
KR20180061473A (ko) 반도체 장치 및 그 제조 방법
JP2004349572A (ja) 半導体装置およびその製造方法
JP4340729B2 (ja) 半導体装置とその製造方法
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
JP2008047582A (ja) 半導体装置の製造方法及び半導体装置
JP4638139B2 (ja) 半導体素子の金属配線形成方法
US7307014B2 (en) Method of forming a via contact structure using a dual damascene process
TW202303759A (zh) 內連線結構的形成方法
KR100576367B1 (ko) 반도체 소자의 배선방법
US9564355B2 (en) Interconnect structure for semiconductor devices
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
JP2006140373A (ja) 半導体装置の製造方法
KR100994368B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080124

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111213

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111216

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120203

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120713