CN1627482A - 利用热处理制造薄介电层的方法及半导体器件 - Google Patents

利用热处理制造薄介电层的方法及半导体器件 Download PDF

Info

Publication number
CN1627482A
CN1627482A CNA2004100104793A CN200410010479A CN1627482A CN 1627482 A CN1627482 A CN 1627482A CN A2004100104793 A CNA2004100104793 A CN A2004100104793A CN 200410010479 A CN200410010479 A CN 200410010479A CN 1627482 A CN1627482 A CN 1627482A
Authority
CN
China
Prior art keywords
dielectric layer
heat treatment
layer
time
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100104793A
Other languages
English (en)
Other versions
CN100466196C (zh
Inventor
姜盛泽
尹胜范
韩晶昱
徐辅永
朴成佑
朴志薰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020030080574A external-priority patent/KR100546394B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1627482A publication Critical patent/CN1627482A/zh
Application granted granted Critical
Publication of CN100466196C publication Critical patent/CN100466196C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

在形成半导体器件的方法和根据该方法形成的半导体器件中,在下导电层和上导电层之间提供薄介电层。在一个实施例中,薄介电层由栅极间介电层构成,下导电层由浮置栅极构成,上介电层由晶体管例如非易失性存储单元晶体管的控制栅极构成。使用导致底层的浮置栅极的表面粗糙度减小和导致在浮置栅极上形成薄氮氧化硅层的热处理工艺形成薄介电层。在这种方式中,薄介电层提供了下浮置栅极和上控制栅极之间增强的电容耦合。这还导致晶体管的编程电压、擦除电压和读取电压降低,同时保持阈值电压在希望的范围中。另外,因为假定编程电压降低,则不需要激励电路,所以可以使晶体管和最终存储单元的尺寸小型化并且减轻对电路中高电压区的需要。

Description

利用热处理制造薄介电层的方法及半导体器件
本申请要求在2003年11月14日申请的韩国专利申请序列号2003-80574的优先权,其全部内容并入这里以作参考。
技术领域
本发明涉及一种薄介电层的制造方法,尤其涉及一种利用热处理制造半导体器件中的薄介电层的方法。本发明还涉及一种利用该方法形成的半导体器件。
背景技术
发现非易失性存储器广泛应用在不接受持续功率的电子系统中,例如应用在功率并不总是可利用的场合、功率频繁中断的场合、和/或希望使用低功率的场合。实例应用包括移动通信系统、用于存储音乐和/或图像数据的存储卡和包括处理装置和存储装置的芯片上系统应用。
在非易失性存储器中的单元晶体管通常采用形成在源/漏区之间的衬底的沟道区之上的叠栅结构。叠栅结构包括顺序叠置地形成在沟道上的栅绝缘层、浮置栅极电极、栅极间介电层和控制栅极电极。在晶体管编程阶段,浮置栅极电极和控制栅极电极电容耦合以给浮置栅极编程。同时,在编程阶段之后的晶体管操作期间,浮置栅极被隔离在栅绝缘层和栅极间介电层之间,以防止从浮置栅极到衬底或从浮置栅极到控制栅的电荷迁移。
栅绝缘层通常由例如SiO2的栅氧化物材料形成。形成在栅绝缘层上的浮置栅极包括诸如多晶硅的导电材料。在浮置栅极上形成栅极间介电层。接着在栅极间介电层上形成包括例如多晶硅的导电材料的控制栅。因此,在编程阶段,栅极间介电层必须足够薄以提供控制栅极和浮置栅极之间的足够电容耦合,以允许电荷流动从而能够在浮置栅极中存储电荷。而且,薄栅极间介电层引起器件速度增加。同时,在编程之后的晶体管操作期间,栅极间介电层必须足够厚以防止从浮置栅极到控制栅极的电荷迁移。
叠栅结构能示意地简化成为在施加给控制栅极的控制电压V控制和施加给衬底的衬底电压例如接地电压之间串联的两个电容。在控制栅极和浮置栅极之间提供第一电容(C1),并在浮置栅极和衬底之间提供第二电容(C2)。根据V浮置=V控制C1/(C1+C2)的关系,从而确定浮置栅极的所得电压(resultingvoltage)。在充电操作期间,相对于衬底在控制栅极上施加大的正电压。来自衬底的电子迁移并经由栅绝缘层穿透到浮置栅极中。由此初始电压V浮置被提供给浮置栅极。大的第一和第二电容倾向于维持浮置栅极的初始电压。相反,在放电操作期间,给控制栅极施加负电压,并且给衬底施加正电压。在放电操作期间,预先存储在浮置栅极中的电子经由栅绝缘层释放回衬底中。浮置栅极中的电荷数量改变了晶体管的阈值电压。按照此方式,在晶体管读操作期间,充电晶体管解释为第一二进制值,例如,“1”,并且放电晶体管解释为第二二进制值,例如,“0”。
在非易失性存储器中,主要考虑的是栅极间介电层被用于将浮置栅极与控制栅极隔离的特性。栅极间介电层必须能防止从浮置栅极到控制栅极的电荷迁移。为此,希望得到厚的栅极间介电层。同时,在编程操作期间,介电层应该尽可能薄以确保控制栅极和浮置栅极之间的电容耦合。为了进行充电和放电操作,浮置栅极存储器通常要求高的编程电压。例如,现代的快闪单元工作在使用控制栅极和衬底之间18伏电势的编程模式下。现代的电可擦除可编程只读存储(EEPROM)器件要求15伏电势。使用专门的激励电路(pumping Circuitry)在芯片上产生这种高电压,且需要专门的高电压晶体管将高电压施加到器件终端。这种大尺寸元件会消耗有用的芯片表面积,且会增加制造成本。
一些人建议栅极间介电层完全由SiO2或“氧化物”形成。然而,如果单独使用氧化物作为栅极间介电,它必须形成厚度至少150的层以便防止电荷从浮置栅极迁移到控制栅极,并防止多晶硅浮置栅极下面的表面粗糙度转移到浮置栅极。这种厚层要求非常高的编程电压以确保控制栅极和浮置栅极之间的电容耦合。
其它人建议用氮化硅SiN3、或“氮化物”形成栅极间介电层。与氧化物栅极间介电层相比,每单位厚度下,氮化物栅极间介电层提供的控制栅极和浮置栅极之间的电容耦合更强。然而,氮化物不会很好粘附到控制栅极和浮置栅极中的多晶硅材料,因此单独用作栅极间介电材料是不实用的。
考虑到上述问题,其它人建议氧化物-氮化物-氧化物(ONO)层的层组合用于形成栅极间介电层。在ONO层中,氮化物层实现了有益的电容耦合效应,而下和上氧化物层提供氮化物介电层和多晶硅控制栅极和浮置栅极之间的转移或缓冲层。然而,在这种结构中,由于浮置栅极的高度至少是40的表面粗糙度,所以为了足够覆盖底层拓扑,接触浮置栅极的下氧化物层必须形成至少60的厚度。由于下氧化物层具有保形的特性(conformingcharacteristics),所以底层浮置栅极的上表面拓扑(topology)转移给下氧化物层的上表面。接着是厚度为80的氮化物层。由于氮化物层也具有保形的特性,所以底层氧化物层的上表面拓扑转移给氮化物层的上表面。然后将厚60的第二氧化物层沉积在氮化物层上。该层,称为阻挡氧化物层,防止浮置栅极和控制栅极之间的电荷扩散。从而最终结构的厚度是190,其限制了器件的编程电压能够被降低的量。
发明内容
本发明涉及一种用于形成半导体器件的方法和根据在下导电层和上导电层之间提供薄介电层的方法形成的半导体器件。
在一个实施例中,薄介电层由栅极间介电层组成,下导电层由浮置栅极组成,上介电层由晶体管的控制栅极组成,晶体管例如是非易失性存储单元晶体管。以这种方式,薄介电层提供了下浮置栅极和上控制栅极之间增强的电容耦合。这还导致晶体管更低的编程电压、擦除电压和读取电压,同时保持阈值电压在希望的范围内。另外,由于假定更低的编程电压,则不需要激励电路,所以能够使晶体管和最终存储单元的尺寸小型化并减轻对电路中高电压区的需要。
用热处理工艺形成薄介电层,它导致底层浮置栅极的表面粗糙度减小并导致在浮置栅极上形成薄氮氧化硅层。在氮氧化硅层上形成介电层,以及组合层形成栅极间介电层。得到的薄介电层极好地阻碍了电荷从浮置栅极的迁移。
在第一方面,本发明涉及一种在第一和第二导电层之间形成导体间介电层的方法。提供第一导电层。在包括硅和氢的第一气体和包括氮的第二气体的气氛中,对第一导电层进行第一次热处理。在第一次热处理后,第一导电层在包括氮的第三气体的气氛中进行第二次热处理。第二次热处理后,在第一导电层上提供介电层。在介电层上提供第二导电层。
在一个实施例中,在大约700℃和800℃之间的温度范围内,例如在大约700℃和750℃之间的范围内,进行第一次热处理。在另一个实施例中,在大约730℃的温度持续时间大约1小时,或在大约800℃的温度持续时间大约30分钟,进行第一次热处理。
在一个实施例中,第一气体是硅烷,以及选自由从SiH4、SiH2、Si(CH3)H3和Si3H8构成的组中。第二气体选自由NH3和N2构成的组。第三气体可选地进一步包括氧,和例如选自由N2O和NO构成的组中。
在一个实施例中,第一次热处理改善了第一导电层的上表面的表面粗糙度。第二次热处理在第一导电层上提供一氮氧化物界面。氮氧化物界面例如由氮氧化硅SiOxNy材料组成,且厚度小于大约20。在一个实施例中,氮氧化物界面和介电层的组合厚度小于大约70厚。
在一个实施例中,介电层由选自由氧化物、氮化物和氮氧化物构成的组中的一种材料形成。在另一个实施例中,形成介电层包括经过第二次热处理后在第一导电层上形成第一介电层,和在第一介电层上形成第二介电层,在这种情况下,第一介电层由氮化物组成且其中第二介电层由氧化物组成。
在一个实施例中,第一和第二导电层包括多晶硅。在一个实施例中,第一导电层由在半导体衬底上形成的浮置栅极组成,以及第二导电层由在介电层上形成的控制栅极组成。
在另一方面,本发明涉及一种在半导体器件的浮置栅极和控制栅极之间形成一栅极间介电层的方法。在半导体衬底上形成栅绝缘层。在栅绝缘层上形成浮置栅极层。浮置栅极层在包括硅的第一气体和包括氮的第二气体的气氛中进行第一次热处理。第一次热处理之后,浮置栅极层在包括氮的第三气体的气氛中进行第二次热处理。在第二次热处理之后,在浮置栅极层上提供介电层。在介电层上提供控制栅极层。构图栅绝缘层、浮置栅极层、介电层和控制栅极层以在衬底上形成栅极结构。
在又一方面中,本发明涉及一种半导体器件。半导体器件包括半导体衬底,和在衬底上的栅介电层。浮置栅极在栅介电层上。在浮置栅极上的氮氧化物界面层的厚度小于大约20。一介电层在界面层上。控制栅极在第二介电层上。
在一个实施例中,界面层的厚度小于大约10。
在一个实施例中,界面层通过以下步骤形成:在包括硅和氢的第一气体和包括氮的第二气体的气氛中第一次热处理浮置栅极;且在第一次热处理之后,在包括氮的第三气体的气氛中第二次热处理浮置栅极。
附图说明
如附图所阐释的,从对本发明优选实施例的更具体描述,本发明上述和其它目的、特征和优点将更显而易见,其中在整个不同视图中,相同的参考标记表示相同的部件。附图不需要按规定比例,重点是示出本发明的原理。
图1A-1F是根据本发明实施例的半导体器件制造工艺的截面图;
图2A-2C是根据本发明另一个实施例的半导体器件制造工艺的截面图;
图3是与按照传统方法制造的半导体器件比较的、根据本发明制造的半导体器件的阈值电压的示例图;
图4A和4B分别是根据传统工艺方法和根据本发明工艺的浮置栅极上表面的表面粗糙度的透视图。
具体实施方式
在本发明优选实施例的以下描述和所附权利要求中,当表示半导体器件制造中使用的材料层时,术语“在...上”,表示直接位于底层上的层,或表示在底层上方而其间具有任选的至少一个中间层的层。在附图中各层的厚度不需要按规定比例,但是为了清楚起见夸大且示出本发明的各特征。
图1A-1F是根据本发明实施例的制造半导体器件的截面图。
在图1A中,在半导体衬底10上形成厚度为100的栅绝缘层20。在一个实施例中,半导体衬底由硅材料构成和栅绝缘层由SiO2或“氧化物”构成。
然后在栅绝缘层20上形成第一导电层30。在一个实施例中,该导电层由通过化学气相沉积(CVD)工艺形成的、厚度为1500的多晶硅构成。在一个实施例中,如下所述,随后将第一导电层30构图以形成浮置栅极。由于多晶硅的材料特性,和由于形成层30所使用的沉积工艺,此时第一导电层30的上表面具有较大的表面粗糙度。例如,如上所述,第一导电层的表面粗糙度在高度至少为40的量级。
在图1B中,对得到的结构进行第一次热处理42。第一次热处理减小了多晶硅层30的表面粗糙度。通过把衬底放入加热到650℃到850℃温度范围的炉室中进行第一次热处理42。该室通入含硅和氢的第一气体流和含氮的第二气体流。在一个实施例中,第一气体包括硅烷SiH4,且第二气体包括氨NH3。含氮的第二气体与含氢和硅的第一气体的比例在10∶1和1000∶1之间的量级。当比例小于10∶1,例如比例是9∶1时,在多晶硅中产生硅块。含硅和氢的第一气体可以任意包括其它形态的硅烷,例如,SiH2、Si(CH3)H3或Si3H8或其组合。第二气体可以任意包括N2气。
在一个实施例中,在730℃的温度进行第一次热处理42达1小时。在另一个实施例中,在800-850℃时进行第一次热处理达30分钟。室的真空条件低于0.5托,例如,0.3托。
作为第一次热处理42的结果,多晶硅层30的表面粗糙度减小到例如小于30。在第一次热处理期间使用含硅和氢的气体例如硅烷提供了底层多晶硅层的表面粗糙度的显著改善。同时,使用硅烷使工艺温度降低到800℃以下并使得工艺时间减少。然而,由于过多的硅烷会导致在多晶硅层中形成不希望的硅块,所以硅烷的数量应该限制。
图4A和图4B中示出得到的表面粗糙度的比较例子。图4A是根据常规方法和在氧化物沉积之后形成的浮置栅极的上表面的表面粗糙度的透视图。浮置栅极的所得上表面具有高度的表面粗糙度,例如至少40的量级。图4B是进行第一次热处理42的浮置栅极的上表面的表面粗糙度的透视图。紧接着第一次热处理的浮置栅极的所得上表面具有相对低的表面粗糙度,例如小于30的量级。
在图1C中,对得到的结构进行第二次热处理52。在加热到800℃到850℃的温度范围并提供有含氮的第三气体流例如N2O或NO气体的炉室中进行第二次热处理52。在一个实施例中,第二次热处理在800℃到850℃的温度进行30分钟到1小时。
第二次热处理的结果是,作为替代底层结构中悬挂键的结果,在多晶硅层30上形成氮氧化物层54。如上所述,该氮氧化物层54被形成在作为第一次热处理的结果具有减小的表面粗糙度的多晶硅层30的上表面上。在一个实施例中,氮氧化物层54包括氮氧化硅SiOxNy材料,其在多晶硅层的上表面上形成大约10量级的厚度。氮氧化物层54极好地阻挡了从由多晶硅层30形成的浮置栅极到上控制栅极的存储电荷的迁移。同时,氮氧化物层54是薄的,例如厚度小于约10,因此在浮置栅极和控制栅极之间提供了优良的电容耦合。反过来,增强的电容耦合使得所得晶体管工作在减小的编程电压、擦除电压和读取电压下,同时保持了预计阈值电压。
在图1D中,在得到的结构上形成介电层60,厚度为50到100。在一个实施例中,介电层包括SiO2,且利用CVD形成。同时,下氮氧化物层54和上介电层60构成栅极间介电层66。栅极间介电层的组合厚度T1是60到100厚度的量级,相对于常规方法得到的、典型厚度大于150的栅极间介电层,其相对较薄。
在图1E中,在栅极间介电层66上形成第二导电层72。在一个实施例中,第二导电层72包括通过化学气相沉积(CVD)工艺形成的、具有大约1500量级厚度的多晶硅。随后将第二导电层72构图来形成控制栅极。
在图1F中,使用常规光刻工艺将得到的结构构图,以形成作为所得晶体管的栅极图案80。杂质离子注入产生了栅极结构两侧的源/漏区90。根据常规手段,可在栅极结构的侧部上形成横向间隔。
图2A-2C是根据本发明另一个实施例制造半导体器件的截面图。
图3是示出与根据常规方法形成的半导体器件的阈值电压相对比,根据本发明工艺形成的半导体器件的充电和放电状态的阈值电压的图表。如上所述,本发明的薄的栅极间介电层改善了浮置栅极和控制栅极之间的电容耦合。因此,在充电或放电操作期间,相对于常规实施例,移动载流子的数量增加。结果,在本发明中,相对于常规实施例,降低了“导通”单元的阈值电压,增加了“断开”单元的阈值电压。当要求相同电压量以进行充电或放电时,比常规单元更低的阈值电压足够给单元充电和放电。
尽管该发明参考其优选实施例具体地示出和描述,但本领域技术人员将理解,在不脱离由所附权利要求定义的本发明的精神和范围的条件下,可以进行形式和细节上的各种改变。

Claims (60)

1、一种在第一和第二导电层之间形成导体间介电层的方法,包括:
提供第一导电层;
在含硅和氢的第一气体和含氮的第二气体的气氛中第一次热处理所述第一导电层;
所述第一次热处理之后,在含氮的第三气体的气氛中第二次热处理所述第一导电层;
在所述第二次热处理后,在所述第一导电层上提供介电层;以及
在所述介电层上提供第二导电层。
2、如权利要求1的方法,其中在大约700℃与800℃之间的温度范围内进行所述第一次热处理。
3、如权利要求1的方法,其中在大约700℃与750℃之间的温度范围内进行所述第一次热处理。
4、如权利要求1的方法,其中所述第一次热处理在温度大约730℃下持续进行大约1小时。
5、如权利要求1的方法,其中所述第一次热处理在大约800℃的温度下持续进行大约30分钟。
6、如权利要求1的方法,其中所述第一气体是硅烷。
7、如权利要求1的方法,其中所述第一气体选自由SiH4、SiH2、Si(CH3)H3和Si3H8构成的组。
8、如权利要求1的方法,其中所述第二气体选自由NH3和N2构成的组。
9、如权利要求1的方法,其中所述第三气体还包括氧。
10、如权利要求1的方法,其中所述第三气体选自由N2O和NO构成的组。
11、如权利要求1的方法,其中所述第一次热处理改善了所述第一导电层的上表面的表面粗糙度。
12、如权利要求1的方法,其中所述第二次热处理在所述第一导电层上提供了氮氧化物界面。
13、如权利要求12的方法,其中所述氮氧化物界面由氮氧化硅SiOxNy材料组成。
14、如权利要求12的方法,其中所述氮氧化物界面的厚度小于大约20。
15、如权利要求12的方法,其中所述氮氧化物界面和所述介电层的组合厚度小于大约70厚。
16、如权利要求1的方法,其中从氧化物、氮化物和氮氧化物构成的组中选择一种材料形成所述介电层。
17、如权利要求1的方法,其中形成所述介电层包括经过所述第二次热处理在所述第一导电层上形成第一介电层,以及在所述第一介电层上形成第二介电层。
18、如权利要求17的方法,其中所述第一介电层由氮化物组成且其中所述第二介电层由氧化物组成。
19、如权利要求1的方法,其中所述第一和第二导电层由多晶硅组成。
20、如权利要求1的方法,其中所述第一导电层由在半导体衬底上形成的浮置栅极构成,且其中所述第二导电层由在所述介电层上形成的控制栅极构成。
21、一种在半导体器件的浮置栅极和控制栅极之间形成栅极间介电层的方法,包括:
在半导体衬底上提供栅绝缘层;
在所述栅绝缘层上提供浮置栅极层;
在含硅和氢的第一气体和含氮的第二气体的气氛中第一次热处理所述浮置栅极层;
所述第一次热处理之后,在含氮的第三气体的气氛中第二次热处理所述浮置栅极层;
在所述第二次热处理之后,在所述浮置栅极层上提供介电层;
在所述介电层上提供控制栅极层;以及
构图所述栅绝缘层、所述浮置栅极层、所述介电层和所述控制栅极层以在所述衬底上形成栅极结构。
22、如权利要求21的方法,还包括在邻近所述栅极结构的所述衬底中形成源区和漏区。
23、如权利要求21的方法,其中在大约700℃与800℃之间的温度范围内进行所述第一次热处理。
24、如权利要求21的方法,其中在大约700℃与750℃之间的温度范围内进行所述第一次热处理。
25、如权利要求21的方法,其中所述第一次热处理在大约730℃的温度中持续进行大约1小时。
26、如权利要求21的方法,其中所述第一次热处理在大约800℃的温度时持续进行大约30分钟。
27、如权利要求21的方法,其中所述第一气体是硅烷。
28、如权利要求21的方法,其中所述第一气体选自由SiH4、SiH2、Si(CH3)H3和Si3H8构成的组。
29、如权利要求21的方法,其中所述第二气体选自由NH3和N2构成的组。
30、如权利要求21的方法,其中所述第三气体还包括氧。
31、如权利要求21的方法,其中所述第三气体选自由N2O和NO构成的组。
32、如权利要求21的方法,其中所述第一次热处理改善了所述浮置栅极层的上表面的表面粗糙度。
33、如权利要求21的方法,其中所述第二次热处理在所述浮置栅极层上提供了氮氧化物界面。
34、如权利要求33的方法,其中所述氮氧化物界面由氮氧化硅SiOxNy材料组成。
35、如权利要求33的方法,其中所述氮氧化物界面的厚度小于大约20。
36、如权利要求33的方法,其中所述氮氧化物界面和所述介电层的组合厚度小于大约70厚。
37、如权利要求21的方法,其中从氧化物、氮化物、和氮氧化物构成的组中选择一种材料形成所述介电层。
38、如权利要求21的方法,其中形成所述介电层包括经过所述第二次热处理后在所述浮置栅极层上形成第一介电层,以及在所述第一介电层上形成第二介电层。
39、如权利要求38的方法,其中所述第一介电层由氮化物组成且其中所述第二介电层由氧化物组成。
40、如权利要求21的方法,其中所述第一和第二导电层由多晶硅组成。
41、一种半导体器件,包括:
半导体衬底;
在所述衬底上的栅介电层;
在所述栅介电层上的浮置栅极;
在所述浮置栅极上的、厚度小于大约20的氮氧化物界面层;
在所述界面层上的介电层;以及
在所述第二介电层上的控制栅极。
42、如权利要求41的半导体器件,其中所述界面层的厚度小于大约10。
43、如权利要求41的半导体器件,其中所述介电层由选自由氧化物、氮化物和氮氧化物构成的组中的材料形成。
44、如权利要求41的半导体器件,其中所述介电层包括在所述第一导电层上的第一介电层和在所述第一介电层上的第二介电层。
45、如权利要求44的半导体器件,其中所述第一介电层由氮化物组成且其中所述第二介电层由氧化物组成。
46、如权利要求41的半导体器件,其中所述界面层通过以下步骤形成:
在含硅和氢的第一气体和含氮的第二气体的气氛中第一次热处理所述浮置栅极;以及
所述第一次热处理之后,在含氮的第三气体的气氛中第二次热处理所述浮置栅极。
47、如权利要求46的半导体器件,其中在大约700℃与800℃之间的温度范围内进行所述第一次热处理。
48、如权利要求46的半导体器件,其中在大约700℃与750℃之间的温度范围内进行所述第一次热处理。
49、如权利要求46的半导体器件,其中所述第一次热处理在大约730℃的温度中持续进行大约1小时。
50、如权利要求46的半导体器件,其中所述第一次热处理在大约800℃的温度时持续进行大约30分钟。
51、如权利要求46的半导体器件,其中所述第一气体是硅烷。
52、如权利要求46的半导体器件,其中所述第一气体选自由SiH4、SiH2、Si(CH3)H3和Si3H8构成的组。
53、如权利要求46的半导体器件,其中所述第二气体选自由NH3和N2构成的组。
54、如权利要求46的半导体器件,其中所述第三气体还包括氧。
55、如权利要求46的半导体器件,其中所述第三气体选自由N2O和NO构成的组。
56、如权利要求46的半导体器件,其中所述第一次热处理改善了所述第一导电层的上表面的表面粗糙度。
57、如权利要求41的半导体器件,其中所述氮氧化物界面由氮氧化硅SiOxNy材料组成。
58、如权利要求41的半导体器件,其中所述氮氧化物界面和所述介电层的组合厚度小于大约70厚。
59、如权利要求41的半导体器件,其中所述介电层由选自由氧化物、氮化物和氮氧化物构成的组中的材料形成。
60、如权利要求41的半导体器件,其中所述浮置栅极和所述控制栅极由多晶硅材料组成。
CNB2004100104793A 2003-11-14 2004-11-15 利用热处理制造薄介电层的方法及半导体器件 Active CN100466196C (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR1020030080574A KR100546394B1 (ko) 2003-11-14 2003-11-14 비휘발성 메모리 소자 및 그 제조 방법
KR80574/03 2003-11-14
KR80574/2003 2003-11-14
US10/832,952 US7041557B2 (en) 2003-11-14 2004-04-27 Method of manufacturing a thin dielectric layer using a heat treatment and a semiconductor device formed using the method
US10/832,952 2004-04-27

Publications (2)

Publication Number Publication Date
CN1627482A true CN1627482A (zh) 2005-06-15
CN100466196C CN100466196C (zh) 2009-03-04

Family

ID=36460152

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100104793A Active CN100466196C (zh) 2003-11-14 2004-11-15 利用热处理制造薄介电层的方法及半导体器件

Country Status (5)

Country Link
US (1) US7190024B2 (zh)
JP (1) JP4663297B2 (zh)
CN (1) CN100466196C (zh)
DE (1) DE102004056090B4 (zh)
TW (1) TWI254990B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101577224B (zh) * 2008-05-05 2011-07-06 中芯国际集成电路制造(北京)有限公司 栅氧化层形成方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5010222B2 (ja) 2006-09-21 2012-08-29 株式会社東芝 不揮発性半導体記憶装置
US8692310B2 (en) 2009-02-09 2014-04-08 Spansion Llc Gate fringing effect based channel formation for semiconductor device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0571692B1 (en) 1992-05-27 1998-07-22 STMicroelectronics S.r.l. EPROM cell with a readily scalable down interpoly dielectric
JPH0669515A (ja) * 1992-08-19 1994-03-11 Fujitsu Ltd 半導体記憶装置
JPH0745725A (ja) 1993-07-27 1995-02-14 Oki Electric Ind Co Ltd 不揮発性半導体記憶装置及びその形成方法
JPH08125039A (ja) * 1994-08-31 1996-05-17 Nkk Corp 拡散層の形成方法
JPH08316348A (ja) * 1995-03-14 1996-11-29 Toshiba Corp 半導体装置およびその製造方法
JPH0974170A (ja) * 1995-09-06 1997-03-18 Matsushita Electron Corp 半導体装置の製造方法
US5893747A (en) * 1995-10-07 1999-04-13 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a polysilicon film of a semiconductor device
JPH09134973A (ja) * 1995-11-07 1997-05-20 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100247931B1 (ko) * 1997-05-21 2000-03-15 윤종용 반구형 그레인의 다결정실리콘막을 갖는 반도체장치의 제조방법
KR19990004419A (ko) 1997-06-27 1999-01-15 김영환 플래쉬 메모리 셀 제조 방법
US5888870A (en) * 1997-10-22 1999-03-30 Advanced Micro Devices, Inc. Memory cell fabrication employing an interpoly gate dielectric arranged upon a polished floating gate
US6211016B1 (en) 1998-03-23 2001-04-03 Texas Instruments-Acer Incorporated Method for forming high density nonvolatile memories with high capacitive-coupling ratio
US6635530B2 (en) 1998-04-07 2003-10-21 Micron Technology, Inc. Methods of forming gated semiconductor assemblies
US6159798A (en) * 1998-11-17 2000-12-12 Winbond Electronics Corporation Method for forming a floating gate with improved surface roughness
JP2001015619A (ja) * 1999-06-30 2001-01-19 Toshiba Corp 不揮発性半導体記憶装置の製造方法
US6153470A (en) * 1999-08-12 2000-11-28 Advanced Micro Devices, Inc. Floating gate engineering to improve tunnel oxide reliability for flash memory devices
US6512264B1 (en) 1999-08-13 2003-01-28 Advanced Micro Devices, Inc. Flash memory having pre-interpoly dielectric treatment layer and method of forming
JP2001177101A (ja) 1999-12-20 2001-06-29 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US6602781B1 (en) * 2000-12-12 2003-08-05 Advanced Micro Devices, Inc. Metal silicide gate transistors
KR100426481B1 (ko) 2001-06-26 2004-04-13 주식회사 하이닉스반도체 코드 저장 메모리 셀 제조 방법
JP2003243542A (ja) 2002-02-15 2003-08-29 Seiko Epson Corp 不揮発性記憶装置の製造方法
JP2003257968A (ja) * 2002-03-07 2003-09-12 Hitachi Ltd 半導体装置およびその製造方法
US6617639B1 (en) * 2002-06-21 2003-09-09 Advanced Micro Devices, Inc. Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling
US6828200B2 (en) 2003-01-03 2004-12-07 Texas Instruments Incorporated Multistage deposition that incorporates nitrogen via an intermediate step
US7012299B2 (en) * 2003-09-23 2006-03-14 Matrix Semiconductors, Inc. Storage layer optimization of a nonvolatile memory device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101577224B (zh) * 2008-05-05 2011-07-06 中芯国际集成电路制造(北京)有限公司 栅氧化层形成方法

Also Published As

Publication number Publication date
JP2005150738A (ja) 2005-06-09
TWI254990B (en) 2006-05-11
US7190024B2 (en) 2007-03-13
TW200520102A (en) 2005-06-16
JP4663297B2 (ja) 2011-04-06
CN100466196C (zh) 2009-03-04
DE102004056090B4 (de) 2015-03-12
DE102004056090A1 (de) 2005-06-23
US20060108610A1 (en) 2006-05-25

Similar Documents

Publication Publication Date Title
EP1234324B1 (en) Ono-deposition for 2-bit eeprom devices
US6455372B1 (en) Nucleation for improved flash erase characteristics
US6406960B1 (en) Process for fabricating an ONO structure having a silicon-rich silicon nitride layer
US6458677B1 (en) Process for fabricating an ONO structure
US20060008999A1 (en) Creating a dielectric layer using ALD to deposit multiple components
CN1883054A (zh) 超薄硅上的nrom闪速存储器
EP1844492A2 (en) Non-volatile nanocrystal memory and method therefor
CN100547809C (zh) 具有sonos结构的非易失性存储器及其制造方法
US6180538B1 (en) Process for fabricating an ONO floating-gate electrode in a two-bit EEPROM device using rapid-thermal-chemical-vapor-deposition
KR101217260B1 (ko) 감소된 전하 손실을 갖는 메모리 셀 구조의 제조방법
CN1956171A (zh) 形成非易失性存储器件的方法及由此形成的器件
CN100466196C (zh) 利用热处理制造薄介电层的方法及半导体器件
US7041557B2 (en) Method of manufacturing a thin dielectric layer using a heat treatment and a semiconductor device formed using the method
CN1879177A (zh) 具有自对准结构性电荷隔离的nrom闪存
US5726070A (en) Silicon-rich tunnel oxide formed by oxygen implantation for flash EEPROM
CN1228853C (zh) 快闪存储单元的结构及其制造方法
CN1177372C (zh) 非易失性存储器单元及其制造方法
JP2003188288A (ja) 不揮発性半導体記憶装置及びその製造方法
CN1285126C (zh) 半导体存储装置
KR20070106155A (ko) 비휘발성 메모리 소자의 제조방법
CN1228852C (zh) 平面环绕栅极快闪存储单元的结构及其制造方法
CN1391285A (zh) 沟槽式分离栅只读性闪存存储单元结构形成方法以及操作方法
KR101038398B1 (ko) 반도체 소자의 플로팅 게이트막 형성방법
CN1507062A (zh) 具有高介电常数穿隧介电层只读存储器的结构与制造方法
CN1438694A (zh) 分离栅极式快速存储器的制造方法及结构

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant