CN1501450A - 制造半导体器件的方法和用于清洗衬底的设备 - Google Patents

制造半导体器件的方法和用于清洗衬底的设备 Download PDF

Info

Publication number
CN1501450A
CN1501450A CNA200310119805A CN200310119805A CN1501450A CN 1501450 A CN1501450 A CN 1501450A CN A200310119805 A CNA200310119805 A CN A200310119805A CN 200310119805 A CN200310119805 A CN 200310119805A CN 1501450 A CN1501450 A CN 1501450A
Authority
CN
China
Prior art keywords
substrate
semiconductor substrate
wafer
cleaning agent
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200310119805A
Other languages
English (en)
Other versions
CN1279586C (zh
Inventor
青木秀充
笠间佳子
铃木达也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1501450A publication Critical patent/CN1501450A/zh
Application granted granted Critical
Publication of CN1279586C publication Critical patent/CN1279586C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

从喷嘴33的端部向晶片表面上喷涂剥离剂,同时起动第一供给喷嘴33使从晶片的中央部分扫描至其外部。该操作提供这样一种情况,其中,通过由喷嘴供给的剥离剂的表面张力将残存小液滴38的界面从晶片的中央拉回到晶片的外部。其间,第二供给喷嘴36也以与第一供给喷嘴33扫描的相同速度扫描。从第二供给喷嘴36的孔中喷涂蒸汽IPA。这提供了在从第一供给喷嘴33向晶片表面上喷涂剥离剂之后立即向其表面上喷涂蒸汽IPA,并将晶片表面上残存的剥离剂用IPA有效地取代。

Description

制造半导体器件的方法和 用于清洗衬底的设备
本申请基于日本专利申请No.2002-303629,其内容并入本文以作参考。
技术领域
本发明涉及用于清洗半导体衬底的技术。
背景技术
在LSI的制造工艺中一般是利用刻印技术和清洗技术来形成通孔或互连沟槽。典型的工艺包括下述步骤:在绝缘层上形成抗蚀剂图形并在随后用抗蚀剂图形做掩模干法蚀刻绝缘层;然后通过灰化抗蚀剂图形并在抗蚀剂图形上喷涂剥离液来除去抗蚀剂图形。此外,用经过选择的溶剂进行漂洗。为保持衬底表面的清洁,进行此漂洗以除去抗蚀剂去除后留下的残存材料,因此漂洗是重要的工艺,影响到最终半导体器件的可靠性。
以下涉及漂洗步骤的技术是常规公知的。
JP-A-H11-214,350公开了一种典型的批量型漂洗技术。下面参照图12描述该技术。如图12中所示,用于处理和干燥衬底的设备包括:在其中含有作为处理液101的纯温水(在60摄氏度左右的温度)的处理槽103。此设备还包括有用于夹持和传送运载装置107的传送装置(未示出),在运载装置107上垂直装载多片硅晶片105。传送装置将单个硅晶片105传送进处理液101以将晶片浸入溶液中,然后从处理液中取回晶片。
在用于处理和干燥衬底的设备中,依靠前述传送装置的驱动当夹持硅晶片105的运载装置107如箭头Z1所示下降时,单个硅晶片105被浸入处理液101中。将硅晶片105浸入处理液101中漂洗晶片。在漂洗完成的基础上,前述传送装置以相对较低的速度(如1mm/sec)在箭头Z2所示的方向上将运载装置107从溶液101移走。由图13可以明显看出,以相对较低的速度移走晶片移开在其上粘附有处理液的硅晶片105的表面,以便通过溶液的表面张力将粘附于晶片表面上的溶液拉回处理槽103内的处理液101一边(拉力由箭头p1指示)。就是这样,从溶液中移走晶片同时就结束了硅晶片105的干燥。
JP-A-H11-204,491公开了另一种槽式清洗技术。该公布公开了用超纯水漂洗衬底然后用异丙醇(下文中缩略为IPA)干燥衬底的技术。
虽然以上描述了在批量晶片处理设备中漂洗晶片的技术,但在单个晶片处理设备中还有漂洗晶片的各种其它技术。JP-A-2000-58,498公开了一种在批量晶片处理设备中漂洗晶片的技术。该公布描述一种使用超纯水漂洗衬底并在衬底表面上喷涂异丙醇(IPA)以干燥其表面的方法。
如在上面列出的公布中所作的描述,是在湿法蚀刻步骤之后使用超纯水进行漂洗步骤。在一些情况下,如JP-A-H11-204,491和JP-A-2000-58,498中描述的那样,除超纯水之外,还使用有机溶剂如IPA进行漂洗步骤。然而,在后一种情况中,仅在使用超纯水进行漂洗步骤之后的干燥步骤中使用有机溶剂,这意味着前一种情况和后一种情况都使用超纯水来漂洗衬底。
本发明的发明人已发现当使用超纯水漂洗半导体衬底时,由于超纯水的高电阻率,半导体衬底充有电荷,这样损伤了在衬底上形成的金属膜和/或绝缘膜。
此外,使用超纯水漂洗衬底会花费很长时间用来从衬底表面上清除残存材料以及在漂洗之后干燥衬底,由此降低衬底的流量。
下面描述特别有关在批量晶片处理设备中漂洗晶片以及在单个晶片处理设备中漂洗晶片的技术问题。即,在批量晶片处理设备中漂洗晶片需要较大数量的漂洗剂,由此增加了制造成本和环境负担。而且,在单个晶片处理设备中使用超纯水漂洗晶片进一步增加由于超纯水与衬底之间的摩擦带来衬底表面的充电电势,由此降低了产量。
考虑到上述在常规技术中发现的问题,构思出本发明以解决那些问题。因此,本发明的目的是要防止在清洗步骤中对形成在衬底上的金属膜和绝缘膜施加的损害。本发明的另一目的是要提供一种有效地从衬底表面除去残存材料以及在清洗衬底之后有效地干燥衬底的方法,由此使衬底流量能获增加。本发明的又一目的是在增加产量的同时减少在清洗步骤中的制造成本和环境负担。
发明内容
根据本发明,提供了一种制造半导体器件的方法,包括:(a)单个晶片处理设备中不使用超纯水而是使用一种清洗剂清洗半导体衬底的表面,该清洗剂含有具有有机溶剂作为其主要成分的化学溶液和所述溶液的蒸汽两种情况之一。根据本发明的一种方式,所述方法可进一步包括:在(a)步骤之前,(b)在所述半导体衬底上形成一层薄膜和(c)部分地除去所述薄膜。本发明可用的薄膜类型并不受特别限制,可以使用金属膜、绝缘膜、抗蚀膜等等。
根据本发明的一种方式,所述方法可以进一步包括:在步骤(a)之前,(d)在半导体衬底上依序淀积金属膜和绝缘膜以及(e)部分地除去所述绝缘膜以暴露至少一部分所述金属膜的表面。
本发明示例性的金属膜可以是构成互连、插销、焊点等的金属膜,而用于薄膜的示例性材料则可以是含有诸如铜、铜银合金等金属的铜。
根据本发明,在单个晶片清洗中不使用超纯水,而是使用含有包含作为主要成分的有机溶剂的化学溶液或该化学溶液的蒸汽的清洗剂。这种配置防止了在清洗中对半导体衬底和/或形成在半导体衬底表面上的金属膜或绝缘膜的损害。此外,该配置还抑制了由纯水与衬底之间的摩擦引起的充电,由此提高了生产量。另外,该配置还显著减少了在清洗中使用的清洗剂数量,由此就能降低制造成本和环境负担。
此外,由于根据本发明的清洗采用单个晶片处理,有效的清洗方式就可以包括如后面所述在旋转半导体衬底的同时喷涂清洗剂等的方式。这样,这种方式还有利于减少在清洗中使用的清洗剂数量和提高生产量。
这里,在本发明中使用的术语“清洗(工艺)”通常包括通过使用化学溶液或其蒸汽来清洗衬底表面的任何工艺,另外还包括从衬底表面除去杂质或除去在先前工艺中使用的化学溶液等的工艺以及化学地或物理地改变杂质以便于在随后的工艺中除去改变了的杂质的工艺。更为特别地是,清洗包括对抗蚀剂和/或掩模材料的剥离工艺、在灰化工艺或剥离工艺之后进行的漂洗工艺、以及除去残存蚀刻材料的除去工艺。
根据本发明的一种方式,步骤(a)可以包括(f)在旋转所述半导体衬底的同时在所述半导体衬底的所述表面上喷涂所述清洗剂。具有该配置,可以改善清洗效率,并且可以减少干燥所需的过多时间,这是因它可以使用较少量的清洗剂来有效除去衬底表面上的残存物。就是这样,该配置能够有效地清洗。特别是,当所选择的清洗剂包括一种有机溶剂的蒸汽作为主要成分时,就可以通过选择该配置来提供有效的清洗。
更为特别地是,在步骤(f)中,在将要被喷涂的部分从所述衬底中央移向所述衬底边缘的同时可以在所述半导体衬底的所述表面上喷涂所述清洗剂。采用这种特别的配置,可以相当大地提高清洗效率,这是因为它可以高效率地除去衬底表面上的残存物。
根据本发明的一种方式,可以在使用化学溶液的湿法工艺之后进行作为漂洗步骤的步骤(a),其中步骤(a)可以包括(g)在所述衬底的所述表面上同时喷涂电阻率低于纯水的液体和所述清洗剂,其中在步骤(g)中,在要用所述清洗剂喷涂的所述部分保持着比要用所述液体喷涂的所述部分更接近所述衬底的所述中央的同时,要用所述清洗剂和所述液体喷涂的部分从所述衬底的中央移向所述衬底边缘。
具有该配置的制造方法是一种所谓的RotagoniTM干燥技术的应用方法,工艺流程如下。通过前述具有电阻率低于纯水的液体的表面张力将残存物例如在湿法工艺之后残留在半导体衬底表面上的残存化学溶液的界面从衬底的中央拉回至衬底的外部。其间,比前述电阻率低于纯水的液体的喷涂位置更接近于衬底的中央的位置喷涂清洗剂。该配置实现了半导体衬底表面任何部分的一项条件,那就是在其上在由清洗剂清洗该部分之后立即喷涂清洗剂,由此可以有效地进行达到更高清洁度的清洗。这里,前述电阻率低于纯水的液体可以是有机溶剂或含电解质的水。可用的有机溶剂可以是酒精、乙醚、酮等,而且还可以采用与本发明的清洗剂的主要成分相同的有机溶剂。含有电解质的水可以是碳酸水、稀氨水溶液、稀氨和氢水溶液、电解的阴极水溶液等。
根据本发明的半导体衬底可以包括:元素半导体如Si、Ge等、化合物半导体如GaAs、InP、CdS、SiC等、或混晶半导体如InGaAs、HgCdTe等。在这些半导体中,选择硅晶片用于半导体衬底带来本发明相当有益的效果。
当在半导体材料暴露在半导体衬底表面的情况中采用本发明时,本发明提供比常规技术相当有益的效果。更具体地说,当在清洗具有暴露的半导体材料的表面中使用纯水时,会损害暴露的半导体材料并且或是在其上产生水迹,这将导致生产量下降。本发明有利于有效地解决这些问题。另外,当在金属膜暴露在半导体衬底表面的情况中采用本发明时,本发明也提供比常规技术更有益的效果。更具体地说,当在清洗具有暴露金属膜的表面中使用纯水时,金属会被洗提或损害,这导致生产量下降,而本发明则有利于有效地解决这些问题。
根据本发明的一种方式,该方法可以包括在完成清洗后通过在惰性气氛中旋转半导体衬底来干燥半导体衬底表面的步骤。该配置通过离心力将残存溶液等旋转脱离衬底表面,由此在短时间内完成干燥工艺。
根据本发明,提供了一种用于清洗衬底的设备,其包括:用于支撑和旋转半导体衬底的衬底基座;用于向半导体衬底表面供给电阻率低于纯水的液体的第一供给口;用于向所述半导体衬底的所述表面供给清洗剂蒸汽的第二供给口;以及用于向所述半导体衬底的所述表面供给化学溶液的第三供给口;以及用于将所述第一和第二供给口从所述半导体衬底的中央部分移向其周边部分同时保持所述第二供给口比第一供给口更接近于所述衬底中央部分的移动部件。
上述设备包括第一、第二和第三供给口,每一供给口设置成供给不同于其它供给口供给的化学溶液或溶液蒸汽。而且,设备包括用于将第一和第二供给口从半导体衬底的中央部分移至其周边部分的传送器。该设备使得能够利用RoragoniTM干燥技术更好地进行前述清洗而且还能仅用一台设备进行诸如蚀刻、抗蚀剂除去以及随后漂洗的化学工艺。此外,该设备能作各种操作的组合,包括例如利用液体和蒸汽一起作为清洗剂。本发明设备的第一、第二和第三供给口可以用于下列组合:
所有第一、第二和第三供给口,
第一和第二供给口,
第一和第三供给口。
第三供给口可以相对于衬底基座固定设置在特定位置处。更具体地说,可以将第一和第二供给口设置成可移动的而将第三供给口设置成固定的。在这种情况中,优选将第三供给口设置成能够喷涂半导体衬底的中央部分。
根据本发明的一种方式的设备可以进一步包括:用于供给另一化学溶液的第四供给口。例如,可以将第四供给口相邻于第三供给口设置。优选地,可以将第四供给口设置成固定的,而且优选地将第四供给口设置成能够喷涂半导体衬底的中央部分。通过上述设备可以实施后面在优选实施例的说明中所描述的序列No.6。
附图说明
图1A至1C是示出本发明一项优选实施例中所描述的一例工艺步骤的半导体衬底的剖面示意图;
图2A至2D是示出本发明一项优选实施例中所描述的一例工艺步骤的半导体衬底的剖面示意图;
图3A是本发明一项优选实施例中所采用的清洗设备的示意图;
图3B是示出喷嘴与在基座上支撑的衬底的空间关系的基座和入口喷嘴的示意图;
图4A和4B示出展示在清洗期间喷嘴的操作的硅晶片和喷嘴;
图5是每片衬底的异丙醇消耗量的图表;
图6是每小时处理衬底数的图表;
图7A至7D是示出本发明一项优选实施例中所描述的一例工艺步骤的半导体衬底的剖面示意图;
图8A至8D是示出本发明一项优选实施例中所描述的另一例工艺步骤的半导体衬底的示意剖面图;
图9A和9B是示出本发明一项优选实施例中所描述的又一例工艺步骤的半导体衬底的示意剖面图;
图10A至10C是示出本发明一项优选实施例中所描述的再一例工艺步骤的半导体衬底的示意剖面图;
图11A至11C是表示优选实施例的工艺顺序的表;
图12是现有技术漂洗工艺设备的示意图;
图13是示出衬底提升工艺的衬底和化学溶液的放大图;以及
图14A和14B分别是本发明的优选实施例中所测量的链电阻的测量方式的平面图和横截面图。
具体实施方式
在许多情况下采用诸如溅射、等离子体CVD(化学气相淀积)、干法蚀刻、等离子体灰化的等离子体工艺在半导体衬底上形成金属互连。在这些工艺中,半导体衬底上的互连层和/或层间绝缘膜暴露于等离子体中,此时可能被充有电。当向这些部分所充电荷的程度变大时,不期望的现象发生。即当互连层与水彼此接触时,聚集在互连层中的电荷就立刻全部释放出来离化构成互连层的金属,然后洗提金属或在衬底干燥后使金属易于氧化。
在下述情况中会经常发现这种现象。即当半导体衬底包括具有大面积互连的互连区和具有较小面积互连并从互连区延伸出来的互连延伸区时,互连区的面积越大,更为明显的是构成形成于互连延伸区中的通孔的金属被洗提。
此外,当互连未连接到半导体衬底时,即处于浮置状态时,金属从通孔中的洗提更加显著地发生。通孔内金属的洗提和/或氧化使通孔导体与上层互连之间的连接受损,由此降低了半导体器件的可靠性。
这些技术发现正是本发明的发明人最初进行过的一项研究,因此,考虑到上述现象,根据本发明的方法无意包括使用纯水清洗半导体晶片。
在本发明中采用的清洗剂包括含有有机溶剂作为主要成分的化学溶液或该化学溶液的蒸汽。值得注意的是,术语“主要成分”意味着该成分在清洗剂中具有最大重量含量。清洗剂可以是单一的化学溶液、单一的蒸汽或其混合物。有机溶剂可以优选地具有极性功能团。在这种情况中,极性基可以是羟基、醚键基、羰基、羧基等。可用的具有极性基的溶剂可以是诸如异丙醇、异丁醇、乙二醇、叔丁醇等的醇、诸如乙二醇醚、丙二醇单甲醚等的醚、诸如环戊酮、环己酮、2-庚酮、甲基·乙基酮等的酮。
在其中,在溶剂中最好应含有从异丙醇、乙二醇、环戊酮、甲基·乙基酮和乙二醇醚组成的组中选出的一种或多种,并且在溶剂中应优选地含有异丙醇。这些溶剂的使用有效地除去了半导体衬底表面上的残存物。值得注意的是,除有机溶剂外,清洗剂可以含有水或各种添加剂。
根据本发明的清洗可以优选地应用例如下述工艺;
(i)在灰化衬底上的抗蚀剂并接着用抗蚀剂的剥离剂清洗衬底表面之后进行的清洗,
(ii)在除去半导体衬底上的薄膜之后进行的用以除去粘附在半导体衬底表面上的污染物的清洗。
下面参照附图详细描述根据本发明的优选实施例:
1.第一实施例
在该实施例中,将本发明应用于在使用抗蚀剂开出通孔并用抗蚀剂的剥离剂除去抗蚀剂之后的漂洗步骤。
首先,如图1A所示,在硅晶片(未示出)上淀积氧化硅膜1、氮化硅膜2和氧化硅膜3并通过公知的镶嵌工艺利用化学机械抛光技术形成包括势垒金属层4和铜膜5的铜互连。另外,在其上淀积大约50至100nm厚的氮化硅膜6和大约250-500nm厚的低介电常数膜7,此外,在其上淀积大约250至500nm厚的氧化硅膜8。铜膜5的厚度可以任意选择,但优选不大于,例如350nm。
低介电膜7可以是:甲基硅倍半氧烷(MSQ)膜、氢硅倍半氧烷(HSQ)膜、SiOC膜(还称之为SiOCH膜)、由各种有机材料形成的膜、含有阶梯状氢化硅氧烷等的膜、或是由前述膜中的一种形成的多孔膜。值得注意的是“阶梯状氢化硅氧烷”是具有阶梯状分子结构的聚合物,考虑到为避免互连延迟而优选采用介电常数不高于2.9且具有较低膜密度的该聚合物。在这种情况中,膜密度可以优选为从1.50g/cm3至1.58g/cm3。更具体地说,组成上述膜的材料可以是市场上出售的日本东京NEC公司的产品L-OXTM
在图1A示出的互连结构中,氮化硅膜6可以由氮氧化硅膜所替代。此外,氧化硅膜1和/或氧化硅膜3可以由上述低介电膜所替换。当氧化硅膜3被低介电膜替换时,优选在低介电膜的表面上形成由SiO2、SiCN、SiN等形成的保护膜。在这种情况中,保护膜与铜膜5形成至具有相同水平的上表面。
接着,在氧化硅层8上形成具有加工成图形外形的抗蚀膜9(图1B)。
然后,利用抗蚀膜9作掩模干法蚀刻氧化硅膜8和低介电膜7以露出氮化硅膜6,从而形成通孔10(图1C)。在这种情况中,蚀刻的残存物11粘附在通孔10的内侧壁。例如,通孔10的直径可以为约0.2μm。优选地,用于蚀刻氧化硅膜的蚀刻气体的速率大于蚀刻氮化硅膜的速率。
在蚀刻完成后,通过氧气等离子体灰化、N2-H2气体等离子体灰化或He-H2气体等离子体灰化部分地除去抗蚀膜9,此后,并用抗蚀剂的剥离剂清除。即使在能通过剥离除去抗蚀膜之后仍有抗蚀材料和蚀刻残存物11余留在有关部分上(图2A)。
此后,蚀刻气体被替换成另一种去蚀刻氮化硅膜6。此时,蚀刻残存物12粘附在通孔10的内侧壁(图2B)上。为了除去蚀刻残存物12,再次利用上述剥离剂,然后进行漂洗(图2C)。
在剥离中,剥离剂可以是胺基剥离剂、羧酸基剥离剂以及氢氟酸基剥离剂中的任意一种。胺基剥离剂可以是从由1-氨基-2-丙醇、2-氨基-1-丙醇、3-氨基-1-丙醇、2-甲氨基乙醇、2-氨基-2-氨基-2-甲基1-丙醇、2-二乙氨基乙醇、单乙醇胺、二乙醇胺、三乙醇胺、2-(2-氨乙氧基)乙醇、2-(2-氨乙基氨基)乙醇、2-(二乙基氨基)乙醇、2-二(甲氨基)乙醇、胆碱、吗啉、二亚乙基三胺和三亚乙基四胺组成的组中选择的一种或多种的混合物。
羧酸基剥离剂可以是含有草酸或丙二酸的水溶液。
氢氟酸基剥离剂可以是稀释的氢氟酸(DHF)和缓冲氢氟酸(BHF)、以及含氢氟酸盐的溶液如氟酸铵。
在剥离后进行如下漂洗。
图3A和3B是展示出在剥离步骤之后在漂洗步骤中所采用的设备的示意图。图3A示出用于向晶片供给化学溶液等的供给系统,图3B示出起动喷嘴的起动装置。图4A和4B示出晶片周围设备的部分放大图。
在图3A、3B、4A和4B中示出的硅晶片37是处于如图2C中所示的在抗蚀层的剥离剂中剥离之后的状态。硅晶片37具有剥离剂在其表面上余留的小液滴38。在该实施例中,通过利用RotagoniTM干燥技术的漂洗除去残存的小液滴38。该设备在其中设置有第一供给喷嘴33和第二供给喷嘴36以及第三供给喷嘴32。第二供给喷嘴36设置成比第一供给喷嘴33更靠近衬底的中央部分。
硅晶片37被高速旋转的同时通常被水平支撑在图3B中所示的晶片基座41上。可以根据工艺的目的适当选择旋转频率。旋转频率可以如下:
在使用清洗(剥离)剂的工艺期间:200至1,000rpm;
在使用液体IPA的漂洗期间:200至1,000rpm;
在使用蒸汽IPA的漂洗期间:500至1,000rpm;和
在使用N2的干燥期间:1,500至2,000rpm。
第一供给喷嘴33供给与小液滴38同类型的液体剥离剂。图3B中示出的喷嘴起动器起动第一供给喷嘴33以将喷嘴33从晶片的中央移至其周边。根据喷嘴33的移动,从喷嘴33的尖端将液体剥离剂喷涂在晶片表面上,与此同时将所要喷涂的部分从晶片的中央移到其周边。这就将残存小液滴38的内边从晶片的中央移至其周边,此移动是由喷嘴供给的液体剥离剂的表面张力引起的。其间,第二供给喷嘴36也以与第一供给喷嘴33相同的速度移动。第二供给喷嘴36从其孔中喷涂由IPA容器34提供的蒸汽IPA(异丙醇)和氮气的混合物。由于第二供给喷嘴36设置在比第一供给喷嘴33更靠近晶片中央的位置处,在从第一供给喷嘴33将液体剥离剂喷涂在晶片表面上之后立即将蒸汽IPA喷涂在其上。因此,余留在晶片表面上的液体剥离剂有效地由IPA替换。在第一和第二供给喷嘴33、36从晶片中央到其周边移动之后,这两个喷嘴再返回到晶片中央并重复相同的移动。如上所述,在晶片上进行喷涂液体剥离剂和蒸汽IPA的操作的同时重复移动这些喷嘴2或3次,由此完成漂洗循环。
此后,通过依序叠置Ti膜和TiN膜形成势垒金属膜13,淀积铜膜并使用CMP进行平整以形成不同互连层之间的连接销(图2D)。
在根据本发明的方法中,是在单个晶片处理设备中进行漂洗并采用蒸汽IPA作为漂洗剂。这使得用于漂洗的IPA数量显著减少并提高了漂洗循环和干燥循环的整体效率,导致在较短的时间内除去晶片表面上的残存成分。图5示出晶片浸入常规批量晶片处理设备的IPA中的情况与根据本实施例的方法漂洗晶片的情况每片晶片的异丙醇(IPA)消耗量之间的比较图表。从图中可以看出,根据本实施例的方法,与常规方法(浓缩的IPA方法)相比较,能显著地减少用于漂洗所消耗的IPA数量。
此外,在根据本实施例的方法中,没有使用高电阻率的纯水而是使用液体剥离剂进行漂洗并在随后使用蒸汽IPA进行干燥。液体剥离剂包括大量的电解质,因此具有低于纯水的电阻率。这就防止了相关部分由于纯水与晶片之间的摩擦而引起的充电以及铜的洗提、枝状晶体的产生还有水迹的产生。图6是示出相应于在单个晶片处理设备中使用纯水漂洗晶片情况的生产量与相应于在单个晶片处理设备中使用IPA漂洗晶片情况的生产量比较图表。从图6中可以看出,使用蒸汽IPA漂洗与使用纯水漂洗相比较,能每小时处理近两倍数量的晶片。这是因为使用蒸汽IPA漂洗,与使用纯水漂洗相比,提供了漂洗剂对残存物的更高置换效率和漂洗剂的更高干燥速率。
如上所述,根据本发明的方法使高可靠性半导体器件的制造能有更高的产量。
在上述实施例中,是用蒸汽IPA进行漂洗。换一种方法,可以用蒸汽IPA和液体IPA在一起进行漂洗。在这种情况中,第三供给喷嘴32向硅晶片37的表面供给液体IPA以利用液体IPA漂洗晶片。接着,如前所述移动喷嘴33和36来漂洗晶片。这进一步确保了残存物从晶片表面除去。图3A中示出的设备包括第三供给喷嘴32、第一供给喷嘴33和第二供给喷嘴36,因此能由其自身进行上述各种漂洗。
2.第二实施例
该实施例绘示了晶体管形成在衬底的器件形成区的情况且在晶体管的栅极形成之后采用本发明的方法漂洗了衬底。参照图7A-D和8A-D将对本实施例的工艺进行描述。
首先,如图7A中所示,热氧化硅衬底50使在硅衬底50上形成氧化硅膜52并在其上通过CVD或溅射淀积高介电常数(高k)的绝缘膜54,以及在其上通过CVD淀积多晶硅层56。
随后,在多晶硅层56上涂覆抗蚀膜并通过刻印技术采用ArF准分子激光器对抗蚀膜加工图形以形成抗蚀层58,如图7B中所示。此后,如图7C和7D所示,利用抗蚀层58作掩模依序选择性地干法蚀刻多晶硅层56和高k绝缘膜54。如图8A中所示,当对高k绝缘膜54蚀刻到中间深度时,采用SPM(硫磺酸/过氧化氢溶液)除去抗蚀层58。
随后,除去剩余的高k绝缘膜54和氧化硅膜52的所需部分以形成栅电极(图8B和8C)。虽然该实施例采用DHF作为蚀刻剂,但可采用BHF取代。另外,当使用诸如IPA加氟化合物的醇溶液、热磷酸、或硫磺酸的水溶液除去其所需部分时,可以避免对衬底的其它区域例如在STI中使用的场隔离膜的损伤。
然后,通过移动喷嘴漂洗衬底,如在第一实施例的描述中所阐述的那样。在该实施例中,图3A、3B、4A和4B中示出的第一供给喷嘴33喷涂DHF。第二供给喷嘴36通过其孔喷涂由IPA容器34提供的蒸汽IPA和氮气的气体混合物。因此,用蒸汽IPA替换喷涂的DHF。
在漂洗之后,形成侧壁64并注入掺杂离子以形成杂质区62,导致晶体管的形成(图8D)。此后,在硅衬底50的整个表面上形成金属层,并且金属层与多晶硅层56和杂质区62相接触的部分被硅化了。将未硅化的部分金属层去除以在栅电极和源/漏区(未示出)上形成硅化物层。
在该实施例的方法中,当根据常规技术用纯水漂洗图8C中所示衬底时,在硅衬底50的表面上可能产生水迹,导致难于在杂质区62中获得所设计的掺杂分布。由于氧化膜在有水迹处生长的速率与在无水迹的部分上生长的速率彼此不同,离子注入条件根据在衬底上注入离子的位置而改变。对比之下,根据该实施例的方法没有在漂洗步骤中使用纯水,取而代之的是为了避免水迹的产生在漂洗步骤中采用RotagoniTM干燥技术使用DHF和蒸汽IPA。就是这样,该实施例的方法能按设计要求通过离子注入形成杂质区62。
此外,在除去抗蚀层58的同时部分地保留高k绝缘膜54,如图7D和8A中所示。这就防止了硅衬底在抗蚀剂灰化期间受到损伤。
如上所述,该实施例使得高可靠性晶体管能够稳定地生产。
1.第三实施例
该实施例示出在衬底的I/O区中形成晶体管的情况且在晶体管的栅极形成之后根据本发明的方法漂洗了衬底。参照图9A、9B和10A-C将对该实施例的方法进行描述。
首先,如图9A中所示,热氧化硅衬底50以在硅衬底50上形成氧化硅膜52并通过CVD或溅射在其上淀积高k绝缘膜54,以及在其上通过CVD淀积多晶硅层56。
随后,在多晶硅层56上涂覆抗蚀膜并通过刻印技术利用I-束(365nm)加工图形以形成抗蚀层65,如图9B中所示。此后,利用抗蚀层65作掩模选择性干法蚀刻多晶硅层56、高-k绝缘膜54和氧化硅膜52以形成栅电极(图10A)。
接着,用IPA溶解抗蚀层65除去抗蚀层65,随后,用蒸汽IPA漂洗衬底(图10B)。通过图3A、3B、4A和4B中示出的并在第一实施例使用的的设备进行上述操作。参照图3A和3B,首先,第三供给喷嘴32向硅晶片37的表面供给液体IPA除去抗蚀剂。接着,第二供给喷嘴36向硅晶片37的表面上喷射蒸汽IPA同时移动第二供给喷嘴36。此后,在氮气氛中高速旋转硅晶片以吹动喷涂的IPA而后干燥晶片。将晶片旋转频率设置为例如1,000至2,000rpm。
在漂洗之后,形成侧壁64,并注入掺杂离子以形成杂质区62,导致晶体管的形成(图10C)。此后,在硅衬底50的整个表面上形成金属层,并且金属层与多晶硅层56和杂质区62相接触的部分被硅化,然后,除去金属层没有被硅化的部分以在栅电极和源/漏区上形成硅化物层,导致晶体管的形成(未示出)。
根据该实施例的方法,不采用纯水而是使用蒸汽IPA进行漂洗步骤,由此防止了水迹的产生。就是这样,该实施例的方法能使杂质区62通过离子注入按照设计形成。
实例
在该例子中,通过单项镶嵌工艺经通孔销连接由铜制成的下层互连线和由铜制成的上层互连线以形成两层的互连结构,然后对每一含有两层互连结构的芯片的成品率进行测定。在形成互连结构中,要对在通孔形成以及抗蚀剂除去之后采用各种方法漂洗的晶片进行测定,而后根据漂洗对每一含有互连结构的芯片的成品率的影响程度来测定晶片。
以下述方法制造用于测定的样品。以类似于第一实施例中描述的方法形成下层互连线和通孔销。首先,在硅晶片上形成铜互连。然后,淀积90nm厚的氮化硅膜、450nm厚的低-k膜和450nm厚的氧化硅膜。接着,在其上旋涂正性抗蚀膜。在这种情况中,采用KrF准分子激光器刻印的正性抗蚀剂作为构成抗蚀膜的材料。抗蚀膜通过掩模图形暴光并利用氢氧化四甲铵的水溶液对其显影以形成抗蚀图形。值得注意的是,可以用ArF准分子激光器刻印的抗蚀剂代替KrF受激准分子激光器刻印的抗蚀剂。
使用抗蚀膜作掩模干法蚀刻氧化硅膜和低-k膜以暴露氮化硅膜而后形成具有0.14μm直径的通孔。在这种情况中,使用含碳氟化合物的气体作为蚀刻气体。在蚀刻后,通过低温氧气等离子体灰化部分地除去抗蚀膜,而后,利用含胺的剥离剂化合物将其除去。在抗蚀膜除去之后,分别按照图11A-C的表中所示顺序进行漂洗步骤和干燥步骤。表中列出的数字表示相应步骤的次序。例如,图11A中的例子“No.1”是按以下顺序制造的,该顺序包括:首先,用液体剥离剂除去抗蚀膜;用纯水漂洗晶片;以及用N2气体干燥该晶片。值得注意的是,用N2气体干燥晶片是在氮气氛中以1,500rpm的频率旋转晶片1分钟来进行的。图11B中描述的缩写词“DIW”表示去离子水。
在上述顺序之后,用铜填充通孔并形成与通孔连接的上层铜互连。
这样获得的两层互连结构被称为通孔链,其构造如图14所示,包括500,000个通孔销和分别设置在通孔销上面和下面的互连线。互连线和通孔销由铜制成。通过在通孔链的两端施加一预定的电压来测量由通孔销和上下层互连线构成的互连线的电阻。测量的电阻被称之为“链电阻”。链电阻是确定通孔销是否正常连接上下层互连线的有效测量方法。在该样品中,在硅晶片上的每一芯片上都形成前述的通孔链并测出相应通孔链的电阻。当测量的电阻值不大于参考值时,具有该相应通孔链的芯片被确定为“合格”,相反地,当测量的电阻值大于参考值时,具有相应通孔链的芯片被确定为“不合格”。“合格”芯片与全部被测量芯片的比率被称之为“通孔合格率”。
图11A至11C中所示序列的特征如下:
(i)序列No.1和No.2(图11A)
在序列No.2中用IPA进行漂洗取代序列No.1中的用纯水漂洗。而后,在两序列中都采用N2气体进行干燥。
(ii)序列No.3和No.4(图11B)
在所有这些序列中,在供给溶液或蒸汽的喷嘴移动的同时供给预定的化学溶液,然后采用RotagoniTM干燥技术进行干燥。如此进行序列No.3(比较样品),使晶片上喷涂纯水,并使液体剥离剂的残存小液滴的内边缘从晶片中央移至其周边,该移动是由小液滴的表面张力引起的,随后,向晶片上喷涂蒸汽IPA。另一方面,如此进行序列No.4,使晶片上喷涂IPA并使液体剥离剂的残存小液滴的内边缘从晶片中央移至其周边,该移动是由小液滴的表面张力引起的,随后向晶片上喷涂蒸汽IPA。
(iii)序列No.5至No.9(图11C)
如此执行这些序列,使在前述样品的工艺之外,在向晶片上喷涂蒸汽IPA的同时移动供给溶液或蒸汽的喷嘴。
如此执行序列No.9,使得在晶片上喷涂含电解质的水,并使液体剥离剂的残存小液滴的内边缘从晶片中央移至其周边,该移动是由小液滴的表面张力引起的,随后,向晶片上喷涂蒸汽IPA。用稀氨和氢的水溶液(pH值约为8.5)作含电解质的水。
由这些序列产生的通孔合格率为:序列No.1、No.3和No.5为60-70%、序列No.2为100%、而序列No.2、No.4、No.7、No.8和No.9为95%或更高。
虽然这些实施例是阐释采用单项镶嵌工艺的情况,毫无疑问,本发明也可适用于诸如双镶嵌工艺的各种其它工艺。
根据本发明,当对半导体衬底、形成在该衬底上的金属膜和绝缘膜进行清洗时,就可以防止对这些部分的损伤。同样,本发明提供了有效地将残存材料从衬底表面上除去以及在衬底清洗之后有效地干燥衬底的方法,由此能使衬底的产量增加。此外,本发明还能在增加生产量的同时降低了清洗步骤中的制造成本和环境负担。

Claims (13)

1.一种制造半导体器件的方法,包括:
(a)在单个晶片处理设备中不采用超纯水漂洗而是采用一种清洗剂清洗半导体衬底的表面,所述清洗剂包含具有有机溶剂作为主要成分的化学溶液和所述溶液的蒸汽的情况之一。
2.根据权利要求1的方法,还包括,在步骤(a)之前,(b)在所述半导体衬底上形成膜和(c)部分地除去所述膜。
3.根据权利要求1的方法,还包括,在步骤(a)之前,(d)在半导体衬底上依此序淀积金属膜和绝缘膜以及(e)部分地除去所述绝缘膜以暴露出所述金属膜表面的至少一部分。
4.根据权利要求1的方法,其中步骤(a)包括(f)在所述半导体衬底的所述表面上喷涂所述清洗剂同时旋转所述半导体衬底。
5.根据权利要求4的方法,其中在步骤(f)中在所述半导体衬底的所述表面上喷涂所述清洗剂同时将要被喷涂的部分从所述衬底的中央移到所述衬底的周边。
6.根据权利要求1的方法,其中步骤(a)是在使用化学溶液的湿法处理之后作为漂洗步骤进行的,其中步骤(a)包括(g)同时向所述衬底的所述表面上喷涂一种电阻率比纯水低的液体和所述清洗剂,而且在步骤(g)中,使将要用所述清洗剂和所述液体喷涂的部分从所述衬底的中央移到所述衬底的周边同时保持将要用所述清洗剂喷涂的所述部分比将要用所述液体喷涂的所述部分更接近于所述衬底的所述中央。
7.根据权利要求1的方法,其中所述半导体衬底为硅晶片。
8.根据权利要求1的方法,其中所述半导体衬底的所述表面包括半导体材料的暴露部分。
9.根据权利要求1的方法,其中所述半导体衬底的所述表面包括金属材料的暴露部分。
10.根据权利要求1的方法,其中所述有机溶剂包含选自由异丙醇、乙二醇、环戊酮、甲基乙基酮和乙二醇醚组成的组中的至少一种。
11.根据权利要求1的方法,还包括,在步骤(a)之后,(h)通过在惰性气氛中旋转所述半导体衬底来干燥所述半导体衬底的所述表面。
12.一种用于清洗衬底的设备,包括:
用于支撑和旋转半导体衬底的衬底基座;
用于向所述半导体衬底的表面供给电阻率比纯水低的液体的第一供给口;
用于向所述半导体衬底的所述表面供给清洗剂蒸汽的第二供给口;
用于向所述半导体衬底的所述表面供给化学溶液的第三供给口;以及
移动部件,用于将所述第一和第二供给口从所述半导体衬底的中央部分移到其周边部分同时保持所述第二供给口比所述第一供给口更接近于所述衬底的所述中央部分。
13.根据权利要求12的设备,其中将所述第三供给口固定在相对所述衬底基座的预定位置上。
CNB200310119805XA 2002-10-17 2003-10-17 制造半导体器件的方法和用于清洗衬底的设备 Expired - Fee Related CN1279586C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP303629/2002 2002-10-17
JP2002303629A JP2004140196A (ja) 2002-10-17 2002-10-17 半導体装置の製造方法および基板洗浄装置
JP303629/02 2002-10-17

Publications (2)

Publication Number Publication Date
CN1501450A true CN1501450A (zh) 2004-06-02
CN1279586C CN1279586C (zh) 2006-10-11

Family

ID=32089392

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200310119805XA Expired - Fee Related CN1279586C (zh) 2002-10-17 2003-10-17 制造半导体器件的方法和用于清洗衬底的设备

Country Status (3)

Country Link
US (1) US6890391B2 (zh)
JP (1) JP2004140196A (zh)
CN (1) CN1279586C (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100449704C (zh) * 2006-08-11 2009-01-07 中芯国际集成电路制造(上海)有限公司 研磨头的清洗装置
CN102000676A (zh) * 2009-08-31 2011-04-06 日立电线株式会社 金属元件的表面处理方法及清洁喷嘴
CN102039281B (zh) * 2009-10-21 2012-05-09 中芯国际集成电路制造(上海)有限公司 用于对晶片焊盘表面进行清洗的方法

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002101799A2 (en) * 2001-06-12 2002-12-19 Verteq, Inc. Stackable process chambers
JP4046486B2 (ja) * 2001-06-13 2008-02-13 Necエレクトロニクス株式会社 洗浄水及びウエハの洗浄方法
JP2004140196A (ja) * 2002-10-17 2004-05-13 Nec Electronics Corp 半導体装置の製造方法および基板洗浄装置
KR101117939B1 (ko) * 2003-10-28 2012-02-29 사켐,인코포레이티드 세척액 및 에칭제 및 이의 사용 방법
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
EP1739730B1 (en) * 2004-04-23 2012-10-17 Tokyo Electron Limited Substrate cleaning method and substrate cleaning equipment
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
EP1763072A4 (en) * 2004-06-04 2010-02-24 Tokyo Electron Ltd SUBSTRATE PURIFICATION METHOD AND COMPUTER READABLE RECORDING MEDIUM
US6926590B1 (en) * 2004-06-25 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving device performance
KR100559406B1 (ko) 2004-10-15 2006-03-10 삼성전자주식회사 히스테리시스를 갖는 비교기 및 그것을 사용한 비교 방법
US7402485B1 (en) 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US7241700B1 (en) * 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
TWI286796B (en) * 2004-12-14 2007-09-11 Sez Ag Apparatus and method for drying disk-shaped substrates
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
US7553732B1 (en) * 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
KR100640979B1 (ko) * 2005-06-22 2006-11-02 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
JP4527660B2 (ja) * 2005-06-23 2010-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR100685738B1 (ko) * 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US7572705B1 (en) 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
JP4986566B2 (ja) * 2005-10-14 2012-07-25 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
JP4986565B2 (ja) * 2005-12-02 2012-07-25 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
US7644512B1 (en) * 2006-01-18 2010-01-12 Akrion, Inc. Systems and methods for drying a rotating substrate
JP4835175B2 (ja) 2006-01-31 2011-12-14 株式会社Sumco ウェーハの枚葉式エッチング方法
US20070190795A1 (en) * 2006-02-13 2007-08-16 Haoren Zhuang Method for fabricating a semiconductor device with a high-K dielectric
JP2007220956A (ja) * 2006-02-17 2007-08-30 Toshiba Corp 基板処理方法及び基板処理装置
US20070246079A1 (en) * 2006-04-21 2007-10-25 Xuyen Pham Multi zone shower head for cleaning and drying wafer and method of cleaning and drying wafer
JP2008027931A (ja) * 2006-07-18 2008-02-07 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP2008060102A (ja) * 2006-08-29 2008-03-13 Matsushita Electric Ind Co Ltd 基板の洗浄乾燥方法
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
JP2008147434A (ja) * 2006-12-11 2008-06-26 Toshiba Corp 半導体装置の製造方法
KR100829376B1 (ko) * 2006-12-20 2008-05-13 동부일렉트로닉스 주식회사 반도체 소자의 세정방법
US7851374B2 (en) * 2007-10-31 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon wafer reclamation process
US20090241995A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Substrate cleaning method and apparatus
JP5566130B2 (ja) * 2009-02-26 2014-08-06 キヤノン株式会社 液体吐出ヘッドの製造方法
WO2010125664A1 (ja) * 2009-04-30 2010-11-04 アクアサイエンス株式会社 剥離液及び対象物洗浄方法
JP5180919B2 (ja) * 2009-06-22 2013-04-10 株式会社荏原製作所 有機ガス供給装置の有機ガス濃度検出方法、有機ガス供給装置、及び有機ガス供給装置の運転方法
JP5248633B2 (ja) * 2011-01-18 2013-07-31 東京エレクトロン株式会社 液処理装置および液処理方法
JP6101175B2 (ja) * 2013-08-28 2017-03-22 Sumco Techxiv株式会社 半導体ウェーハの研磨方法
KR102065146B1 (ko) * 2016-05-27 2020-01-10 주식회사 뉴파워 프라즈마 증기를 이용한 대상물 처리 장치
US11728185B2 (en) 2021-01-05 2023-08-15 Applied Materials, Inc. Steam-assisted single substrate cleaning process and apparatus
JP2022189496A (ja) * 2021-06-11 2022-12-22 東京エレクトロン株式会社 基板処理方法および基板処理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1399867A (en) * 1971-09-27 1975-07-02 Ici Ltd Cleaning process
US5715612A (en) * 1995-08-17 1998-02-10 Schwenkler; Robert S. Method for precision drying surfaces
JPH11204491A (ja) 1998-01-05 1999-07-30 Lsi Logic Corp ドライエッチング残留物除去方法
JPH11214350A (ja) 1998-01-22 1999-08-06 Kaijo Corp 基板処理・乾燥装置
JP2000058498A (ja) 1998-08-17 2000-02-25 Seiko Epson Corp ウェハ乾燥方法及び乾燥槽及び洗浄槽及び洗浄装置
KR100417040B1 (ko) * 2000-08-03 2004-02-05 삼성전자주식회사 웨이퍼를 건조시키기 위한 방법 및 이를 수행하기 위한웨이퍼 건조장치
KR100481309B1 (ko) * 2002-06-27 2005-04-07 삼성전자주식회사 반도체 기판의 건조장비
JP2004140196A (ja) * 2002-10-17 2004-05-13 Nec Electronics Corp 半導体装置の製造方法および基板洗浄装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100449704C (zh) * 2006-08-11 2009-01-07 中芯国际集成电路制造(上海)有限公司 研磨头的清洗装置
CN102000676A (zh) * 2009-08-31 2011-04-06 日立电线株式会社 金属元件的表面处理方法及清洁喷嘴
CN102039281B (zh) * 2009-10-21 2012-05-09 中芯国际集成电路制造(上海)有限公司 用于对晶片焊盘表面进行清洗的方法

Also Published As

Publication number Publication date
US20040074526A1 (en) 2004-04-22
JP2004140196A (ja) 2004-05-13
US6890391B2 (en) 2005-05-10
CN1279586C (zh) 2006-10-11

Similar Documents

Publication Publication Date Title
CN1279586C (zh) 制造半导体器件的方法和用于清洗衬底的设备
US20050158671A1 (en) Method for manufacturing a semiconductor device and a cleaning device for stripping resist
CN1269193C (zh) 半导体器件及其制造方法
US10702893B2 (en) Cleaning compositions for removing residues on semiconductor substrates
CN101465273B (zh) 用于减少晶片表面缺陷的湿式蚀刻方法及其装置
JP2011503899A (ja) 半導体基板から金属ハードマスクエッチング残留物を除去するための組成物
CN1871553A (zh) 双胆碱和三胆碱在涂石英多晶硅和其它材料清洁中的用法
CN1849386A (zh) 清洁组合物、清洁半导体基底的方法以及在半导体基底上形成配线的方法
TW201022148A (en) Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
US20070148985A1 (en) Method of manufacturing trench structure for device
JP2006251491A (ja) フォトレジスト剥離液組成物及びフォトレジストの剥離方法
TW201435083A (zh) 半導體元件用清洗液及利用此清洗液之清洗方法
CN1770404A (zh) 清洗溶液和使用该溶液清洗半导体器件的方法
US8420550B2 (en) Method for cleaning backside etch during manufacture of integrated circuits
US7806988B2 (en) Method to address carbon incorporation in an interpoly oxide
CN1786834A (zh) 剥离剂组合物
CN1263113C (zh) 半导体装置的制造方法
JP2009283721A (ja) 半導体装置の製造方法
CN1218373C (zh) 钛的缓蚀
JP2004207454A (ja) 半導体装置の製造方法
EP3774680A1 (en) Cleaning compositions
JP2016139766A (ja) 半導体装置の製造方法
US20030119331A1 (en) Method for manufacturing semiconductor device
JP2001015480A (ja) 基板の処理方法
US20230317647A1 (en) Non-dmso stripper for advance package metal plating process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: RENESAS KANSAI CO., LTD.

Free format text: FORMER OWNER: NEC CORP.

Effective date: 20100823

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: TOKYO, JAPAN TO: CHUANQI CITY, KANAGAWA, JAPAN COUNTY

TR01 Transfer of patent right

Effective date of registration: 20100823

Address after: Kawasaki, Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Tokyo, Japan, Japan

Patentee before: NEC Corp.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061011

Termination date: 20131017