CN1405857A - 利用等离子体约束装置的等离子体蚀刻装置 - Google Patents

利用等离子体约束装置的等离子体蚀刻装置 Download PDF

Info

Publication number
CN1405857A
CN1405857A CN02130235A CN02130235A CN1405857A CN 1405857 A CN1405857 A CN 1405857A CN 02130235 A CN02130235 A CN 02130235A CN 02130235 A CN02130235 A CN 02130235A CN 1405857 A CN1405857 A CN 1405857A
Authority
CN
China
Prior art keywords
plasma
frequency
radio
annulus
etching apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN02130235A
Other languages
English (en)
Other versions
CN1197131C (zh
Inventor
E·H·伦茨
R·D·迪布尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1405857A publication Critical patent/CN1405857A/zh
Application granted granted Critical
Publication of CN1197131C publication Critical patent/CN1197131C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Gas-Filled Discharge Tubes (AREA)

Abstract

等离子体蚀刻装置包括一叠彼此隔开并在它们之间形成狭缝的石英圆环,放在包围所述装置的两个电极之间的、所述装置运行时其中形成等离子体的互作用空间的位置上。狭缝的尺寸选择得能够保证从互作用空间离开的用过的气体离开狭缝时,通过与壁部的碰撞将其中的带电粒子中和。采用两个频率不同的电压源,以彼此隔离的方式给所述电极施加电压。

Description

利用等离子体约束装置的等离子体蚀刻装置
本发明涉及等离子体蚀刻装置,更具体地说,涉及将等离子体基本上约束在与待蚀刻的工件(例如,半导体晶片)宽度相当的宽度区域内的等离子体蚀刻装置。
等离子体蚀刻已成为集成电路制造上人们乐于用来在不同的层上蚀刻图案用的技术。这种蚀刻用的设备一般包括一个蚀刻室,内装一对基本上是平面的电极,它们相隔一段距离,彼此平行,其间围出一个互作用空间。在该电极中的第一个,放着准备加工的半导体晶片。为了进行这样的处理,将适当的气态介质引入蚀刻室,然后在这对电极之间,加上一个或多个适当的射频高压,以产生放电,形成等离子体,对晶片适当地暴露的区域进行蚀刻。
不论对于效率还是均匀性来说,基本上将等离子体约束在该两电极之间的互作用空间之内,变得越来越重要了。为此目的,已经有入提出,在一个或两个电极的圆周加入绝缘材料做的环形元件,把放电约束在互作用空间内(例如,见1995年五月公布的美国专利No.5,413,675)。作为另一方案,有人提出,加入一个圆筒形的经过阳极化处理的铝屏蔽壳,包围该两电极之间的互作用空间,该屏蔽壳钻有孔,让用过的气体从被包围的互作用空间排出。这个导电的屏蔽壳在电气上一般连接至两个电极中接地电位的电极上。该屏蔽壳用来有效地把地电位带到靠近另一电极的地方。这往往可以把放电约束在两电极之间的互作用空间之内,因为,放电的任何带电粒子,接触屏蔽壳时都会迅速地变成地电位。
在处理工件时控制气体在两电极之间的互作用空间内的停留时间是很重要的。从历史上看,气体流动迅速,停留时间短时,往往出现在约束件(元件)所包围的空间以外放电的情况。这降低了操作的可控制性,并导致蚀刻室壁上有害地形成不同的沉积物。
对于现代的设备几何形状及尺寸来说,等离子体蚀刻装置的放电最好维持在互作用空间以内,而用过的气体又能较快地离开装置,以增强对诸如轮廓控制和蚀刻选择性等蚀刻参数的控制。这缩短了处理时间,进而降低在该半导体晶片上制造的集成电路的总成本。另外,它还限制了蚀刻室壁上有害沉淀物的形成。
我们发现,造成互作用空间以外出现有害放电的一个重要因素是,在互作用空间之外存在足够多的由带有电荷的已离子化的气体颗粒组成的气体,如果采取措施,当用过的气体离开互作用空间时,将其中的电荷中和,则在互作用空间以外放电的趋势将大大减小。
为此目的,本发明涉及用约束部件包围互作用空间,约束部件限定了许多穿过约束部件而从内表面延伸到外表面的通道,通道的尺寸设计得能使在等离子体中产生的带电粒子穿过通道时被中和,从而将等离子体的放电约束在该两电极之间的互作用空间以内。在极端的情况下,约束部件可以由单一的共轴介质圆环组成,形成两个各别的平行的狭缝,一个在其上,一个在其下。在比较一般的情况下,约束部件包括一叠至少三个介质圆环,介质圆环彼此以这样的方式隔开,使得形成一个圆柱体,除了在其上、下各有一个狭缝以外,至少还有一对圆周狭缝(通道、开孔)。在一个典型的实施例中,采用六个圆环,除了上、下各一条狭缝外,它们之间还形成各自独立的平行的圆周狭缝。另外,形成的狭缝尺寸适当配合,使来自等离子体的带电粒子在离开时,必须移动的距离远远长于该粒子的平均自由程,使得大部分存在的粒子至少要与狭缝壁碰撞一次。与狭缝壁的这些碰撞将粒子上的电荷中和,使得离开的粒子都是中性的。从而基本上消除互作用空间以外的放电现象。
除了约束等离子体以外,由该叠圆环形成的圆筒形约束部件还用来把等离子体蚀刻过程中往往都要发生的聚合物结皮现象约束在约束圆环本身,而不发生在蚀刻室的壁部。因为约束部件被镀敷,所以将屏蔽设计得易于拆卸、易于清洗和易于替换是很重要的。使用圆环作为约束部件,满足了这些要求。
另外,一般都把外壳或室壁用作任何一个产生等离子体用的射频电源的回路或地,加入约束部件还可以减轻外壳或室壁的这种作用。结果,这种设计使得该装置特别适用于多频操作。采用这种操作方法时,频率较低的第一电压加在支持工件(例如,半导体晶片)的第一电极上,而频率较高的第二电压加在第二电极上。低频电压源最好具有回到地的低通通道,而高频电压源最好具有回到地的高通通道。这样做的结果是,这两个电源在电气上被有效地彼此隔离了。本发明的最佳实施例同时采用约束装置和双频操作,虽然这两个特点中的每一个都可以单独使用。
更具体地说,我们相信,精心选择这两个频率,加在支持工件的电极上的电压频率约为2兆赫,  低于离子过渡频率,而加在另一个电极上的频率约为27.12兆赫,高于第一频率(2兆赫)的十倍以上,这构成了我们的发明的一个单独的特点。
从一方面看,本发明的目的是提供一种等离子体处理装置,它将其中形成的等离子体约束在它的两个平行电极之间的互作用空间以内。所述等离子体处理装置包括将对处理工件有用的气态介质包容起来的装置、两个电极和一个约束装置。这一对平行的电极在它们之间确定了互作用空间,当提供使两电极之间形成放电并且使气态介质离子化的射频能量时,便产生能够处理支持在一个电极上的工件的等离子体。约束装置确定了多个单独的平行的通道,让气流从内表面穿过约束装置到外表面。
在与流过通道的气流方向垂直的方向上,这些平行的通道是隔开的。约束装置放置在该两电极之间,而通道的尺寸设计得使在等离子体中产生的带电粒子穿过通道时被中和,从而将放电约束在互作用空间以内。
从另一方面看,本发明的目的是提供一种采用了等离子体约束装置的等离子体蚀刻装置。等离子体蚀刻装置包括将蚀刻用的气体态质包容起来的装置、两个电极和一叠至少三个隔开的圆环。这一对平行的电极在它们之间确定了互作用空间,当提供使两电极之间形成放电并且使气态介质离子化的射频能量时,便产生能够蚀刻支持在一个电极上的工件的等离子体。该叠圆环是彼此隔开的,从而在它们之间形成狭缝,放置在包围互作用空间的位置上。所述圆环控制用过的气体的排出,使得带电粒子离开互作用空间时被中和,从而将放电基本上约束在互作用空间内。
从再一方面看,本发明的目的是提供一种采用两种不同频率的电压的等离子体蚀刻装置。等离子体蚀刻装置包括将蚀刻用的气态介质包容起来的装置、两个平行的电极、其频率低于离子过渡频率的第一射频电压源和其频率至少超过第一频率10倍的第二射频电压源。这一对平行的电极在它们之间确定了互作用空间,当提供使两电极之间形成放电并且使气态介质离子化从而形成以离子过渡频率为特征的离子的射频能量时,便产生能够蚀刻支持在一个电极上的工件的等离子体。该第一电源通过阻抗匹配电路连接到第一电极,并通过低通滤波器返回到地。该第二射频电压源通过阻抗匹配电路连接到第二电极,并通过高通滤波器返回到地。
从再一方面看,本发明的目的是提供一种既包括等离子体约束装置,又采取双频操作的等离子体蚀刻装置。等离子体蚀刻装置包括将蚀刻用的气态介质包容起来的装置、一对平行的电极、一叠至少三个间隔开的圆环、其频率约为1.5至2.5兆赫的第一射频电压源和其频率在约25至30兆赫范围内的第二射频电压源。这一对平行的电极在它们之间确定了互作用空间,其中,当提供使两电极之间形成放电并且使气态介质离子化的射频能量时,便产生能够蚀刻支持在一个电极上的工件的等离子体。该叠圆环是彼此隔开的,从而在它们之间形成狭缝,放置在包围互作用空间的位置上。所述圆环控制用过的气体的排出,使得带电粒子离开互作用空间时被中和,从而将放电基本上约束在互作用空间内。该第一电源的功率比第二电源的低,前者通过阻抗匹配电路连接到第一电极,并通过低通滤波器返回到地。该第二射频电压源通过阻抗匹配电路连接到第二电极,并通过高通滤波器返回到地。
从再一方面看,本发明的目的是提供一种约束装置,用来把放电约束在等离子体处理装置的含有对处理有用的气态介质的互作用空间内。该约束装置包括一个正圆筒体,该圆筒体的各部分限定了多个分开的平行的从内表面通向外表面的通道,这些通道在与流过通道的气流方向垂直的方向上彼此隔开。该约束装置可用来放在等离子体处理装置的彼此隔开的电极之间,并当射频在气体介质中诱生的放电造成的带电粒子穿过该约束装置的通道时,用来将其中和。
从下面参照附图进行的更详细的描述,会对本发明有更好的理解。
图1是表示本发明的最佳实施例的等离子体蚀刻装置基本元件的简图。
图2是图1圆环装置的三维视图。
这些图不必加刻度。
现参照图1,其中显示了本发明的最佳实施例的等离子体蚀刻装置10。等离子体蚀刻装置亦称等离子体处理装置,可以用于诸如化学汽相淀积(CVD)等其他等离子体辅助处理。等离子体蚀刻装置10包括通常大部分用金属或石英制成的外壳11,它限定了其中发生等离子体蚀刻过程的蚀刻室12。外壳11有顶部11a,它很容易从外壳11的其他部分移开。外壳一般包括至少一个导电部分,出于安全的原因它一般都保持地电位。蚀刻室12内有一对基本上是平面的圆形的电极13和14。电极13支持着一个准备蚀刻的工件16。工件16一般是半导体晶片16,其上表面33一般覆盖着一个或多个层,其中有准备蚀刻的各种通路或图案。两个电极13和14最好具有大致相同的直径,并在它们之间确定了互作用空间17。操作时,由一种或多种气体组成的气态介质通过入口(未示出)流入蚀刻室12,以填充互作用空间17,用过的气体通过出口(未示出)从互作用空间排出。由于用作蚀刻剂的气态介质的引入和排出的特定方法,对于理解本发明没有特别关系,所以这种气态介质的入口和出口均未示出,以免使图形不必要地复杂化。在该两电极之间建立的射频电压包括两个不同的频率成分,以便使互作用空间17内的气体离子化,并形成等离子体,按要求对工件16的暴露表面33进行蚀刻。
频率比第二电压源24低的第一电压源23,借助通常形式的阻抗匹配电路(MC)26,经由外壳11底部和绝缘层40的开孔以及阻抗匹配电路(MC)26将其电压加在下面的电极13上。它通过低通滤波器(LP)29,再通过上面的电极14返回到地。绝缘层40一般都与电极隔开一个小的距离,以便允许电极13在装置10运行时膨胀。第二电源24通过外壳11a部分和绝缘层38的开孔42以及阻抗匹配电路(MC)28将其电压加在上面的电极14上。它通过高通滤波器(HP)27电极13经由下面的返回到地。有设施将处理气体注入互作用空间17,一般是通过上面的电极14。绝缘层38一般都与电极14隔开一个小的距离,以便允许电极14在装置10运行时膨胀。熟识本行的人都知道,采用这样的多频设计,电源23加在电极13上的频率用来给电极13设置自偏置,后者将撞击工件16的离子能量控制至一个选定的最佳值上。电源24加在电极14上的频率一般控制等离子体的密度,并控制撞击工件16的离子数量。但是,应该看到,频率较高的电源24对诸如离子层厚度的等离子体的参数有重大影响,从而后者又会影响加在较低电极13上的偏压。我们将电源23的频率选为低于放电造成的离子的约为3.5兆赫的离子过渡频率。通过把频率选在约1.5至2.5兆赫的范围内,例如2兆赫,即远低于离子过渡频率,电源23就能提升离子冲击工件16的能量,即在电源23造成的自偏压所提供的能量的基础上进一步提升。
另外,我们把电源24的频率选为27.12兆赫,亦即比较常用的频率13.56兆赫的两倍,从而更好地激发具有深穿透性的等离子体。约束装置最好由垂直的一叠至少三个圆环组成,它们彼此用垫圈隔开,形成多个穿过约束装置的通道,每个通道的高度由相邻圆环的上表面和下表面之间的空间确定,而长度则由确定约束装置的内表面和外表面的圆环的内沿和外沿之间的距离确定。另外,由于两频率之比(2和27.12兆赫)超过10,所以它们的差别足够大,以致用简单的滤波器就能将它们隔开。在本发明的最佳实施例中,低通滤波器29就是一个电感,而高通滤波器27就是一个电容,这是很有利的。
用于蚀刻的各种不同的气体或气体混合物是已知的,正如本专业的技术人员所熟悉的,一般进行选择是为了在蚀刻工件16上各层的不同材料时,提供所需要的选择性和/或各向异性。
按照本发明图示的实施例,在设备10运转时,两电极13和14之间产生的等离子体放电,通过提供圆环装置(亦称约束装置、约束屏蔽、一叠圆环或约束环)30,被约束在该电极之间的互作用空间17以内。圆环装置的截面图如图1所示的,而三维视图示于图2。
用作有狭缝的约束屏蔽器的圆环装置30包括一叠圆环32,每个介质圆环最好都是由优质熔融硅石或石英制成。该叠圆环形成正圆筒体。在本最佳实施例中,如图1及2所示,6个圆环叠在一起。装配时,6个圆环32用垫片34隔开,垫片可以是石英。垫片34可以是垫圈或圆环32的隆起区域。螺丝36刻有螺纹,穿过圆环32和垫圈34,形成一个刚性结构。在本最佳实施例中,螺丝36是用尼龙制成的。在图1所示的装置中,约束装置最好用螺纹拧入包围电极13和14的上板37内,支持在蚀刻室12内。
相邻圆环32之间的空间形成了图1及2所示在正圆筒体内在垂直方向彼此隔开的单独的平行圆周狭缝(通道)31,互作用空间17内用过的气体通过这些狭缝从蚀刻室12流出。
狭缝31基本上伸展到圆环装置30的整个圆周,只被垫片34断开。
每个狭缝31都有一个最小的宽高比5∶1,其中的宽高比定义成狭缝31半径方向的宽度与狭缝31垂直方向的高度之比。狭缝的径向宽度是准备通过狭缝31离开互作用空间17的带电粒子(一般为离子)平均自由程的许多倍,一般至少两倍,最好至少是其10倍。在最佳实施例中,径向宽度约为粒子平均自由程的40倍。粒子的平均自由程是气体介质压力的函数。自由程对于压力的依赖关系使得大部分,最好是基本上全体这样的粒子至少与限定狭缝31的圆环32壁碰撞一次,这种碰撞用来中和粒子的电荷。结果,从约束环排出的气体不会使等离子体的放电延伸到被约束的互作用空间17以外。另外,圆环装置30还起拦截互作用空间内产生的任何其他外来颗粒(例如,工件16表面33上的光刻胶掩蔽层(未示出)的碎片)。流速高时,碰撞次数不足以保证这样的颗粒在穿过圆环装置之前会撞到圆环32的壁。通过具有多个狭缝31,可以使穿过整个圆环装置30的气流速度降低,使得这样的粒子不大可能不碰撞圆环32的壁部就穿过狭缝31。
在当前的技术水平下,待处理的典型的半导体晶片的直径为8英寸。为了处理这样的晶片,电极13和14每个的直径在7和9英寸之间,而且彼此相隔1与1.75英寸之间。在最佳实施例中,为了处理8英寸直径的晶片16,每个圆环32内径约8.8英寸,略大于晶片的直径,而外径约为10.3英寸。有6个圆环,每个约90密耳厚,每个狭缝高约50密耳。有一个狭缝31处在圆环装置30的顶圆环32与上板37之间。对应的另一个狭缝31存在于底圆环32与绝缘层40的顶部表面之间。相应地,装置10内实际上有7条狭缝31。每个圆环的上和下表面基本上是平面,便彼此堆叠,每个圆环都具有矩形的截面。每个圆环32的的顶面和底面的内沿和外沿都略磨成圆形。垫片34类似地具有平的上、下表面,横向尺寸大得足以为圆环32提供所需要的力学支持,但又小得不会严重阻碍离开狭缝31的气流。在一个例子中,气态介质在蚀刻室的50毫乇的压力下,以400标准立方厘/分(SCCM)的流速流动。离开狭缝的气体颗粒算出的平均自由程为40密耳。
在图示的实施例中,外壳11的活动顶部11a、电极14、上板37和圆环装置30可以容易地从装置10的其它部分取出。一旦取出,圆环装置30将螺丝拧出,就很容易拆卸。这便于取出工件16、清洁圆环32和装入新工件16。
应该明白,特定的实施例只是描述本发明的一般原理。在不脱离本发明的精神和范围的情况下,很容易作出不同的修改,这应该是很显然的。如果工件用的是8英寸以外的晶片,装置10可以采用不同的尺寸。例如,一叠圆环32可以多一点,或少一点。作为另一方案,不增加圆环32,仅仅将一叠圆环32中的顶部和底部的圆环32从电极13和14隔开,从而造成额外的狭缝31,就能增加狭缝31的数目。在极限情况下,也可以用一个圆环,适当放置就可以形成两个适当尺寸的狭缝。在某些情况下,或许希望采用单一频率与圆环装置30配合。在这种情况下,电压信号加在上电极14和下电极13之间,而参照电压(一般是地)加在蚀刻室12的壁上。在某些情况下,或许希望采用直流电源,给下电极13提供直流电压。

Claims (14)

1.等离子体蚀刻装置,包括:
用于蚀刻工件的气态介质的外壳;
一对平行的电极,在它们之间限定了互作用空间,其中,当提供在两电极之间建立放电以使气态介质电离的射频能量时,产生能蚀刻支持在一个电极上的工件的等离子体;以及
至少一个约束圆环,包围所述互作用空间,该至少一个约束圆环有上表面和下表面,并放置得使它限定多个狭缝,以便控制用过的气体的排出并且当带电粒子退出互作用空间时将它们中和,从而将放电基本上约束在互作用空间以内。
2.如权利要求1所述的等离子体蚀刻装置,其特征在于,所述狭缝限定从互作用空间到所述至少一个圆环的外部的径向伸展通道。
3.如权利要求1所述的等离子体蚀刻装置,其特征在于,每一条所述狭缝是这样配置的,使得所述蚀刻装置工作时,退出的带电粒子在狭缝中必须走过的距离远大于它的平均自由程。
4.如权利要求3所述的等离子体蚀刻装置,其特征在于,所述至少一个约束圆环由介质材料构成。
5.如权利要求4所述的等离子体蚀刻装置,其特征在于,所述介质材料是石英。
6.如权利要求1所述的等离子体蚀刻装置,其特征在于,还包括第一射频电压源和第二射频电压源,第一射频电压源具有比第二射频电压源低的频率,它通过一个阻抗匹配电路与支持工件的电极连接,并通过一个低通滤波器回到地;第二射频电压源通过一个阻抗匹配电路与第二电极连接,并通过一个高通滤波器回到地。
7.如权利要求6所述的等离子体蚀刻装置,其特征在于,第一射频电压源的频率比气态介质所特有的离子过渡频率低。
8.如权利要求6所述的等离子体蚀刻装置,其特征在于,第一射频电压源和第二射频电压源的频率之比大于10比1。
9.如权利要求6所述的等离子体蚀刻装置,其特征在于,第一射频电压源的频率在约1.5和2.5兆赫范围内,而第二射频电压源的频率在约25和30兆赫范围内。
10.如权利要求9所述的等离子体蚀刻装置,其特征在于,第一射频电压源的频率约为2.0兆赫,而第二射频电压源的频率约为27.12兆赫。
11.如权利要求10所述的等离子体蚀刻装置,其特征在于,所述至少一个约束圆环由介质材料构成。
12.如权利要求11所述的等离子体蚀刻装置,其特征在于,所述介质材料是石英。
13.如权利要求11所述的等离子体蚀刻装置,其特征在于,所述至少一个约束圆环包括叠置的至少三个圆环,圆环之间彼此隔开以在其间形成狭缝,即上狭缝和下狭缝。
14.如权利要求13所述的等离子体蚀刻装置,其特征在于,所述叠置的至少三个圆环包括六个圆环,限定出五个狭缝,分成上部狭缝和下部狭缝。
CNB021302359A 1995-07-10 2002-08-13 利用等离子体约束装置的等离子体蚀刻装置 Expired - Lifetime CN1197131C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US500196 1995-07-10
US08/500,196 US5534751A (en) 1995-07-10 1995-07-10 Plasma etching apparatus utilizing plasma confinement

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN96107160A Division CN1092717C (zh) 1995-07-10 1996-06-21 将放电约束在互作用空间内的约束装置

Publications (2)

Publication Number Publication Date
CN1405857A true CN1405857A (zh) 2003-03-26
CN1197131C CN1197131C (zh) 2005-04-13

Family

ID=23988429

Family Applications (2)

Application Number Title Priority Date Filing Date
CN96107160A Expired - Lifetime CN1092717C (zh) 1995-07-10 1996-06-21 将放电约束在互作用空间内的约束装置
CNB021302359A Expired - Lifetime CN1197131C (zh) 1995-07-10 2002-08-13 利用等离子体约束装置的等离子体蚀刻装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN96107160A Expired - Lifetime CN1092717C (zh) 1995-07-10 1996-06-21 将放电约束在互作用空间内的约束装置

Country Status (8)

Country Link
US (1) US5534751A (zh)
EP (1) EP0753881B1 (zh)
JP (1) JP2963392B2 (zh)
KR (1) KR100393459B1 (zh)
CN (2) CN1092717C (zh)
DE (1) DE69622096T2 (zh)
ES (1) ES2179160T3 (zh)
IL (1) IL118342A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1293788C (zh) * 2003-08-15 2007-01-03 应用材料有限公司 以双频射频源产生与控制等离子体的装置和方法
CN101553900B (zh) * 2005-06-20 2011-08-17 朗姆研究公司 包括用于减少聚合物沉积的rf吸收材料的等离子体限制环
TWI414000B (zh) * 2011-03-04 2013-11-01 Zhen Ding Technology Co Ltd 電漿除膠渣裝置及電漿除膠渣方法
CN103811263A (zh) * 2014-02-25 2014-05-21 清华大学 等离子体约束装置及具有其的等离子体处理装置
CN102867726B (zh) * 2005-03-18 2015-07-08 兰姆研究公司 一种等离子约束环组件、等离子处理装置以及处理半导体衬底的方法

Families Citing this family (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6051099A (en) * 1997-10-14 2000-04-18 International Business Machines Corporation Apparatus for achieving etch rate uniformity
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6379491B1 (en) * 1998-10-30 2002-04-30 Promos Technologies, Inc. Plasma chamber with erosion resistive securement screws
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
KR100880767B1 (ko) * 1999-05-06 2009-02-02 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP4514911B2 (ja) * 2000-07-19 2010-07-28 東京エレクトロン株式会社 プラズマ処理装置
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
US6872281B1 (en) 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US20020142612A1 (en) * 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
US7211170B2 (en) * 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
JP3971603B2 (ja) * 2001-12-04 2007-09-05 キヤノンアネルバ株式会社 絶縁膜エッチング装置及び絶縁膜エッチング方法
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6914005B2 (en) * 2002-03-01 2005-07-05 Hitachi High-Technologies Corporation Plasma etching method
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
CN101160014B (zh) * 2002-07-12 2011-12-28 东京毅力科创株式会社 等离子体处理装置和可变阻抗装置的校正方法
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US6942816B2 (en) * 2003-02-12 2005-09-13 Lam Research Corporation Methods of reducing photoresist distortion while etching in a plasma processing system
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4846190B2 (ja) * 2003-05-16 2011-12-28 東京エレクトロン株式会社 プラズマ処理装置およびその制御方法
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7053994B2 (en) * 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
JP4523352B2 (ja) * 2004-07-20 2010-08-11 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
KR100621778B1 (ko) * 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8608851B2 (en) 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
CN101150909B (zh) * 2006-09-22 2010-05-12 中微半导体设备(上海)有限公司 等离子体约束装置
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
CN101419904B (zh) * 2007-10-22 2012-04-25 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体约束装置及等离子体处理装置
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
CN101441983B (zh) * 2007-11-21 2011-01-12 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体约束装置及应用该约束装置的半导体处理设备
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
JP5759177B2 (ja) * 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP5792174B2 (ja) * 2009-09-28 2015-10-07 ラム リサーチ コーポレーションLam Research Corporation 一体化閉じ込めリング装置
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US8974649B2 (en) * 2011-12-12 2015-03-10 Intermolecular, Inc. Combinatorial RF bias method for PVD
CN103295867B (zh) * 2012-02-29 2016-12-28 细美事有限公司 等离子体边界限制器单元和用于处理基板的设备
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10879041B2 (en) * 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
KR101715192B1 (ko) * 2015-10-27 2017-03-23 주식회사 유진테크 기판처리장치
JP6685179B2 (ja) 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
DE102016213951A1 (de) * 2016-07-28 2018-02-01 Robert Bosch Gmbh Verbesserte Lenkung von Ionen aus einem Plasma auf ein zu beschichtendes Substrat
JP2019160714A (ja) 2018-03-16 2019-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2020043168A (ja) * 2018-09-07 2020-03-19 株式会社アルバック 樹脂基板の加工方法およびプラズマ処理装置
CN110054181B (zh) * 2019-03-21 2023-07-07 山东师范大学 一种氧化石墨烯表面改性的方法和装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
JPS6269620A (ja) * 1985-09-24 1987-03-30 Anelva Corp プラズマ処理装置
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
US5423918A (en) * 1993-09-21 1995-06-13 Applied Materials, Inc. Method for reducing particulate contamination during plasma processing of semiconductor devices
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1293788C (zh) * 2003-08-15 2007-01-03 应用材料有限公司 以双频射频源产生与控制等离子体的装置和方法
CN102867726B (zh) * 2005-03-18 2015-07-08 兰姆研究公司 一种等离子约束环组件、等离子处理装置以及处理半导体衬底的方法
CN101553900B (zh) * 2005-06-20 2011-08-17 朗姆研究公司 包括用于减少聚合物沉积的rf吸收材料的等离子体限制环
TWI414000B (zh) * 2011-03-04 2013-11-01 Zhen Ding Technology Co Ltd 電漿除膠渣裝置及電漿除膠渣方法
CN103811263A (zh) * 2014-02-25 2014-05-21 清华大学 等离子体约束装置及具有其的等离子体处理装置
CN103811263B (zh) * 2014-02-25 2016-06-01 清华大学 等离子体约束装置及具有其的等离子体处理装置

Also Published As

Publication number Publication date
JPH0927396A (ja) 1997-01-28
CN1197131C (zh) 2005-04-13
IL118342A (en) 2000-11-21
DE69622096T2 (de) 2003-02-27
JP2963392B2 (ja) 1999-10-18
CN1092717C (zh) 2002-10-16
KR970008369A (ko) 1997-02-24
EP0753881B1 (en) 2002-07-03
IL118342A0 (en) 1996-09-12
US5534751A (en) 1996-07-09
ES2179160T3 (es) 2003-01-16
CN1148105A (zh) 1997-04-23
EP0753881A1 (en) 1997-01-15
KR100393459B1 (ko) 2003-11-17
DE69622096D1 (de) 2002-08-08

Similar Documents

Publication Publication Date Title
CN1197131C (zh) 利用等离子体约束装置的等离子体蚀刻装置
JP5215875B2 (ja) プラズマエッチングチャンバのための統合型の容量および誘導電源
KR101455954B1 (ko) 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
US6623596B1 (en) Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6551446B1 (en) Externally excited torroidal plasma source with a gas distribution plate
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US5964949A (en) ICP reactor having a conically-shaped plasma-generating section
US8864935B2 (en) Plasma generator apparatus
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US6468388B1 (en) Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6453842B1 (en) Externally excited torroidal plasma source using a gas distribution plate
JPH10189296A (ja) 平行板電極プラズマリアクタ
TW201705185A (zh) 離子對離子電漿原子層蝕刻處理及反應器
US6494986B1 (en) Externally excited multiple torroidal plasma source
JP2009529225A (ja) プラズマ処理チャンバの選択的プレコーティングのための方法及び装置
US7094316B1 (en) Externally excited torroidal plasma source
US20240014016A1 (en) Semiconductor processing apparatus for generating plasma
TWI591684B (zh) 使用分布型非同心狀多區域電漿源的處理腔室、電漿產生方法及電漿處理系統
KR100845917B1 (ko) 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
US6597117B2 (en) Plasma coil
KR100716263B1 (ko) 건식 식각 장치
RU2133998C1 (ru) Реактор для плазменной обработки полупроводниковых структур
US6037267A (en) Method of etching metallic film for semiconductor devices
KR101262904B1 (ko) 플라즈마 식각 장치
KR20030019973A (ko) 전원공급구조가 개선된 플라즈마 식각장치 및 그 장치의세정방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20050413

EXPY Termination of patent right or utility model