CN1245750C - 使用无氮介电蚀刻停止层的半导体元件及其工艺 - Google Patents

使用无氮介电蚀刻停止层的半导体元件及其工艺 Download PDF

Info

Publication number
CN1245750C
CN1245750C CNB031531903A CN03153190A CN1245750C CN 1245750 C CN1245750 C CN 1245750C CN B031531903 A CNB031531903 A CN B031531903A CN 03153190 A CN03153190 A CN 03153190A CN 1245750 C CN1245750 C CN 1245750C
Authority
CN
China
Prior art keywords
etching stopping
stopping layer
free dielectric
use nitrogen
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB031531903A
Other languages
English (en)
Other versions
CN1514477A (zh
Inventor
林思宏
张文
章勋明
梁孟松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1514477A publication Critical patent/CN1514477A/zh
Application granted granted Critical
Publication of CN1245750C publication Critical patent/CN1245750C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明是关于一种使用无氮介电蚀刻停止层的半导体元件及其工艺,其工艺步骤包括:提供一基底;依序形成一第一蚀刻停止层及一第二蚀刻停止层于上述基底上,其中上述第二蚀刻停止层是为一碳氧化硅层,而此第一蚀刻停止层是为碳化硅(SiC)层;形成一介电层于该第二蚀刻停止层上;依序定义上述介电层、第二蚀刻停止层以及第一蚀刻停止层以构成至少一开口于上述基底上,并露出开口内的基底;以及形成一导电层于上述开口内。

Description

使用无氮介电蚀刻停止层的半导体元件及其工艺
技术领域
本发明是有关于一种半导体元件及其工艺,特别是有关于一种使用无氮介电蚀刻停止层(N-free dielectric etching stop layer)的半导体元件及其工艺。
背景技术
在半导体元件的制作过程中,是于后段半导体工艺(back end of theline;BEOL)中利用金属导线结构以连结先前已制备于晶片上的各式元件,并形成对外连结线路而完成整体半导体元件的工艺。而随着半导体元件积集度的提升,铜金属搭配镶嵌式(dama scene)工艺的内联机结构可谓为当今后段工艺中金属导线连结技术的主流。
而上述的镶嵌式(damascene)内联机结构,更可细分为单镶嵌(dama scene)及双镶嵌(dual dama scene)两种,以双镶嵌工艺为例,是于半导体基底的介电层上,先行制作出具有介层洞(via hole)与内联机图案沟槽(trench),接着再以导电金属材料填满介层洞和内联机图案沟槽,配合以化学机械研磨工艺移除介电层上方多余的金属后,则同时形成出金属接触插塞(plug)与金属内联机结构,达到简化工艺步骤的效果。
而双镶嵌工艺亦可细分为两类,一种是先形成介层洞开口后再形成导线沟槽开口,另一种则是先形成导线沟槽开口后再形成介层洞开口。
以下以图1A至图1F说明习知的一种先形成介层洞开口后再形成导线沟槽开口的双镶嵌结构的制造方法。
如图1A所示,在已形成既定的金属内联机结构102,例如铜或铝的半导体基底100上,先形成第一氮化硅层104,接着依序第一介电层106,接着形成一第二氮化硅层108,接着再形成一第二介电层110。其中上述第一氮化硅层104与第二氮化硅层108是作为蚀刻停止层之用。
接着,如图1B所示,在介电层110覆盖光刻胶层112,并进行光刻蚀刻工艺,在介电层108上对应内联机结构102的区域,形成介层洞开口114。接着以光刻胶层112为掩膜,继续蚀刻第二氮化硅层108及介电层106,而在去除光刻胶层110后,则于介电层间形成介层洞开口114,并露出其内的第一氮化硅层104,如图1C所示。
接着如图1D所示,在介电层110上覆盖一光刻胶层116,并利用光刻工艺在光刻胶层116上定义出导线沟槽图案118。部分的光刻胶材料会残留于介层洞114中,形成光刻胶插塞116a。
接着以光刻胶层116的沟槽图案为掩膜,蚀刻介电层110至作为蚀刻停止层的第二氮化硅层108为止,以形成导线沟槽118。
最后则去除导线沟槽中的第二氮化硅层108以及第一氮化硅层104,如图1F所示。于填入金属导电材料后,去除多余的导电材料后,则形成金属接触插塞与金属内联机结构120。
在此,蚀刻停止层(在此为第一氮化硅层104与第二氮化硅层108)的作用是作为防止上述金属材料于内部扩散的阻障层,此外,亦提供了于上述介电层内定义沟槽及介层洞结构时的蚀刻停止效果(因两者间构成材质不同,具有较佳的蚀刻选择比),可得到较佳的定义后沟槽及介层洞结构。
然而,由于定义沟槽图案时的光刻胶材质会填入之前形成的介层洞开口内(如光刻胶插塞116a),并于后续工艺中与开口中的蚀刻停止层(在此为第一氮化硅层104与第二氮化硅层108)构成材料中所逸出(out-gassing)的氮原子(N)及环境中水气反应,进而生成碱性物质并中和光刻胶材质中的质子酸,而于显影后,产生如习知的光刻胶图案的底脚(footing)或底切(undercut)等变形情形(未显示),并进而影响转移后的介层洞或沟槽的外型,造成介层洞或沟槽毒化情形(via poisoning ortrench poisoning)。
且于后续导电金属材质的填入后,由于上述原因易容易于双镶嵌图案中形成金属导线的不规则形状。此外,上述原因也会造成电流于导线和介层洞插塞流动的障碍,而形成电子迁移孔洞,使得产品可靠度下降。这些问题,均会严重影响内联机(由多层导线和介层窗插塞所构成)的品质。
而应用含氮介电材料(如氮化硅或氮氧化硅)于镶嵌式工艺中的作为蚀刻停止层具有另一问题,由于含氮介电材料的介电常数偏高(氮化硅Si3N4约为7;氮氧化硅SiON约为5.2),亦会产生如寄生电容的问题,而较不适于当今以铜金属作为导电层材质及搭配低介电常数介电材料(low-k dielectric)的主流多重内联机结构上的应用。
发明内容
本发明的主要目的是提供了一种使用无氮介电蚀刻停止层的半导体元件及形成此具有无氮介电蚀刻停止层的半导体元件工艺,适合应用于一般半导体工艺或当今主流的镶嵌工艺。
本发明的无氮介电材料具有较低的介电常数,其所形成的蚀刻停止层与邻近的介电层(如低介电常数材料的介电层)的组合将提供如时依性介电崩溃(time dependence dielectric breakdown;TDDB)、电致变迁(electro-migration;EM)及应力变迁(stress migration;SM)等电性上良好的表现,而采用本发明的无氮介电材料作为蚀刻停止层具有减低导电构件间的漏电流,进而提升整体元件电性表现的效果。
为达上述目的,本发明提供了一种使用无氮介电蚀刻停止层的半导体工艺,其步骤包括:
提供一半导体基底;依序形成一第一蚀刻停止层及一第二蚀刻停止层于此半导体基底上,其中第二蚀刻停止层是为一碳氧化硅层,而上述第一蚀刻停止层是为碳化硅(SiC)层;形成一介电层于第二蚀刻停止层上;依序定义介电层、第二蚀刻停止层以及第一蚀刻停止层以构成多个开口于基底上,并露出开口内的半导体基底;以及形成一导电层于该等开口内。
再者,利用上述工艺所形成的使用无氮介电蚀刻停止层的半导体元件,其结构包括:
一半导体基底,其上依序堆栈有一第一蚀刻停止层、一第二蚀刻停止层以及一介电层,其中第一蚀刻停止层是为碳化硅(SiC)层;以及至少一导电层,设置于此些膜层内并接触该半导体基底,以构成一导电构件。而此导电构件可为一接触插塞或一导线。
此外,于上述半导体工艺及半导体元件内,第一蚀刻停止层是借由等离子体增强化学气相沉积法(PECVD)所形成,且为一无氮介电材料(N-free dielectric)的蚀刻停止层,而形成该第一蚀刻停止层的工艺气体中实质上只使用三甲基硅甲烷(trimethylsilane)气体而不包含有惰性气体。
再者,于上述半导体工艺及半导体元件中形成碳化硅材质的第一蚀刻停止层的操作条件如下:
于工作频率约为13.56百万赫兹(MHz)的高频射频电源(highfrequency radio frequence power supply)所提供的介于50-500瓦特(Watts)高频射频功率(HFRF power);气体流量介于100-1500每立方公分/每分钟(standard cubic centimeter per minute;SCCM)的三甲基硅甲烷(trimethylsilane;3MS)为工艺气体,且无使用其它惰性气体工艺气体(如氦气He);介于0.5-2托(Torr.)反应压力;以及介于300-400℃的反应温度。
此外,上述半导体工艺及半导体元件内第二蚀刻停止层较佳为一碳氧化硅(SiOC)材质,而本发明中亦揭露了借由等离子体增强化学气相沉积法(PECVD)形成此碳氧化硅(SiOC)材质的第二蚀刻停止层的两较佳操作条件,其操作条件分别如下:
操作条件之一为:
于工作频率约为13.56百万赫兹(MHz)的高频射频电源(highfrequency radio frequence power supply)所提供的介于300-500瓦特(Watts)高频射频功率(HFRF power)并搭配工作频率约为900千赫兹(KHz)的低频射频电源(high frequency radio frequence power supply)所提供的介于0-200瓦特(Watts)低频射频功率(HFRF power);以三甲基硅甲烷(trimethylsilane;3MS)与二氧化碳(CO2)为工艺气体,其中三甲基硅甲烷气体流量介于100-300每立方公分/每分钟(SCCM),而二氧化碳气体流量介于350-1050每立方公分/每分钟(SCCM);介于0.5-2.5托(Torr.)反应压力;以及介于300-400℃的反应温度。
操作条件之二为:
以工作频率约为13.56百万赫兹(MHz)的高频射频电源(highfrequency radio frequence power supply)所提供的介于300-500瓦特(Watts)高频射频功率(HFRF power),并搭配工作频率约为900千赫兹(KHz)的低频射频电源(high frequency radio frequence power supply)所提供的介于0-200瓦特(Watts)低频射频功率(HFRF power);以三甲基硅甲烷(trimethylsilane;3MS)、二氧化碳(CO2)与氦气(He)为工艺气体,其中三甲基硅甲烷气体流量介于100-300每立方公分/每分钟(SCCM),而二氧化碳气体流量介于350-1050每立方公分/每分钟(SCCM),而氦气(He)气体流量介于400-1200每立方公分/每分钟(SCCM);介于2-5托(Torr.)的反应压力;以及介于300-400℃的反应温度。
再者,由上述由第一蚀刻停止层(碳化硅材质,k约为2.8)及第二蚀刻停止层(碳氧化硅材质,k约为4.2-4.5)所构成复合的蚀刻停止层,可提供而与邻近的介电层间(例如为碳氧化硅材质的低介电材料介电层)良好的蚀刻选择效果,除此之外其与邻近的介电层(如低介电常数材料的介电层)的组合亦提供了如时依性介电崩溃(time dependence dielectricbreakdown;TDDB)、电致变迁(electro-migration;EM)及应力变迁(stress migration;SM)等电性上良好的表现,故采用本发明的无氮介电材料的蚀刻停止层将可大幅减低金属结构间的漏电流,而提升整体元件的电性表现。
此外,本发明亦提供了另一种使用无氮介电蚀刻停止层的半导体工艺,其步骤包括:
提供一半导体基底;形成一第一蚀刻停止层于上述半导体基底上,其中第一蚀刻停止层是为碳化硅(SiC)层;形成一介电层于上述第一蚀刻停止层上;依序定义介电层以及第一蚀刻停止层以构成多个开口于该基底上,并露出该等开口内的基底;以及形成一导电层于该等开口内。
再者,利用上述工艺所形成的使用无氮介电蚀刻停止层的半导体元件,其结构包括:
一半导体基底,其上依序堆栈有一第一蚀刻停止层以及一介电层,其中第一蚀刻停止层是为碳化硅(SiC)层;以及至少一导电层,设置于此些膜层内并接触半导体基底,以构成一导电构件。而此导电构件可为一接触插塞或一导线。
此外,于上述半导体工艺及半导体元件内,第一蚀刻停止层是借由等离子体增强化学气相沉积法(PECVD)所形成,且为一无氮介电材料(N-free dielectric)的蚀刻停止层,而形成该第一蚀刻停止层的工艺气体中实质上只使用三甲基硅甲烷(trimethylsilane)气体而不包含有惰性气体。
再者,于上述半导体工艺及半导体元件中形成碳化硅材质的第一蚀刻停止层的操作条件如下:
于工作频率约为13.56百万赫兹(MHz)的高频射频电源(highfrequency radio frequence power supply)所提供的介于100-1000瓦特(Watts)高频射频功率(HFRF power);气体流量介于150-1500每立方公分/每分钟(standard cubic centimeter per minute;SCCM)的三甲基硅甲烷(trimethylsilane;3MS)为工艺气体,且无使用其它惰性气体工艺气体(如氦气He);介于0.5-2托(Torr.)反应压力;以及介于300-400℃的反应温度。
再者,由于上述第一蚀刻停止层是完全由碳化硅材质所构成,可提供与邻近的介电层间(如为碳氧化硅材质的低介电材料介电层)更为良好的蚀刻选择效果,除此之外其具有更为低的介电常数(k约为2.8),与邻近的介电层(如碳氧化硅材质低介电常数材料的介电层)的组合亦提供了如时依性介电崩溃(time dependence dielectric breakdown;TDDB)、电致变迁(electro-migration;EM)及应力变迁(stress migration;SM)等电性上良好的表现,故采用本发明的无氮介电材料的蚀刻停止层将可大幅减低半导体结构间的漏电流,而提升整体元件的电性表现。
附图说明
图1A至图1F是说明习知的一种先形成介层洞开口后再形成导线沟槽开口的双镶嵌结构的制造方法;
图2A至图2D是说明本发明第一实施例中所使用的无氮介电蚀刻停止层的半导体工艺;
图3A至图3C是说明本发明第二实施例中所使用的无氮介电蚀刻停止层的半导体工艺。
符号说明:
100、200、300-半导体基底
102-内联机结构
104-第一氮化硅层
106-第一介电层
108-第二氮化硅层
110-第二介电层
112、116-光刻胶层
114-介层洞开口
116a-光刻胶插塞
120-金属接触插塞与金属内联机结构
202、206、302-沉积程序
ESL-双层蚀刻停止层
204、302-第一蚀刻停止层
208-第二蚀刻停止层
210、306-介电层
212、308-开口
214、310-导电层
具体实施方式
为了让本发明的上述目的、特征、及优点能更明显易懂,以下配合所附图式,作详细说明如下:
第一实施例:
以下以图2A至图2D说明本发明的使用无氮介电蚀刻停止层的半导体工艺。
首先,如图2A所示,提供一半导体基底200,例如为一硅基底,其上形成有元件及内联机,为简化图示,此处仅绘示出一平整基底,借由一沉积程序202于半导体基底200上先形成第一蚀刻停止层204,其厚度介于10-80埃()。而形成此第一蚀刻停止层204的沉积程序202例如借由等离子体增强化学气相沉积法(plasma enhanced chemical vapordeposition;PECVD)所完成,且实质上只使用三甲基硅甲烷(trimethylsilane;3MS)为工艺气体,无使用其它如氮气、氦气等惰性气体所形成,为一碳化硅(SiC)材质的膜层,其介电常数约为2.8。
而上述沉积程序202的较佳操作条件如下:
(a):以工作频率约为13.56百万赫兹(MHz)的高频射频电源(highfrequency radio frequence power supply)所提供的介于50-500瓦特(Watts)高频射频功率(HFRF power),较佳的功率约为50-200瓦特(Watts);
(b):以气体流量介于100-1500每立方公分/每分钟(standard cubiccentimeter per minute;SCCM)的三甲基硅甲烷(trimethylsilane;3MS)为工艺气体,较佳的工艺气体流量约为150每立方公分/每分钟(SCCM),无使用其它惰性气体工艺气体(如氦气He),可形成结构较致密的碳化硅(SiC)薄膜;
(c):反应压力介于0.5-2托(Torr.),较佳的反应压力约为1.7托(Torr.),反应压力较低具有减低表面缺陷(surface defects)的功效;以及
(d):反应温度介于300-400℃,较佳的反应温度约为350℃。
接着,如图2B所示,借由一沉积程序206于第一蚀刻停止层204上形成一第二蚀刻停止层208,其厚度介于500-700埃()。而形成此第二蚀刻停止层208的沉积程序206例如借由等离子体增强化学气相沉积法(plasma enhanced chemical vapor deposition;PECVD)所形成,其材质较佳为碳氧化硅(SiOC)材质的膜层,具有约为4.2-4.5的介电常数。
而上述沉积程序206中利用等离子体增强化学气相沉积法(PECVD)所形成第二蚀刻停止层208的碳氧化硅(SiOC)材料,在此本发明则提供了沉积程序206中两种较佳的操作条件,于操作条件一中是采用两种工艺气体以形成此碳氧化硅材料,而于操作条件二中是采用三种工艺气体以形成此碳氧化硅材料,以上的操作条件将个别地简述如下:
操作条件一:
(a):以工作频率约为13.56百万赫兹(MHz)的高频射频电源(highfrequency radio frequence power supply)所提供的介于300-500瓦特(watts)高频射频功率(HFRF power),较佳的高频射频功率约为400瓦特(Watts)并搭配工作频率约为900千赫兹(KHz)的低频射频电源(highfrequency radio frequence power supply)所提供的介于0-200瓦特(Watts)低频射频功率(HFRF power),而较佳的低频射频功率约为50-200瓦特(Watts);
(b):以三甲基硅甲烷(trimethylsilane;3MS)与二氧化碳(CO2)为工艺气体,其中三甲基硅甲烷气体流量介于100-300每立方公分/每分钟(SCCM),较佳的三甲基硅甲烷气体流量约为100每立方公分/每分钟(SCCM),而二氧化碳气体流量介于350-1050每立方公分/每分钟(SCCM),较佳的二氧化碳气体流量约为350每立方公分/每分钟(SCCM);
(c):反应压力介于0.5-2.5托(Torr.),较佳的反应压力介于为1.5-1.9托(Torr.),反应压力较低具有减低表面缺陷(surface defects)的功效;以及
(d):反应温度介于300-400℃,较佳的反应温度约为350℃。
操作条件二:
(a):以工作频率约为13.56百万赫兹(MHz)的高频射频电源(highfrequency radio frequence power supply)所提供的介于300-500瓦特(Watts)高频射频功率(HFRF power),较佳的高频射频功率约为400瓦特(Watts)并搭配工作频率约为900千赫兹(KHz)的低频射频电源(highfrequency radio frequence power supply)所提供的介于0-200瓦特(Watts)低频射频功率(HFRF power),而较佳的低频射频功率约大约50-200瓦特(Watts);
(b):以三甲基硅甲烷(trimethylsilane;3MS)、二氧化碳(CO2)与氦气(He)为工艺气体,其中三甲基硅甲烷气体流量介于100-300每立方公分/每分钟(SCCM),较佳的三甲基硅甲烷气体流量约为100每立方公分/每分钟(SCCM),而二氧化碳气体流量介于350-1050每立方公分/每分钟(SCCM),较佳的二氧化碳气体流量约为350每立方公分/每分钟(SCCM),而氦气(He)气体流量介于400-1200每立方公分/每分钟(SCCM),较佳的氦气气体流量约为400每立方公分/每分钟(SCCM);
(c):反应压力介于2-5托(Torr.),较佳的反应压力介于为3-4托(Torr.),反应压力较低具有减低表面缺陷(surface defects)的功效;
(d):反应温度介于300-400℃,较佳的反应温度约为350℃。
在此,本发明的上述第一蚀刻停止层204与第二蚀刻停止层208可视为一复合的双层蚀刻停止层ESL,其中第二蚀刻停止层208较第一蚀刻停止层204为厚且此双层停止层ESL具有一介于500-700埃()的整体厚度,而其整体的介电常数也可更减低为介于2.8-4.2间。
且于先前形成的第一蚀刻停止层204(碳化硅材质)的沉积过程中,由与所使用的反应气体(在此为三甲基硅甲烷)除了不含氮原子(N)外,亦不含有氧原子(O),故于沉积过程中对于位于其下方先前存在于半导体基底200内的暨有元件或内联机的裸露表面(在此未显示)不会有氧化其表面的顾虑,可维持元件或内导线表面结构的完整性而不对其电性表现造成影响,并于此平整的半导体基底200上借由此第一蚀刻停止层204及厚度较第一蚀刻停止层204为厚的第二蚀刻停止层208(碳氧化硅材质)以构成一复合的蚀刻停止层ESL。
如图2C所示,接着形成介电层210于上述第二蚀刻停止层208,例如为利用化学气相沉积(CVD)方式沉积的掺氟二氧化硅(fluorinatedSiO2,FSG)或应用材料公司的黑钻石薄膜或低介电常数的碳氧化硅材料(SiOC low-k)以作其材质。接着进行一般光刻蚀刻工艺(未显示),在介电层210上对应于位于半导体基底200内的元件或内联机的区域(未显示),依序定义介电层210、第二蚀刻停止层208及第一蚀刻停止层204以构成多个开口212,并露出开口212内的基底表面。
接着如图2D所示,于开口212内填入如铜、铝或钨等导电材料后,并去除多余的导电材料后(例如利用一化学机械研磨程序),以形成一导电层214于开口212内。而上述导电层214的功用,除了可作为连接元件的接触插塞构件外,亦可依实际需要调整开口212的线宽,以于开口212内形成应用为导线构件的导电层214。
如此,借由上述工艺所形成的半导体元件,其结构如图2D所示,包括:
半导体基底200,其上依序堆栈有第一蚀刻停止层204、第二蚀刻停止层208以及介电层210,其中第一蚀刻停止层是为碳化硅(SiC)层;以及至少一导电层214(在此显示为两个),设置于上述膜层内并接触半导体基底200,以构成一导电构件,而此导电构件可为一接触插塞或一导线结构。
第二实施例:
以下以图3A至图3C说明本发明的另一使用无氮介电蚀刻停止层的半导体工艺。
首先,如图3A所示,提供一半导体基底300,例如为一硅基底,其上形成有元件及内联机,为简化图示,此处仅绘示出一平整基底,借由一沉积程序302于半导体基底300上先形成第一蚀刻停止层304,其厚度介于400-700埃()。而形成此第一蚀刻停止层304的沉积程序302例如借由等离子体增强化学气相沉积法(plasma enhanced chemical vapordeposition;PECVD)所完成,且实质上只使用三甲基硅甲烷(trimethylsilane;3MS)为工艺气体,无使用其它如氮气、氦气等惰性气体所形成,为一碳化硅(SiC)材质的膜层,其介电常数约为2.8。
而上述沉积程序302的较佳操作条件如下:
(a):以工作频率约为13.56百万赫兹(MHz)的高频射频电源(highfrequency radio frequence power supply)所提供的介于100-1000瓦特(Watts)高频射频功率(HFRF power),较佳的功率约为100瓦特(Watts);
(b):以气体流量介于150-1500每立方公分/每分钟(standard cubiccentimeter per minute;SCCM)的三甲基硅甲烷(trimethylsilane;3MS)为工艺气体,无使用其它如氮气、氦气等惰性气体所形成,较佳的气体流量约为150每立方公分/每分钟(SCCM),可形成结构较致密的碳化硅(SiC)薄膜;
(c):反应压力介于0.5-2托(Torr.),较佳的反应压力约为1.7托(Torr.),反应压力较低具有减低表面缺陷(surface defects)的功效;
(d):反应温度介于300-400℃,较佳的反应温度约为350℃。
于此第一蚀刻停止层304(碳化硅材质)的沉积过程中,由与所使用的工艺气体(在此为三甲基硅甲烷)除了不含氮原子(N)外,亦不合有氧原子(O),故于沉积过程中对于位于其下方先前存在于半导体基底300内的暨有元件或内联机的裸露表面(在此未显示)不会有氧化其表面的顾虑,可维持元件或内导线表面结构的完整性而不对其电性表现造成影响。
如图3B所示,接着形成介电层306于上述第一蚀刻停止层304上,例如为利用化学气相沉积(CVD)方式沉积的掺氟二氧化硅(fluorinatedSiO2,FSG)或应用材料公司的黑钻石薄膜或低介电常数的碳氧化硅材料(SiOC low-k)以作为其材质。接着进行一般光刻蚀刻工艺,在介电层306上对应于位于半导体基底300内的元件或内联机的区域(未显示),依序定义介电层306及第一蚀刻停止层304以构成多个开口308,并露出开口308内的半导体基底表面。
接着如图3C所示,于开口308内填入如铜、铝或钨等导电金属材料后,并去除多余的导电材料后(例如利用化学气相沉积法),以形成一导电层310于开口308内。而上述导电层310的功用,除了可作为连接元件的接触插塞构件外,亦可依实际需要调整开口308的线宽,以于开口308内形成应用为导线构件的导电层310。
如此,借由上述工艺所形成的半导体元件,其结构如图3C所示,包括:
半导体基底300,其上依序堆栈有第一蚀刻停止层302以及介电层306,其中第一蚀刻停止层是为碳化硅(SiC)层;以及至少一导电层310(在此显示为两个),设置于上述膜层内并接触半导体基底300,以构成一导电构件,而此导电构件可为一接触插塞或一导线结构。
电性评估:
在此以第一及第二实施例中所揭露的具有本发明的无氮介电蚀刻停止层的半导体元件(如图2D及图3C所示)为电性评估对象,在此导电层(214及310)视为一金属导线结构,而两导线间的间距则与其导线线宽相同(约为0.12μm),所使用的介电层材质为介电常数约为黑钻石薄膜(black diamond),其厚度约为2000-7000埃,而金属导线的材质为铜金属,评估改变所使用的蚀刻停止层材质(整体厚度约为550埃),其整体(蚀刻停止层与邻近的介电层)电性表现的变化,其评估项目则分为以下3项:
(1):时依性介电崩溃(time dependence dielectric breakdown;TDDB);
(2):电致变迁(electro-migration;EM);
(3):崩溃电压(breakdown voltage;Vbd)。
                                   表一:评估结果
蚀刻停止层组成结构     TDDB@3.6V,125℃(years)    EM@3.6V,125℃(A/Gm2)     Vbd@1mA/cm2(MV/cm)
SiC+反应条件1的SiOC(w/o He)     4.1*107     7*105     -4.4
SiC+反应条件2的SiOC(w/He)     9.7*109     1*106     -3.3
SiC only      N/A      N/A -5
业界标准     10     5.5*105
本发明的三种蚀刻停止层结构,其实际应用于半导体工艺中的评估结果,皆能符合业界标准,且于如TDDB及EM项目中,更远优于当今业界的标准,本发明的无氮介电材料其应用于半导体工艺中以作为蚀刻停止层之用,可符合深次微米世代的半导体工艺的要求。
本发明的实施例是以一单镶嵌式半导体工艺作为说明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,将本发明的无氮介电材料蚀刻停止层更应用于双镶嵌半导体工艺或一般的半导体工艺,故不在此加以限定本发明的无氮介电材料蚀刻停止层的使用时机。

Claims (30)

1.一种使用无氮介电蚀刻停止层的半导体工艺,包括下列步骤:
提供一半导体基底;
依序形成一第一蚀刻停止层及一第二蚀刻停止层于该半导体基底上,其中该第二蚀刻停止层是为一碳氧化硅层,而该第一蚀刻停止层是为碳化硅层;
形成一介电层于该第二蚀刻停止层上;
依序定义该介电层、该第二蚀刻停止层以及该第一蚀刻停止层以构成多个开口于该基底上,并露出该开口内的半导体基底;
形成一导电层于该开口内。
2.根据权利要求1所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第一蚀刻停止层是借由等离子体增强化学气相沉积法所形成。
3.根据权利要求1所述的使用无氮介电蚀刻停止层的半导体工艺,其中形成该第一蚀刻停止层的工艺气体中实质上只使用三甲基硅甲烷气体而不包含有惰性气体。
4.根据权利要求1所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第一蚀刻停止层是于50-500瓦特高频射频功率下所形成,且该高频射频功率的工作频率为13.56百万赫兹。
5.根据权利要求1所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第一蚀刻停止层是于0.5-2托的反应压力下、300-400℃的反应温度下以及100-1500每立方公分/每分钟的三甲基硅甲烷气体流量下所形成。
6.根据权利要求1所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第二蚀刻停止层形成方法是借由等离子体增强化学气相沉积法,使用三甲基硅甲烷与二氧化碳为工艺气体所形成。
7.根据权利要求6所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第二蚀刻停止层是于300-500瓦特高频射频功率下及0-200瓦特低频射频功率下所形成,且该高频射频功率的工作频率为13.56百万赫兹,而该低频射频功率的工作频率为900千赫兹。
8.根据权利要求6所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第二蚀刻停止层是于0.5-2.5托的反应压力下、300-400℃的反应温度下以及100-300每立方公分/每分钟的三甲基硅甲烷气体流量下及于350-1050每立方公分/每分钟的二氧化碳气体流量下所形成。
9.根据权利要求1所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第二蚀刻停止层其形成方法是借由等离子体增强化学气相沉积法,使用三甲基硅甲烷、二氧化碳及氦气为工艺气体所形成。
10.根据权利要求9所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第二蚀刻停止层是于300-500瓦特高频射频功率下及0-200瓦特低频射频功率下所形成,且该高频射频功率的工作频率为13.56百万赫兹,而该低频射频功率的工作频率为900千赫兹。
11.根据权利要求9所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第二蚀刻停止层是于2-5托的反应压力下、300-400℃的反应温度下、100-300每立方公分/每分钟的三甲基硅甲烷气体流量下、350-1050每立方公分/每分钟的二氧化碳气体流量下及400-1200每立方公分/每分钟的氦气气体流量下所形成。
12.一种使用无氮介电蚀刻停止层的半导体工艺,包括下列步骤:
提供一半导体基底;
形成一第一蚀刻停止层于该半导体基底上,而该第一蚀刻停止层是为碳化硅层;
形成一介电层于该第一蚀刻停止层上;
依序定义该介电层以及该第一蚀刻停止层以构成多个开口于该基底上,并露出该开口内的基底;
形成一导电层于该开口内。
13.根据权利要求12所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第一蚀刻停止层是借由等离子体增强化学气相沉积法所形成。
14.根据权利要求12所述的使用无氮介电蚀刻停止层的半导体工艺,其中形成该第一蚀刻停止层的工艺气体中实质上只使用三甲基硅甲烷气体而不包含有惰性气体。
15.根据权利要求12所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第一蚀刻停止层是于100-1000瓦特高频射频功率下所形成,且该高频射频功率的工作频率为13.56百万赫兹。
16.根据权利要求12所述的使用无氮介电蚀刻停止层的半导体工艺,其中该第一蚀刻停止层是于0.5-2托的反应压力下、300-400℃的反应温度下及150-1500每立方公分/每分钟的三甲基硅甲烷气体流量下所形成。
17.一种使用无氮介电蚀刻停止层的半导体元件,其特征在于所述半导体元件包括:
一半导体基底,其上依序堆栈有一第一蚀刻停止层及一介电层,其特征在于:第一蚀刻停止层是为碳化硅层;
至少一导电层,设置于该第一蚀刻停止层与该介电层内并接触该半导体基底,以构成一导电构件。
18.根据权利要求17所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第一蚀刻停止层是借由等离子体增强化学气相沉积法所形成。
19.根据权利要求17所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:形成该第一蚀刻停止层的工艺气体中实质上只使用三甲基硅甲烷气体而不包含有惰性气体。
20.根据权利要求17所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第一蚀刻停止层是于100-1000瓦特高频射频功率下所形成,且该高频射频功率的工作频率为13.56百万赫兹。
21.根据权利要求17所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第一蚀刻停止层是于0.5-2托的反应压力下、300-400℃的反应温度下以及150-1500每立方公分/每分钟的三甲基硅甲烷气体流量下所形成。
22.根据权利要求17所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该导电构件为接触插塞或导线。
23.根据权利要求17所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:于该第一蚀刻停止层与该介电层间更包括一第二蚀刻停止层,该第二蚀刻停止层是为一碳氧化硅材质。
24.根据权利要求23所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第二蚀刻停止层是借由等离子体增强化学气相沉积法,使用三甲基硅甲烷与二氧化碳为工艺气体所形成。
25.根据权利要求23所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第二蚀刻停止层是于300-500瓦特高频射频功率下及0-200瓦特低频射频功率下所形成,且该高频射频功率的工作频率为13.56百万赫兹,而该低频射频功率的工作频率为900千赫兹。
26.根据权利要求23所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第二蚀刻停止层是于0.5-2.5托的反应压力下、300-400℃的反应温度下、100-300每立方公分/每分钟的三甲基硅甲烷气体流量下以及于350-1050每立方公分/每分钟的二氧化碳气体流量下所形成。
27.根据权利要求23所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第二蚀刻停止层其形成方法是借由等离子体增强化学气相沉积法,使用三甲基硅甲烷、二氧化碳及氦气为工艺气体所形成。
28.根据权利要求23所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第二蚀刻停止层是于300-500瓦特高频射频功率下及0-200瓦特低频射频功率下所形成,其中该高频射频功率的工作频率为13.56百万赫兹,而该低频射频功率的工作频率为900千赫兹。
29.根据权利要求23所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该第二蚀刻停止层是于2-5托的反应压力下、300-400℃的反应温度下、100-300每立方公分/每分钟的三甲基硅甲烷气体流量下、350-1050每立方公分/每分钟的二氧化碳气体流量下以及400-1200每立方公分/每分钟的氦气气体流量下所形成。
30.根据权利要求23所述的使用无氮介电蚀刻停止层的半导体元件,其特征在于:该导电构件为接触插塞或导线。
CNB031531903A 2002-12-31 2003-08-08 使用无氮介电蚀刻停止层的半导体元件及其工艺 Expired - Lifetime CN1245750C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/335,589 US20040124420A1 (en) 2002-12-31 2002-12-31 Etch stop layer
US10/335,589 2002-12-31

Publications (2)

Publication Number Publication Date
CN1514477A CN1514477A (zh) 2004-07-21
CN1245750C true CN1245750C (zh) 2006-03-15

Family

ID=32655396

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB031531903A Expired - Lifetime CN1245750C (zh) 2002-12-31 2003-08-08 使用无氮介电蚀刻停止层的半导体元件及其工艺

Country Status (4)

Country Link
US (2) US20040124420A1 (zh)
CN (1) CN1245750C (zh)
SG (1) SG128451A1 (zh)
TW (1) TWI294647B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US7067437B2 (en) * 2003-09-12 2006-06-27 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20110204382A1 (en) * 2008-05-08 2011-08-25 Base Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US20100059762A1 (en) * 2008-09-08 2010-03-11 Spansion Llc Heat removal facilitated with diamond-like carbon layer in soi structures
US20100252930A1 (en) * 2009-04-01 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Improving Performance of Etch Stop Layer
CN102044473B (zh) * 2009-10-13 2013-03-06 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US8993435B2 (en) 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
US8969997B2 (en) * 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US9370907B2 (en) 2014-03-20 2016-06-21 Seagate Technology Llc Apparatuses and methods utilizing etch stop layers
EP3198630A4 (en) * 2014-09-26 2018-05-02 Intel Corporation Technique for oxidizing plasma post-treatment for reducing photolithography poisoning and associated structures
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
CN109153255A (zh) * 2016-07-12 2019-01-04 惠普发展公司,有限责任合伙企业 包括薄膜钝化层的印刷头
CN111952168B (zh) * 2020-08-18 2022-11-25 上海华力微电子有限公司 刻蚀工艺的切换方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100207444B1 (ko) * 1995-03-14 1999-07-15 윤종용 반도체 장치의 고유전막/전극 및 그 제조방법
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255217B1 (en) * 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6492267B1 (en) * 2000-02-11 2002-12-10 Micron Technology, Inc. Low temperature nitride used as Cu barrier layer
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6548892B1 (en) * 2000-08-31 2003-04-15 Agere Systems Inc. Low k dielectric insulator and method of forming semiconductor circuit structures
US6383943B1 (en) * 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Process for improving copper fill integrity
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US20030087043A1 (en) * 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6770570B2 (en) * 2002-11-15 2004-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer

Also Published As

Publication number Publication date
US20060110938A1 (en) 2006-05-25
TW200411765A (en) 2004-07-01
SG128451A1 (en) 2007-01-30
TWI294647B (en) 2008-03-11
CN1514477A (zh) 2004-07-21
US20040124420A1 (en) 2004-07-01
US7375040B2 (en) 2008-05-20

Similar Documents

Publication Publication Date Title
CN1245750C (zh) 使用无氮介电蚀刻停止层的半导体元件及其工艺
CN2793923Y (zh) 半导体元件
CN1160773C (zh) 半导体器件的制造方法
CN1293622C (zh) 半导体器件及其制造方法
CN1298054C (zh) 存储器与逻辑电路混合形成于一芯片的半导体器件及其制法
CN1222030C (zh) 制造具有碳化硅膜的半导体器件的方法
CN1598981A (zh) 具有至少3层高-k介电层的模拟电容器和制造它的方法
CN1275315C (zh) 镶嵌式金属内连线的制造方法及介电层的修复方法
CN1930685A (zh) 半导体器件的制作方法及其制作的半导体器件
CN1770443A (zh) 电子式熔线
CN1674251A (zh) 半导体器件的制造方法及由此制造的半导体器件
CN2772027Y (zh) 多层半导体装置
CN1614764A (zh) 半导体器件的制造方法
CN1278415C (zh) 具有多个布线层的半导体器件及其制造方法
CN100343975C (zh) 半导体装置的制造方法
CN1601741A (zh) 半导体器件及其制造方法
CN1449044A (zh) 半导体存储装置及其制造方法
CN100336199C (zh) 半导体装置的制造方法
CN1881577A (zh) 半导体器件及其制造方法
CN1155092C (zh) 半导体装置及其制造方法
CN1247384A (zh) 半导体器件及其制造方法
CN1196575A (zh) 利用化学机械抛光工艺的半导体器件制造方法
CN1314102C (zh) 半导体装置及其制造方法
CN1769517A (zh) 等离子体化学气相沉积设备及用它制造半导体器件的方法
CN1819181A (zh) 半导体装置及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20060315