US20040124420A1 - Etch stop layer - Google Patents

Etch stop layer Download PDF

Info

Publication number
US20040124420A1
US20040124420A1 US10/335,589 US33558902A US2004124420A1 US 20040124420 A1 US20040124420 A1 US 20040124420A1 US 33558902 A US33558902 A US 33558902A US 2004124420 A1 US2004124420 A1 US 2004124420A1
Authority
US
United States
Prior art keywords
layer
sic
dielectric layer
dielectric
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/335,589
Other languages
English (en)
Inventor
Simon Lin
Weng Chang
Syun-Ming Jang
Ms Liang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US10/335,589 priority Critical patent/US20040124420A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, WENG, JANG, SYUN-MING, LIANG, MS, LIN, SIMON S.H.
Priority to TW092115180A priority patent/TWI294647B/zh
Priority to CNB031531903A priority patent/CN1245750C/zh
Priority to SG200307012A priority patent/SG128451A1/en
Publication of US20040124420A1 publication Critical patent/US20040124420A1/en
Priority to US11/325,935 priority patent/US7375040B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers

Definitions

  • the present invention relates generally to semiconductor devices and methods for manufacturing semiconductor devices. In one aspect, the present invention relates to improved methods of forming etch stop layers.
  • a nitrogen-free etch stop layer is currently preferred for copper dual damascene structures having a low-k dielectric intermetal layer to avoid problems caused by outgassing from the low-k dielectric (e.g., via poisoning, resist scumming, via blinding).
  • a bi-layer structure is often used for providing a nitrogen-free etch stop layer for 90 nm and above technologies, for example.
  • a SiOC layer is currently used as a nitrogen-free etch stop for the dual damascene process. SiOC is currently adopted for its low-k dielectric properties and inter-metal dielectric etch selectivity.
  • a bottom SiC layer is currently used as a glue layer to improve the adhesion between the underlying layer and the etch stop layer.
  • the SiC layer When part of the underlying layer includes copper (e.g., for metal interconnects and/or contacts), the SiC layer also prevents the SiOC from oxidizing the copper.
  • a bi-layer structure often causes additional interface adhesion concerns, due in part to the current quality of the SiOC and SiC layers.
  • the use of and processing of the SiOC as the etch stop layer introduces the problem of oxidizing copper in the underlying layer.
  • a method of manufacturing a semiconductor device includes the following steps (the order of which may vary).
  • a layer of silicon carbide (SiC) is formed directly on an underlying layer.
  • a dielectric layer is formed directly on the SiC layer.
  • the dielectric layer having a top surface.
  • the dielectric layer is etched to form an opening therein with a first etch chemistry, and the SiC layer acts as an etch stop layer.
  • a conducting material is deposited into the opening and on the top surface of the dielectric. A portion of the conducting material is removed so that a top surface of the conducting material is substantially planar with the top surface of the dielectric layer.
  • the SiC layer may be etched at the opening with a second etch chemistry.
  • the first etch chemistry is preferably selective against etching SiC.
  • the SiC layer preferably has a thickness between about 20 angstroms and about 550 angstroms.
  • the forming of the SiC layer is preferably performed by flowing substantially pure trimetholsilane (3MS) into a reaction chamber having a pressure of less than about 2 torr therein.
  • a semiconductor device has a first silicon carbide (SiC) layer formed directly on an underlying layer. It also has a first dielectric layer formed directly on the SiC layer. The first dielectric layer has a top surface. A first conductor extends through the first dielectric layer and the first SiC layer. The first conductor has a top surface. The top surface of the first conductor is substantially planar with the top surface of the dielectric layer.
  • the SiC layer preferably acts as a glue layer and as an etch stop layer.
  • a semiconductor device has a plurality of transistors, each of the transistors having a channel length of 130 nm or less.
  • An oxide layer overlies the plurality of transistors.
  • a silicon carbide (SiC) layer is formed directly on the oxide layer.
  • the dielectric layer is formed directly on the SiC layer.
  • the dielectric layer has a top surface.
  • a conducting material is disposed within a trench formed in the dielectric layer.
  • the conducting material has a top surface.
  • the top surface of the conducting material is substantially planar with the top surface of the dielectric layer.
  • a contact extends through the dielectric layer and the SiC layer to connect one of the transistors to the conducting material.
  • the transistors may be CMOS transistors.
  • a method of manufacturing a semiconductor device includes the following steps (the order of which may vary).
  • a silicon carbide (SiC) etch stop layer is formed on an underlying layer in a reaction chamber with a process that includes the following parameters (these may be done simultaneous or in a varied order): a) substantially pure trimetholsilane (3MS) is flowed into and through the reaction chamber, and b) the reaction chamber is pressurized at a pressure less than about 2 torr. Then, a dielectric layer is formed over the SiC layer.
  • SiC silicon carbide
  • a semiconductor device has a layer of silicon carbide (SiC) material formed on an underlying layer.
  • the material properties of the SiC material are such that the SiC material has a current leakage of less than about 1.0 ⁇ 10 ⁇ 6 amps per square centimeter at an electric field of about 2 megavolts per centimeter.
  • a dielectric layer is formed over the SiC layer.
  • a conductor e.g., conducting line, contact, or both
  • the current leakage is preferably about 1.5 ⁇ 10 ⁇ 8 amps per square centimeter at about 2 megavolts per centimeter, and preferably about 1.0 ⁇ 10 ⁇ 9 amps per square centimeter at about 1 megavolts per centimeter.
  • a method of manufacturing a semiconductor device includes the following steps (the order of which may vary).
  • a SiOC etch stop layer is formed over an underlying layer (e.g., a SiC layer in a bi-layer etch stop layer structure) in a reaction chamber with a non-He process that includes the following parameters (these may be done simultaneous or in a varied order): a) flowing trimetholsilane (3MS) into and through the reaction chamber; b) flowing CO 2 into and through the reaction chamber; c) pressurizing the reaction chamber at a pressure less than about 2 torr; and d) energizing the reaction chamber with low frequency RF power of at least about 100 watts.
  • a dielectric layer is then formed over the SiOC layer.
  • the underlying layer may be a SiC layer or some other layer.
  • the flow rate of the 3MS flowing is preferably about 100 sccm.
  • the flow rate of the CO 2 flowing is preferably about 350 sccm.
  • the process parameters for forming the SiOC layer may further include heating the reaction chamber to a temperature of about 350° C. and energizing the reaction chamber with high frequency RF power of at about 400 watts.
  • a semiconductor device which includes a layer of silicon carbide (SiC) material, a layer of SiOC material, a dielectric layer, and a conductor.
  • the SiC layer is formed on an underlying layer.
  • the SiOC layer is formed on the SiC layer.
  • the SiOC layer is capable of passing an electron migration test having conditions of about 300° C. with a J stress of about 2 ⁇ 10 6 A/cm 2 .
  • the SiOC layer is capable of passing a stress migration test having conditions of about 175° C. for about 500 hours.
  • the dielectric layer is formed on the SiOC layer.
  • the conductor extends through the dielectric layer, the SiOC layer, and the SiC layer.
  • the SiC material preferably has a current leakage of less than about 1.0 ⁇ 10 ⁇ 6 amps per square centimeter at an electric field of about 2 megavolts per centimeter.
  • FIGS. 1A and 1B provide simplified cross-section views of a bi-layer nitrogen-free etch stop layer of the prior art
  • FIGS. 2 A- 5 provide simplified cross-section views of a single layer nitrogen-free etch stop layer comprising SiC in accordance with a first embodiment of the present invention
  • FIG. 6 provides a simplified cross-section view of a second embodiment of the present invention.
  • FIG. 7 provides a plot comparing a SiC layer formed using the new process with a SiC layer formed using an old process
  • FIGS. 8 - 12 provide simplified cross-section views of a third embodiment of the present invention.
  • FIGS. 13 - 17 provide cross-section views of a fourth embodiment of the present embodiment, as a more specific embodiment
  • FIG. 18 provides a simplified cross-section view of a fifth embodiment of the present invention.
  • FIG. 19 provides a simplified cross-section view of a sixth embodiment of the present invention.
  • FIG. 20 provides a simplified cross-section view of a seventh embodiment of the present invention.
  • FIGS. 1A and 1B show a contact opening 20 formed with a dual damascene process while using a conventional bi-layer structure 22 for the etch stop layer.
  • a current nitrogen-free etch stop layer 22 may have a bulk layer of SiOC 24 formed directly on a thin layer of SiC 26 , which is directly formed on the underlying layer 28 .
  • the SiOC layer 24 is typically about 530 angstroms thick and the SiC layer 26 is typically about 20 angstroms thick (see FIG. 1B), for example.
  • a nitrogen-free etch stop layer is currently preferred for copper dual damascene structures having a low-k dielectric intermetal layer to avoid problems caused by outgassing from the low-k dielectric (e.g., via poisoning, resist scumming, via blinding).
  • a SiOC layer 24 in a bi-layer structure 22 is currently used as a nitrogen-free etch stop for the dual damascene process.
  • a SiC layer 26 in a bi-layer structure 22 is sometimes used as a glue layer to improve the adhesion between the underlying layer 28 and the etch stop layer 24 .
  • the SiC layer 26 also prevents the SiOC layer 24 from oxidizing the copper (i.e., due to the oxygen contained in the SiOC formation process).
  • the SiC layer 26 is typically lower quality (relative to pure SiC) due to the chemistries used in forming the bi-layer structure 22 , which typically consists of a combination of trimetholsilane (SiH(CH 3 ) 3 ) (“3MS” hereinafter), carbon dioxide (CO 2 ), and helium (He) (or 3MS/CO 2 /He).
  • TDDB time dependent dielectric breakdown
  • the inventors have developed a process for making a higher quality SiC layer (i.e., more pure SiC) with leakage during TDDB tests improved by as much as three orders of magnitude. With this improvement in the resulting SiC layer (due to the developed process), the improved SiC layer can have electrical properties competitive with that of the SiOC material used in the bi-layer etch stop layer structure 22 .
  • FIGS. 2A and 2B show an initial structure of a first embodiment 30 of the present invention.
  • a SiC layer 32 is formed directly on an underlying layer 28
  • a dielectric layer 34 is formed directly on the SiC layer 32 .
  • the bi-layer structure 22 of FIG. 1B may be replaced with a single layer of SiC 32 having a thickness of about 550 angstrom, for example.
  • the SiC layer 32 acts as both a glue layer for ensuring adhesion between the dielectric layer 34 and the underlying layer 28 , and as an etch stop layer used during the formation of a contact opening.
  • Using a single layer of SiC in accordance with the present invention, may be useful for 65 m technologies and smaller, for example.
  • the dielectric layer 34 is preferably a material having a low dielectric constant (low-k dielectrics) to lower parasitic capacitance and cross-talk between metal lines.
  • the dielectric layer 34 may be composed of any of a variety of dielectric materials, including but not necessarily limited to: silicon dioxide; a diamond-structured carbon (e.g., Black DiamondTM available from Applied Materials); SILKTM available from Dow Chemical; FLARETM available from Honeywell; LKD (low k dielectric) from JSR Micro; hydrogenated oxidized silicon carbon material (SiOCH) or compounds thereof; amorphous hydrogenated silicon (a-Si:H); silicon-oxygen-nitrogen compounds (SiOxNy); low-k polymers; organic polymers (e.g., polyimide, parylene, polyarylether, organo-silicone, polynaphthalene, polyquinoline, or copolymers thereof); or combinations thereof, for example.
  • a low-k dielectric can be any dielectric with a
  • the underlying layer 28 may be: another metal layer (e.g., dielectric with conducting material or metal contacts and/or lines formed therein); a substrate having highly doped regions (source, drain); an oxide layer having contacts extending therethrough; and/or a substrate layer having electrical components formed therein (e.g., transistors, capacitors, resistors, diodes, etc.), for example.
  • another metal layer e.g., dielectric with conducting material or metal contacts and/or lines formed therein
  • a substrate having highly doped regions source, drain
  • an oxide layer having contacts extending therethrough and/or a substrate layer having electrical components formed therein (e.g., transistors, capacitors, resistors, diodes, etc.), for example.
  • FIGS. 3 - 5 illustrate the use of the first embodiment 30 in a dual damascene process for forming an opening.
  • the dielectric layer 34 has been anisotropically etched using a first photoresist mask (not shown) to form an initial opening 36 in the dielectric layer 34 .
  • the dielectric layer 34 has been anisotropically etched using a second photoresist mask (not shown) to form the remainder of the contact opening 38 .
  • the etches performed to achieve the openings 36 and 38 shown in FIGS. 3 and 4 may be performed using an etch chemistry that is selective against etching SiC, such as a C 5 F 8 etch chemistry.
  • the SiC layer 32 acts as an etch stop layer.
  • the SiC layer 32 is etched away at the contact opening 38 using another etch chemistry with a good etch selectivity between SiC and low-k dielectric.
  • the SiC layer 32 may be used as an etch stop layer for a single damascene procedure as well, as shown in FIG. 6.
  • the opening 38 sometimes will not extend all the way through the etch stop layer 32 to the underlying layer 28 , such as when the opening 38 is a trench for a conducting line.
  • the damascene process (single or dual), is completed by filling the opening 38 with a conductor, such as copper for example.
  • a conductor such as copper for example.
  • a copper layer typically would be deposited over the device and polished (e.g., chemical-mechanical polishing) back to fill the opening 38 .
  • the result is that a top surface of the conducting material will be substantially planar with a top surface 35 of the dielectric layer 34 .
  • FIGS. 15 - 17 discussed below.
  • the SiC layer 32 is deposited using a flow of substantially pure 3MS with a flow rate of about 150 sccm, at a temperature of about 350° C., into a reaction chamber (not shown) under a pressure of less than about 2 torr, and submitted to high frequency radio frequency (HFRF) power of about 100 W or more (in AMAT's Producer SE system, for example).
  • HFRF high frequency radio frequency
  • the SiC layer 32 is preferably built up to about 550 angstroms, for example, to form a single etch stop layer of SiC, as shown in FIGS. 2A and 2B.
  • the SiC layer 32 may also be built up to another thickness, as needed for a given application.
  • the SiC layer 32 may be built up between about 20 angstroms (e.g., in a bi-layer structure as the bottom glue layer) and about 600 angstroms (e.g., a single SiC etch stop layer), for example.
  • prior used processing methods for forming a bi-layer structure 22 used 3MS combined with CO 2 and He, which produced the lower quality SiC that is less desirable.
  • the resulting SiC etch stop layer 32 from a preferred process of the present invention has been shown in testing to have an inter-metal dielectric (IMD) TDDB leakage performance improvement (over the prior low quality SiC layer 26 used in the bi-layer structures 22 ) of about three orders of magnitude when tested at about 2 MV/cm and about 1.5 orders of magnitude performance improvement when tested at about 1 MV/cm.
  • IMD inter-metal dielectric
  • V bd break down voltage
  • FIG. 7 shows leakage current (in amps per square centimeter) versus electric field (in megavolts per centimeter).
  • a 500 angstroms thick layer of SiC was formed using each process (old and new) on a substrate of high-conductivity silicon.
  • the plot in FIG. 7 shows the old SiC layer (i.e., formed using a 3MS/CO 2 /He process as for the bi-layer structure 22 ) compared with the improved SiC layer (i.e., formed using a process of the present invention; in this case, pure 3MS at about 1.7 torr). Note on the plot in FIG.
  • the current leakage at about 2 megavolts per centimeter is about 1.5 ⁇ 10 ⁇ 8 amps per square centimeter for the improved SiC layer formed in accordance with a preferred embodiment, and the current leakage at about 1 megavolts per centimeter is about 1.0 ⁇ 10 ⁇ 9 amps per square centimeter.
  • FIGS. 8 - 12 show a third embodiment 50 of the present invention to illustrate the use of the present invention during another dual damascene procedure for forming a contact opening 38 .
  • a first SiC etch stop layer 32 a is formed directly on an underlying layer 28 .
  • a first low-k dielectric layer 34 a e.g., diamond-like carbon
  • a second SiC etch stop layer 32 b is formed directly on the first low-k dielectric layer 34 a .
  • a second low-k dielectric layer 34 b (e.g., diamond-like carbon) is formed directly on the second SiC etch stop layer 32 a .
  • a first opening 36 is etched in the second low-k dielectric layer 34 b with an etch chemistry selective against etching SiC (e.g., C 5 F 8 ), as shown in FIG. 9. Then, as shown in FIG. 10, the second SiC etch stop layer 32 b is etched away at the first opening 36 using another etch chemistry that will etch SiC.
  • a second opening 52 is etched in the first low-k dielectric layer 34 a with an etch chemistry selective against etching SiC (e.g., C 5 F 8 ), as shown in FIG. 11.
  • the first SiC etch stop layer 32 a is etched away at the second opening 52 using another etch chemistry that will etch SiC to complete the contact opening 38 .
  • the first etch stop layer 32 a or the second etch stop layer 32 b may incorporate a material other than SiC.
  • the SiC material may be more needed for use between the underlying layer 28 and the first dielectric layer 34 a (depending on the materials present in the underlying layer 28 and depending on the first dielectric layer material) for purposes of better adhesion among these layers, than for the second etch stop layer between the first and second dielectric layers.
  • FIGS. 13 - 17 show a fourth embodiment 60 of the present invention, which is a more specific embodiment to illustrate use of the present invention in the production of a semiconductor device.
  • FIGS. 13 - 17 show that the fourth embodiment 60 may be used with a CMOS process that incorporates dual damascene copper metalization with low-k inter-metal insulation, which is a diamond-like carbon material in this example.
  • This embodiment describes just one example, and many other processes for forming other semiconductor devices may also use the present invention.
  • a semiconductor region 62 which may include a lightly doped monocrystalline silicon layer.
  • the semiconductor region 62 may include a silicon substrate or a layer upon (e.g., an epitaxial layer or a SOI (silicon-on-insulator) layer) or within (e.g., a well, such as a triple well structure) a silicon substrate.
  • a silicon substrate or a layer upon e.g., an epitaxial layer or a SOI (silicon-on-insulator) layer
  • SOI silicon-on-insulator
  • CMOS process two transistors are illustrated.
  • An n-channel MOS transistor 64 is formed in the semiconductor region 62 while a p-channel MOS transistor 66 is formed in an n-well 68 .
  • This configuration assumes that the semiconductor region 62 is a lightly doped p-region. If an n-type region is used for the semiconductor region 62 , then the n-channel transistor 64 will be formed in the well 68 . It is also possible that both transistors 64 and 66 are formed in well regions.
  • an integrated circuit that includes transistors 64 and 66 can be formed using 0.13 ⁇ m or 90 nm technology or even smaller (e.g., sub-65 nm technology).
  • the transistor channel lengths (of most if not all of the transistors) will be about 0.13 ⁇ m for the n-channel MOS transistors 64 and about 90 nm or less for the p-channel MOS transistors 66 . It is expected that embodiments of the present invention will also be useful at smaller dimensions, e.g., for a physical gate length of 45 nm or less.
  • the n-channel transistor 64 is isolated from the p-channel transistor 66 by a shallow trench isolation (STI) region 70 .
  • the STI region 70 is formed from a trench about 2500 to about 4000 ⁇ m deep in a 6000 ⁇ m layer.
  • the trench is filled with an insulator, such as silicon dioxide, for example.
  • a more heavily doped semiconductor region 72 may be formed below the STI region 70 to provide further isolation.
  • other isolation such as field isolation, may be used.
  • transistor 64 ( 66 )
  • a gate dielectric layer 74 / 76 and a gate layer 78 / 80 are formed.
  • a single gate dielectric layer and a single gate layer are preferably used for both transistors 64 and 66 .
  • Either of these layers may be a compound layer.
  • the gate layer 78 / 80 may include a polysilicon layer and a silicide layer.
  • the gate layer 78 / 80 may be etched to form gates 78 and 80 .
  • a lightly doped implant is then formed for each transistor region. Resist may be used to protect the region that is not being doped.
  • Sidewall spacers 82 and 84 are then formed over both gates followed by more heavily doped implants for each transistor. These implants preferably use arsenic and/or phosphorus for the n-channel device and boron for the p-channel device to form the source/drain regions 86 and 88 of the transistors 64 and 66 , respectively. Again, resist may be used to protect the region that is not being doped.
  • An insulating layer 90 is formed over the device.
  • This insulating layer 90 typically comprises an oxide, such as silicon dioxide, or a doped glass, such as phosphosilicate glass (PSG) or borophosphosilicate glass (BPSG).
  • Vias are formed in the insulating layer 90 and filled with conductive material to form contacts (or plugs) 92 .
  • the conductive material may be tungsten.
  • the two contacts 92 are illustrated as being connected to two arbitrarily chosen regions. It is understood that more (or fewer) contacts could be used and could contact whichever regions the particular design requires.
  • a SiC etch stop layer 32 a is formed over the insulating layer 90 and contacts 92 .
  • the SiC etch stop layer 32 a may be deposited to a thickness of between about 10 nm (100 angstrom) and about 60 nm (600 angstroms), and preferably about 55 nm (550 angstroms), for example.
  • a low-k dielectric layer 34 a (e.g., diamond-structured carbon, such as Black DiamondTM) is deposited over the SiC etch stop layer 32 a .
  • the low-k dielectric layer 34 a may be deposited to a thickness of between about 200 nm and about 800 nm, preferably about 500 run, for example.
  • a contact opening is formed in the dielectric layer 34 a using a dual damascene process etching down to the etch stop layer 32 a using a first etch chemistry that is selective against etching SiC.
  • the etch stop layer 32 a at the contact opening is then etched away using a second etch chemistry that will etch the SiC.
  • FIG. 16 a dual damascene interconnect structure is shown in FIG. 16.
  • the illustration of this structure includes two contacts and lines 94 a , although it is understood that other configurations can be used. While a dual damascene process is illustrated, it should be understood that other processes such as single damascene or contact via formation techniques (as shown for contacts 92 ) may be used.
  • trenches are formed within the dielectric layer 34 a and contact holes are formed within the trenches to contact selected portions beneath the dielectric layer 34 a .
  • the trenches and contact vias can then be filled with a conducting material, preferably copper.
  • the conducting material e.g., 94 a
  • the conducting material is deposited into the openings 38 and onto a top surface (e.g., 35 a ) of the dielectric layer (e.g., 34 a ).
  • the conducting material 94 a is polished back so that a top surface 95 a of the conducting material 94 a is substantially planar with the top surface 35 a of the dielectric layer 34 a , as shown in FIG. 17 for example.
  • more than one layer of metalization may be included.
  • this device five levels of metal 94 a , 94 b , 94 c , 94 d , and 94 e are shown. While the illustrated embodiment shows five layers, it is understood that a semiconductor device of the preferred embodiment could include more (or fewer) than five layers of metalization.
  • a chip might include up to eight layers or more in 0.13 ⁇ m technology. Each of these layers may be processed using the steps described herein.
  • SiC may be used for only some of the etch stop layers 32 a , 32 b , 32 c , 32 d , and 32 e , depending on the needs of the design and the materials used.
  • FIG. 18 shows a fifth embodiment 100 of the present invention to illustrate the use of an improved SiC layer in accordance with the present invention within a bi-layer etch stop layer structure 122 .
  • the SiC layer 32 is formed using a preferred process of the present invention (e.g., pure 3MS at about 1.7 torr, as described above in relation to FIG. 7).
  • the SiOC layer 24 is formed using prior methods.
  • FIG. 19 shows a sixth embodiment 110 of the present invention to illustrate the use of an improved SiOC layer 124 and an improved SiC layer 32 in accordance with the present invention within a bi-layer etch stop layer structure 122 .
  • the SiC layer 32 of the six embodiment 110 is formed using a preferred process of the present invention (e.g., pure 3MS at about 1.7 torr, as described above in relation to FIG. 7).
  • the improved SiOC layer 124 in the sixth embodiment 110 is formed using a preferred process for forming the SiOC layer 124 of the present invention.
  • the SiOC layer 124 is deposited using a flow of substantially pure 3MS with a flow rate of about 100 sccm and a flow of CO 2 with a flow rate of about 350 sccm, into a reaction chamber (not shown), at a temperature of about 350° C., under a pressure of less than about 2 torr, and submitted to high frequency radio frequency (HFRF) power of about 400 W and a low frequency radio frequency (LFRF) of about 100 W or more (in AMAT's Producer SE system, for example).
  • HFRF high frequency radio frequency
  • LFRF low frequency radio frequency
  • the 3MS/CO 2 plasma environment created under these conditions in the reaction chamber induces the formation of the improved SiOC layer 124 on the SiC layer 32 .
  • This preferred process provides a non-helium (non-He) method of forming an improved SiOC layer 124 .
  • a pressure of about 1.7 torr works well for this process, for example.
  • One of the keys to this preferred process of forming an improved SiOC layer 124 is the use of 100 W or more LFRF power. In one use of the preferred process, it has been found that a LFRF of about 200 W works well.
  • the improved SiOC layer formed using the preferred process of the present invention provides improved electron migration (EM) and stress migration (SM) test results.
  • An improved SiOC layer formed using a preferred process (non-He process) of the present invention has passed EM tests having conditions of about 300° C. with a J stress of about 2 ⁇ 10 6 A/cm 2 and has passed SM tests having conditions of about 175° C. for about 500 hours to see RC shift (with a RC via size of about 0.13 ⁇ m).
  • the lower pressure i.e., less than about 2 torr
  • FIG. 20 shows a seventh embodiment 120 of the present invention to illustrate the use of an improved SiOC layer 124 in accordance with the present invention within a bi-layer etch stop layer structure 122 .
  • the SiOC layer 124 is formed using a preferred process of the present invention (e.g., a flow of 3MS/CO 2 at less than about 2 torr with a LFRF of about 100 W or more, as described above in relation to the sixth embodiment 110 ).
  • the SiC layer 26 is formed using prior methods.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
US10/335,589 2002-12-31 2002-12-31 Etch stop layer Abandoned US20040124420A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/335,589 US20040124420A1 (en) 2002-12-31 2002-12-31 Etch stop layer
TW092115180A TWI294647B (en) 2002-12-31 2003-06-05 Improved etch stop layer
CNB031531903A CN1245750C (zh) 2002-12-31 2003-08-08 使用无氮介电蚀刻停止层的半导体元件及其工艺
SG200307012A SG128451A1 (en) 2002-12-31 2003-11-28 Improved etch stop layer
US11/325,935 US7375040B2 (en) 2002-12-31 2006-01-05 Etch stop layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/335,589 US20040124420A1 (en) 2002-12-31 2002-12-31 Etch stop layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/325,935 Division US7375040B2 (en) 2002-12-31 2006-01-05 Etch stop layer

Publications (1)

Publication Number Publication Date
US20040124420A1 true US20040124420A1 (en) 2004-07-01

Family

ID=32655396

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/335,589 Abandoned US20040124420A1 (en) 2002-12-31 2002-12-31 Etch stop layer
US11/325,935 Expired - Fee Related US7375040B2 (en) 2002-12-31 2006-01-05 Etch stop layer

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/325,935 Expired - Fee Related US7375040B2 (en) 2002-12-31 2006-01-05 Etch stop layer

Country Status (4)

Country Link
US (2) US20040124420A1 (zh)
CN (1) CN1245750C (zh)
SG (1) SG128451A1 (zh)
TW (1) TWI294647B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050236694A1 (en) * 2004-04-27 2005-10-27 Zhen-Cheng Wu Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20060189153A1 (en) * 2003-09-12 2006-08-24 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US20070087580A1 (en) * 2005-10-17 2007-04-19 Dong-Min Kang Composition for removing an insulation material, method of removing an insulation layer and method of recycling a substrate using the same
US20070246804A1 (en) * 2003-01-14 2007-10-25 Koichi Ohto Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
US20080066778A1 (en) * 2006-09-19 2008-03-20 Asm Japan K.K. Method of cleaning uv irradiation chamber
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20100059762A1 (en) * 2008-09-08 2010-03-11 Spansion Llc Heat removal facilitated with diamond-like carbon layer in soi structures
CN111952168A (zh) * 2020-08-18 2020-11-17 上海华力微电子有限公司 刻蚀工艺的切换方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
WO2009135780A1 (en) * 2008-05-08 2009-11-12 Basf Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US20100252930A1 (en) * 2009-04-01 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Improving Performance of Etch Stop Layer
CN102044473B (zh) * 2009-10-13 2013-03-06 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US8993435B2 (en) * 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
US8969997B2 (en) * 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US9370907B2 (en) 2014-03-20 2016-06-21 Seagate Technology Llc Apparatuses and methods utilizing etch stop layers
US20170278700A1 (en) * 2014-09-26 2017-09-28 John D. Brooks Technique for oxidizing plasma post-treatment for reducing photolithography poisoning and associated structures
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
CN109153255A (zh) * 2016-07-12 2019-01-04 惠普发展公司,有限责任合伙企业 包括薄膜钝化层的印刷头

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140671A (en) * 1995-03-14 2000-10-31 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitive storage therefor
US20010053591A1 (en) * 1999-01-04 2001-12-20 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US6492267B1 (en) * 2000-02-11 2002-12-10 Micron Technology, Inc. Low temperature nitride used as Cu barrier layer
US6548892B1 (en) * 2000-08-31 2003-04-15 Agere Systems Inc. Low k dielectric insulator and method of forming semiconductor circuit structures
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
US20030176058A1 (en) * 2002-03-18 2003-09-18 Applies Materials, Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
US20040097099A1 (en) * 2002-11-15 2004-05-20 Lih-Ping Li Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6383943B1 (en) * 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Process for improving copper fill integrity
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US20030087043A1 (en) * 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140671A (en) * 1995-03-14 2000-10-31 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitive storage therefor
US20010053591A1 (en) * 1999-01-04 2001-12-20 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6492267B1 (en) * 2000-02-11 2002-12-10 Micron Technology, Inc. Low temperature nitride used as Cu barrier layer
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6548892B1 (en) * 2000-08-31 2003-04-15 Agere Systems Inc. Low k dielectric insulator and method of forming semiconductor circuit structures
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
US20030176058A1 (en) * 2002-03-18 2003-09-18 Applies Materials, Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
US20040097099A1 (en) * 2002-11-15 2004-05-20 Lih-Ping Li Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7763979B2 (en) * 2003-01-14 2010-07-27 Nec Electronics Corporation Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
US20070246804A1 (en) * 2003-01-14 2007-10-25 Koichi Ohto Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
US20060189153A1 (en) * 2003-09-12 2006-08-24 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7888741B2 (en) * 2003-09-12 2011-02-15 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050236694A1 (en) * 2004-04-27 2005-10-27 Zhen-Cheng Wu Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US7851372B2 (en) * 2005-10-17 2010-12-14 Samsung Electronics Co., Ltd. Composition for removing an insulation material, method of removing an insulation layer and method of recycling a substrate using the same
US20070087580A1 (en) * 2005-10-17 2007-04-19 Dong-Min Kang Composition for removing an insulation material, method of removing an insulation layer and method of recycling a substrate using the same
US20080066778A1 (en) * 2006-09-19 2008-03-20 Asm Japan K.K. Method of cleaning uv irradiation chamber
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20100059762A1 (en) * 2008-09-08 2010-03-11 Spansion Llc Heat removal facilitated with diamond-like carbon layer in soi structures
CN111952168A (zh) * 2020-08-18 2020-11-17 上海华力微电子有限公司 刻蚀工艺的切换方法

Also Published As

Publication number Publication date
CN1514477A (zh) 2004-07-21
US7375040B2 (en) 2008-05-20
US20060110938A1 (en) 2006-05-25
SG128451A1 (en) 2007-01-30
TW200411765A (en) 2004-07-01
TWI294647B (en) 2008-03-11
CN1245750C (zh) 2006-03-15

Similar Documents

Publication Publication Date Title
US7375040B2 (en) Etch stop layer
US10847418B2 (en) Formation method of damascene structure
CN106601664B (zh) 形成有选择性沉积蚀刻停止层的自对准通孔的方法和装置
KR100593737B1 (ko) 반도체 소자의 배선 방법 및 배선 구조체
US8067309B2 (en) Semiconductor device using metal nitride as insulating film and its manufacture method
JP4340040B2 (ja) 半導体装置の製造方法
KR101055451B1 (ko) 반도체 장치 및 그 제조 방법
KR101055711B1 (ko) 이중 배선된 집적 회로 칩
US11043373B2 (en) Interconnect system with improved low-k dielectrics
TWI484554B (zh) Semiconductor device and manufacturing method thereof
US6960522B2 (en) Method for making damascene interconnect with bilayer capping film
US20040251549A1 (en) Hybrid copper/low k dielectric interconnect integration method and device
KR102194058B1 (ko) 메모리 디바이스의 구조물 및 형성 방법
US10181421B1 (en) Liner recess for fully aligned via
KR20150069565A (ko) 반도체 구조체 및 그 제조 방법
US8455985B2 (en) Integrated circuit devices having selectively strengthened composite interlayer insulation layers and methods of fabricating the same
US6445072B1 (en) Deliberate void in innerlayer dielectric gapfill to reduce dielectric constant
KR20080086375A (ko) 반도체 장치 및 그 제조 방법
US6346475B1 (en) Method of manufacturing semiconductor integrated circuit
US6770570B2 (en) Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
US7402514B2 (en) Line-to-line reliability enhancement using a dielectric liner for a low dielectric constant interlevel and intralevel (or intermetal and intrametal) dielectric layer
KR20030007862A (ko) 반도체 장치와 그 제조 방법
US6784095B1 (en) Phosphine treatment of low dielectric constant materials in semiconductor device manufacturing
US20060216924A1 (en) BEOL integration scheme for etching damage free ELK

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, SIMON S.H.;CHANG, WENG;JANG, SYUN-MING;AND OTHERS;REEL/FRAME:013945/0257

Effective date: 20030331

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION