CN1211841C - 使掺杂SiO2图形化的方法和选择性蚀刻SiO2的方法 - Google Patents

使掺杂SiO2图形化的方法和选择性蚀刻SiO2的方法 Download PDF

Info

Publication number
CN1211841C
CN1211841C CNB01812321XA CN01812321A CN1211841C CN 1211841 C CN1211841 C CN 1211841C CN B01812321X A CNB01812321X A CN B01812321XA CN 01812321 A CN01812321 A CN 01812321A CN 1211841 C CN1211841 C CN 1211841C
Authority
CN
China
Prior art keywords
silicon dioxide
etchant
etching
dry ecthing
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB01812321XA
Other languages
English (en)
Other versions
CN1451176A (zh
Inventor
K·Y·郭
L·李
G·T·布莱洛克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1451176A publication Critical patent/CN1451176A/zh
Application granted granted Critical
Publication of CN1211841C publication Critical patent/CN1211841C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种包含C2HxFy蚀刻剂,其中x是2-5的整数,y是1-4的整数,x+y等于6。该蚀刻剂能以相对于未掺杂二氧化硅和氮化硅的选择性蚀刻掺杂的二氧化硅。因此,在使用含C2HxFy的蚀刻剂的干蚀刻法中,可使用未掺杂二氧化硅和氮化硅作为蚀刻终止层。C2HxFy可用作主蚀刻剂或用作另一种蚀刻剂或蚀刻剂混合物中的添加剂。还公开了包括按照本发明方法使用本发明蚀刻剂进行图形化的结构的半导体器件(10)。具体而言,本发明提供一个半导体器件(10),该器件包括具有基本垂直的侧壁(34)和相邻于侧壁(34)露出的相邻未掺杂二氧化硅或氮化硅结构(36)的垂直二氧化硅结构(24)。

Description

使掺杂SiO2图形化的方法和选择性蚀刻SiO2的方法
技术领域
本发明涉及选择性蚀刻位于氮化硅或未掺杂二氧化硅上的掺杂二氧化硅的方法。具体而言,本发明方法包括使用含有乙烷气体的蚀刻剂混合物,该乙烷气体具有通式C2HxFy,其中x是2-5的整数,y是1-4的整数,x+y等于6。本发明还涉及包含具有通式C2HxFy的组分的蚀刻剂混合物,通式中x是2-5的整数,y是1-4的整数,x+y等于6。
背景技术
在半导体器件上形成多层结构通常涉及掺杂的二氧化硅层,包括但不限于磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)和硼磷硅酸盐(BPSG)层的图形化。通常使用这些材料作为半导体器件上的钝化层。一般采用蚀刻技术形成各种类型半导体器件结构的图形,包括形成贯穿钝化层的接触孔。通常在下层结构上例如在半导体基材上形成的导电掺杂的接触区域上,形成蚀刻终止层,以便一旦形成了要求的钝化层或蚀刻基材的图形后能终止蚀刻过程。通常使用氮化硅(Si3N4)作为二氧化硅图形化用的蚀刻终止层。
一般,在蚀刻之前,沉积保护层如光致抗蚀剂层,然后显影使其用作为模板层或保护掩模,以便通过蚀刻技术在钝化层如沉积的二氧化硅层上形成一定结构。可以采用湿蚀刻或干蚀刻通过这种保护层来在掺杂的二氧化硅钝化层上形成半导体器件结构。
在授予David A.Cathey等人的美国专利5,300,463中,公开了湿蚀刻方法的一个例子,该专利的湿蚀刻方法使用氢氟酸(HF)作为蚀刻剂,相对于未掺杂的二氧化硅能选择性腐蚀掺杂的二氧化硅。尽管该方法有腐蚀的选择性或专有性,从与湿蚀刻法有关的许多缺陷考虑,这种方法并不合适。具体而言,此专利方法是一种各向同性蚀刻。结果,由此形成的结构,其尺寸不同于通过保护掩模露出蚀刻基材上目标区域的尺寸。而且,本领域技术人员知道,由于湿蚀刻技术通常是各向同性的,如果被蚀刻薄膜的厚度大约等于要求的最小图形尺寸,通常由各向同性蚀刻引起的底蚀现象会变得不可接受。同样,随着在半导体器件活性表面上结构的尺寸日益减小,蚀刻必需非常准确,并保持在非常精确的公差之内,以便保持这样最小结构的对准以及使这样结构的电性能最佳。当采用许多常规湿蚀刻法来形成半导体器件上的结构时,常常不能达到这样的精确度。因此,常规湿蚀刻法既缺少精确性,又是各向同性的,所以不能和在现有技术要求的半导体器件上形成结构的蚀刻法总体目标相一致:该目标是以很高的忠实度再现保护掩模的特征。
不同的是,许多干蚀刻法,包括但不限于辉光放电溅射、离子铣、反应离子蚀刻(RIE)、反应性离子束蚀刻(RIBE)和高密度等离子体蚀刻。这些干蚀刻法能够以基本上各向异性方式蚀刻,意味着在与蚀刻基材露出或活性表面基本垂直的方向上,主要是对蚀刻基材的目标区域进行蚀刻。因此,这种干蚀刻法能定义具有基本垂直于蚀刻基材的侧壁的结构。结果,这种干蚀刻法能够准确再现保护掩模的特征。由于在半导体器件上结构尺寸的日益减小,往往需要用干蚀刻法来定义在半导体器件活性表面上的结构。
有许多方法是使用等离子体来干蚀刻二氧化硅层,然而,这些方法缺乏可与湿蚀刻法相似的选择性特性。在等离子体干蚀刻二氧化硅层时,通常使用氟碳化合物如CF4和CHF3。通常和这些氟碳化合物一起使用的射频(RF)等离子体会产生活化物质,如氟离子和氟自由基,它们侵蚀二氧化硅对其进行蚀刻。这些活化的氟自由基和氟离子还会侵蚀其它物质如硅和氮化硅。结果,使用等离子体的许多干蚀刻法,除了蚀刻所要求腐蚀的层外,还会不合乎要求地对蚀刻终止层和露出或在蚀刻过程会露出的半导体器件的其它结构进行腐蚀。
在干蚀刻法中使用的蚀刻终止层材料通常位于相关的蚀刻基材下面,以比蚀刻相关蚀刻基材慢的速度被蚀刻。由于干蚀刻剂以慢于外蚀刻基材的腐蚀速度对蚀刻终止层进行蚀刻,蚀刻终止层的作用是防止该结构被干蚀刻,即使该蚀刻终止层本身被消耗。
由于许多半导体器件的栅结构有一个氮化硅(Si3N4)外罩,所以要求二氧化硅(SiO2)相对于氮化硅的腐蚀选择性,以便蚀刻出贯穿钝化层的接触部分。然而,许多所谓二氧化硅选择性等离子体干蚀刻法具有的SiO2对Si3N4选择比,即SiO2蚀刻速度对Si3N4蚀刻速度之比大约小于3∶1。
1994年2月15日授予Guy Blalock等人的美国专利5,286,344(′344专利)公开了一种干蚀刻法,这种方法相对于氮化硅的二氧化硅的选择性比许多其它常规二氧化硅干蚀刻法好得多。具体而言,使用CH2F2作为主蚀刻剂例如CF4或CHF3的添加剂,就为此干蚀刻剂混合物提供了提高的相对于氮化硅的二氧化硅腐蚀选择性。用来蚀刻二氧化硅和氮化硅所要求的高能离子的作用是分解在氧化物或氮化物表面上的化学键。然而,蚀刻氮化硅所要求的分解能小于蚀刻二氧化硅所要求的分解能。在干蚀刻剂中使用CH2F2会引起聚合物沉积在氮化硅表面,其对于氮化硅分解性能比对于二氧化硅的氮化硅分解性能的抵消程度大于不使用添加剂如CH2F2的常规干蚀刻剂。结果,′334专利的蚀刻剂能以大于腐蚀氮化硅腐蚀终止层30∶1的选择性蚀刻二氧化硅。然而,与其它常规二氧化硅干蚀刻方法一样,在′344专利中公开的作为有用的蚀刻终止层的唯一材料是氮化硅。因此,′344专利公开的干蚀刻法的使用只限于定义包括氮化硅介电层的半导体器件结构,如在氮化硅封端的栅上的接触部分。而且,′344专利揭示的干蚀刻剂各组分的相对流量只限于很小的范围,才能达到要求的选择性。同样,许多其它干蚀刻法要求使用非常专用的干蚀刻剂组分。因此,许多常规干蚀刻系统的使用范围很窄。
尽管氮化硅广泛用作蚀刻终止材料,由于通过低压化学气相沉积(LPCVD)法在半导体器件活性表面上沉积氮化硅也会在半导体器件背面上形成氮化物厚层,所以使用氮化硅蚀刻终止层不很适宜。因为这样的氮化物厚层随后必须除去,就会增加制造时间和成本,还有可能在制造器件过程中损害半导体器件。
而且,在使用等离子体的常规干蚀刻法过程中产生的氟自由基和氟离子会非选择性地侵蚀即蚀刻掺杂和未掺杂的二氧化硅。换句话说,这样的二氧化硅干蚀刻法不能区别性地蚀刻掺杂的二氧化硅和未掺杂的二氧化硅。结果,当采用常规干蚀刻法时,限制了在现有技术半导体器件中使用别的物质代替氮化硅。
因此,本发明人认识到需要相对于未掺杂二氧化硅和氮化硅能选择性腐蚀掺杂的二氧化硅的蚀刻剂,还需要一种选择性腐蚀掺杂二氧化硅的干蚀刻方法,该方法中氮化硅和未掺杂二氧化硅用作蚀刻终止层,或者不需要使用蚀刻终止层。还需要可以改变其中各组分浓度的蚀刻剂混合物,以便于在掺杂二氧化硅应用范围很宽的用途中使用这种混合物。
发明内容
本发明包括一种干蚀刻方法和蚀刻剂,用来克服常规干蚀刻法出现的缺点。
本发明的蚀刻剂包含C2HxFy,其中x是2-5的整数,y是1-4的整数,x+y等于6。具体而言,本发明的组分C2HxFy选自C2H2F4、C2H3F3、C2H4F2和C2H5F。C2HxFy组分可用作主蚀刻剂,或作为蚀刻剂混合物的一个组分。当用作主蚀刻剂时,相对于许多常规二氧化硅干蚀刻法的蚀刻速度,C2HxFy固然以缓慢速度蚀刻掺杂的二氧化硅,但能相对于未掺杂二氧化硅的选择性蚀刻掺杂二氧化硅。
当C2HxFy用作气体二氧化硅蚀刻剂的添加剂时,它能为蚀刻剂混合物提供相对于未掺杂二氧化硅选择性蚀刻掺杂二氧化硅的性能,此时对掺杂二氧化硅的蚀刻能以和许多常规掺杂二氧化硅干蚀刻法类似的速度进行。可以根据使用的具体C2HxFy所要求的掺杂二氧化硅与未掺杂二氧化硅蚀刻选择性大小(即选择性比)、所要求的二氧化硅与氮化硅腐蚀选择性的大小、要求的蚀刻速度以及其它因素,改变蚀刻剂混合物中C2HxFy的用量。
本发明的干蚀刻法使用本发明的蚀刻剂(即包含C2HxFy的蚀刻剂),这种方法相对于未掺杂二氧化硅和氮化硅有蚀刻掺杂二氧化硅的选择性。因此,本发明的干蚀刻法能有效应用于各向异性地蚀刻掺杂二氧化硅层直至下面的未掺杂二氧化硅或氮化硅的蚀刻终止层。
在本发明干蚀刻发中使用本发明蚀刻剂形成的结构也在本发明范围之内。
通过下面描述、附图和权利要求书,本领域技术人员能够理解本发明的其它特征和优点。
附图简述
图1至图5是说明本发明方法一种实施方案和由此形成的示例性结构的剖面图。
图6至图8是说明在另一种半导体器件结构上使用本发明方法和产生的结构一个例子的剖面图。
实施本发明的最佳方式
本发明包括相对于未掺杂二氧化硅和氮化硅有腐蚀掺杂二氧化硅选择性的蚀刻剂。如本领域技术人员所知,“掺杂”的二氧化硅通常包含掺杂剂如硼或磷,而未掺杂二氧化硅基本上不含掺杂剂和其它杂质。掺杂二氧化硅的例子包括但不限于磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)和硼磷硅酸盐(BPSG)。本发明还包括使用本发明蚀刻剂的干蚀刻法。
本发明掺杂的二氧化硅的蚀刻剂在此为简便起见也称作蚀刻剂,包含通式为C2HxFy的乙烷组分,为简便起见,也称作C2HxFy组分或C2HxFy,其中x是2-5的整数,y是1-4的整数,x+y等于6。具体而言,本发明C2HxFy组分要求选自C2H2F4、C2H3F3、C2H4F2和C2H5F。掺杂二氧化硅的蚀刻剂还可以是各种类型C2HxFy的混合物。
当掺杂二氧化硅蚀刻剂的C2HxFy组分RF活化时,氢离子和活化的氢物质能与含氟的离子和活化的含氟物质(如F*和CF*)反应,在未掺杂二氧化硅或氮化硅的蚀刻终止层上发生显著量的蚀刻之前,从晶片表面除去活化的含氟物质。C2HxFy添加剂的氢含量对包含该添加剂的蚀刻剂提供相对于未掺杂二氧化硅能蚀刻掺杂二氧化硅的选择特性。
在本发明的掺杂二氧化硅蚀刻剂的第一个实施方案中,C2HxFy是主蚀刻剂。当C2HxFy用作主蚀刻剂时,它相对于未掺杂二氧化硅具有腐蚀掺杂二氧化硅的选择性。换句话说,C2HxFy以其比蚀刻未掺杂二氧化硅更快的速度蚀刻掺杂的二氧化硅。作为主蚀刻剂,与许多常规二氧化硅干蚀刻剂相比,以较为缓慢的速度蚀刻掺杂的二氧化硅。因此,可以将能提高蚀刻速度的添加剂与C2HxFy组合使用。使用的添加剂包括但不限于CF4、CHF3和其它在常规掺杂二氧化硅干蚀刻方法中用作主蚀刻剂的卤代烃物质。
同样,能提高蚀刻剂相对于氮化硅选择性腐蚀二氧化硅(即降低氮化硅被蚀刻的速度)的添加剂,也可以作为包含C2HxFy作为主蚀刻剂的蚀刻剂的添加剂。’334专利揭示的内容参考结合于此,其中揭示了一些以这种方式提高C2HxFy选择性的添加剂。’334专利的添加剂是氢原子数等于或大于氟原子数,如CH2F2和CH3F的氟碳化合物。
其它添加剂也可以和包含C2HxFy作为主蚀刻剂的二氧化硅蚀刻剂一起使用,用以改变这种蚀刻剂的其它特性,包括但不限于,这类蚀刻剂相对于未掺杂二氧化硅蚀刻掺杂二氧化硅的选择性,相对于某些其它类型掺杂二氧化硅腐蚀其他一定类型掺杂二氧化硅的选择性。
在本发明掺杂二氧化硅蚀刻剂的另一个实施方案中,使用C2HxFy作为一种或多种主蚀刻剂的添加剂。C2HxFy可用作包含一种氟碳化合物主蚀刻剂如CF4、CHF3或其它能以大于其蚀刻氮化硅的速度蚀刻二氧化硅(即相对于氮化硅的蚀刻二氧化硅选择性)的氟碳化合物的蚀刻剂的添加剂。根据’334专利,CF4和CHF3是C2HxFy用作添加剂时主蚀刻剂的例子。
当C2HxFy用作二氧化硅蚀刻剂如CF4或CHF3的添加剂时,它使该二氧化硅蚀刻剂具有相对于未掺杂二氧化硅腐蚀掺杂二氧化硅的选择性,同时让掺杂二氧化硅的蚀刻以基本通常的速度进行。可以改变C2HxFy在蚀刻剂混合物中相对于其它蚀刻剂和载气的用量,以达到要求的蚀刻结果。因改变混合物中C2HxFy的浓度而变化的蚀刻剂混合物的各种特性,包括但不限于,相对于未掺杂二氧化硅腐蚀掺杂二氧化硅的选择性、相对于氮化硅蚀刻二氧化硅的选择性、以及掺杂二氧化硅的蚀刻速度。
相对于未掺杂二氧化硅和氮化硅蚀刻掺杂二氧化硅的选择性的一种示例性干蚀刻剂,包含约40%的添加剂C2H2F4(即C2HxFy组分)、约30%主蚀刻剂C2HF3和约30%CH2F2,后者是一种能提高主蚀刻剂相对于氮化硅蚀刻二氧化硅的选择性的添加剂,所有百分数基于进入蚀刻器各气体的相对流量。
或者,可以显著改变C2HxFy组分的量。包含任何量通式C2HxFy添加剂的蚀刻剂都在本发明范围之内,式中,x是2-5的整数,y是1-4的整数,x+y等于6。一种例举性蚀刻剂包含5%、10%、20%、65%或90%的C2HxFy添加剂或者C2HxFy添加剂的混合物。
同样,还可预见,可以使用C2HxFy作为包含其它组分的二氧化硅干蚀刻剂混合物的添加剂。例如,C2HxFy可以与包含CF4或CHF3作为主腐蚀剂的蚀刻剂一起使用,或与包含这两种作为主蚀刻剂以及载气如氩或氮气一起使用。或者,含C2HxFy的干蚀刻剂可以包含一种或多种会改变干蚀刻剂各种特性如蚀刻速度、选择性程度和选择性类型的其它添加剂。例如,如’334专利揭示的,使用CH2F2作为添加剂能提高干蚀刻剂相对于氮化硅腐蚀二氧化硅的选择性。也可以使用通式C2HxFy添加剂的混合物作为掺杂二氧化硅干蚀刻剂的组分。
本发明干蚀刻法的一个较好实施方案使用本发明的蚀刻剂(即包含C2HxFy的蚀刻剂),它具有相对于未掺杂二氧化硅和氮化硅蚀刻掺杂二氧化硅的选择性。这种干蚀刻法包括使用这种蚀刻剂蚀刻掺杂的二氧化硅直至未掺杂二氧化硅或氮化硅结构或层,这样的结构或层可以形成蚀刻终止层或是其一部分。
试看图1至图4,所示为使用本发明蚀刻剂的本发明蚀刻方法。图1所示为举例性的多层结构10,也称作半导体器件结构,该结构至少部分可按照本发明方法制造。多层结构10包括半导体基材12(如硅片、绝缘体上的硅(SOI)、蓝宝石上的硅(SOS)、玻璃上的硅(SOG)等)、设置在半导体基材的活性表面13上的区域氧化层14以及有源器件区16。有个扩散区17,也称作接触区,包含导电掺杂半导体材料(如硅),该扩散区形成在有源器件区中并和活性表面13连续。在有源器件区16中的活性表面13上设置由导电材料如聚硅形成的导电线(conductive lines)18,通过栅氧化物19与活性表面13电隔离,这些导电线18在侧面靠近扩散区域17。侧壁隔板20位于导电线18的两侧,侧壁隔板20和设置在导电线18上的中间结构层或绝缘外罩22使导电线18电绝缘。侧壁隔板20和外罩22可由氮化硅或未掺杂二氧化硅制造。多层结构10还包括设置上述两个元件上的钝化层24。钝化层24可由掺杂二氧化硅(如BPSG、PSG或BSG)制造。
图2所示为形成贯穿钝化层24结构之前对多层结构10的隐蔽。掩模26也称作保护层,是置于钝化层4上的一个层,其本身是图形化了的。掩模26可由诸如光致抗蚀剂或其它光成象材料构成。能用作掩模26的正光致抗蚀剂可包含酚醛环氧树脂、重氮萘醌和溶剂如乙酸正丁酯或二甲苯。能用作掩模26的例举性负光致抗蚀可包含环化的合成橡胶树脂、双芳基叠氮化物和芳烃溶剂。这样的掩模26可通过本领域已知方法如旋转涂布法施用于或涂布于多层结构10上,并用光隐蔽和图形形成技术进行图形化。或者,掩模26可以是可带静电荷的可硬化液体物质如聚合物的气溶胶喷射图形,该物质不能被蚀刻或以较下层钝化层24慢得多的速度蚀刻。在1997年12月9日授予James J.Alwan的美国专利5,695,658(‘658专利)中描述了用于喷射图形化这样的带静电荷可硬化液体物质的例举性方法,此专利揭示的内容全文参考结合于此。按照’658专利,可以使用光致抗蚀材料(正和负)以及非光成象材料作为掩模26。使用其它非光成象材料的掩模26以及对其施涂和图形化的方法也在本发明方法的范围之内。掩模26的图形化形成了开口28,也称作孔或接触孔,可以在随后的蚀刻步骤中,通过该接触孔在下面钝化层24中形成预定结构。掩模26是能抵抗本发明蚀刻剂的材料(即蚀刻剂不能蚀刻掩模26,或以与蚀刻基材的速度相比较为缓慢的速度蚀刻掩模)。因此,钝化层24在掩模26之下的那些区域在随后的蚀刻步骤受到保护,不受蚀刻剂影响。
再看图3,所示为蚀刻步骤,此时活化的蚀刻剂30单独或者随同载气通入蚀刻室(未示出),腐蚀通过掩模26的开口28露出的钝化层24的区域。本领域技术人员已知的干蚀刻法,包括但不限于高密度等离子体蚀刻、反应离子蚀刻(RIE)、磁性离子蚀刻(MIE)、磁性增强的反应离子蚀刻(MERIE)、等离子体蚀刻(PE)、点等离子体蚀刻、等离子体增强的反应离子蚀刻(PERIE)和电子回旋加速器共振(ECR)等方法都可以与本发明的蚀刻剂一起采用,这些都在本发明方法的范围之内。本发明的含C2HxFy的蚀刻剂活化后以基本垂直的方式蚀刻出贯穿钝化层24的接触孔或孔32,直到露出中间结构层22。由未掺杂二氧化硅或氮化硅制成的中间结构层22的作用是作为蚀刻终止层。因此,蚀刻剂30蚀刻中间层22的速度比其蚀刻钝化层24的速度更为缓慢。蚀刻了钝化层24的露出区域之后,可采用本领域已知的方法如清洗或蚀刻(湿法或干法)方法除去掩模26。
图4所示为通过本发明蚀刻法在钝化层24上已形成的接触开口或孔32。接触开口32有着基本垂直于半导体基材12活化表面13或取向为基本垂直于半导体基材12一个平面的侧壁34。多层结构10的基材开口32使位于各导电线上面的中间结构层22的至少一部分露出。中间结构层22构成了在导电线18上的外罩36。因此,外罩36可由未掺杂二氧化硅或氮化硅制造。
然后,通过已知方法从上面的扩散区17除去中间结构层22,以露出扩散区,其方法例如对多层结构10进行掩蔽然后使用湿蚀刻剂或干蚀刻剂使中间结构层22图形化,该腐蚀剂应能以相对于扩散区17的选择性蚀刻中间结构层22的材料。可以按照本领域已知的方法对多层结构10进行进一步处理(例如形成与扩散区17接触的导电结构)。
使用本发明蚀刻剂和蚀刻方法的另一个例子示于图5至图8。图5所示为采用本发明方法形成的另一个多层结构例子10′。它也称作半导体器件结构,包括半导体基材12′、在半导体基材12′的活性表面13′上形成的场氧化物层14′和靠近场氧化物层14′的有源器件区16′。在靠近活性表面13′的半导体基材12′的有源器件区16′中形成导电掺杂扩散区17′,也称作接触区。导电线18′由聚硅或其它导电材料形成,位于活性表面13′上的有源器件区16′的上方,但通过栅氧化物19′与有源器件区16′隔开,这些导电线18′在侧面靠近扩散区17′。导电线18′由和其两面相邻的侧壁隔板20′以及覆盖各导电层18′顶部的外罩22′获得绝缘。侧壁隔板20′和外罩22′可以由氮化硅或未掺杂二氧化硅制造。多层结构10′还包括由掺杂二氧化硅(如BPSG、PSG或BSG)形成的钝化层24′,位于扩散区域17′、导电线18′、侧壁隔板20′和外罩22′之上。
图6中,所示的多层结构10′包括在钝化层24′上形成的掩模26′或保护层。掩模26′可用和制造图2所示的掩模26所述相同的方法形成。掩模26′中包括一些开口28′,也称作孔或接触孔,在随后的蚀刻步骤中,通过这些孔可以形成下面钝化层24′中的预定结构。掩模26′是抵抗本发明蚀刻剂的物质(即蚀刻剂不能蚀刻掩模26′,或以比其蚀刻钝化层24′较为缓慢的速度蚀刻掩模26′)。钝化层24′在掩模26′下面的那些部分在随后蚀刻步骤受到保护。
图7所示为通过掩模26′中的孔用蚀刻剂除去钝化层24′的物质。蚀刻剂30单独或随同载气通入蚀刻室(未示出),通过掩模26′中的开口28′腐蚀掉露出的钝化层24′的区域。已知的干蚀刻法,如使用图3中所示蚀刻剂描述的那些方法,可以使用本发明蚀刻方法所用的蚀刻剂。蚀刻剂30包含本发明含C2HxFy蚀刻剂,以基本垂直的方式蚀刻出贯穿钝化层24′的孔32′,直到露出扩散区17′,如图8所示。当钝化层24′通过孔28′蚀刻到扩散区17′时,由二氧化硅或氮化硅形成的侧壁隔板20′在其本身接触孔32′内露出,其本身基本没有被蚀刻,或以比钝化层24′被蚀刻更缓慢的速度被蚀刻。蚀刻了钝化层24′的露出区域之后,可采用本领域已知的方法如清洗或蚀刻法除去掩模26′。蚀刻剂30应以相对于掺杂的硅或扩散区域17′的另一种材料的选择性蚀刻导电掺杂二氧化硅。
还可以按照本领域已知的方法对多层结构10′进行进一步处理(如形成与扩散区域17′接触的导电结构)。
尽管上述描述包括许多细节,但这些细节不构成对本发明范围的限制,而仅仅是提供对本发明一些较好实施方案的说明。同样,可以拟定不偏离本发明精神和范围的本发明其它实施方案。因此,本发明范围仅由权利要求书和其等价物内容限定,而不是由上述说明限定。在此揭示的在权利要求书精神和范围之内的对本发明的所有添加、删除和修改都包括在权利要求书范围之内。

Claims (15)

1.一种使掺杂二氧化硅图形化的方法,该方法包括:
在掺杂的二氧化硅上设置掩模;
使所述掩模材料图形化,露出掺杂二氧化硅的选择区域;
用包含C2HxFy的蚀刻剂干蚀刻所述露出的选择区域,其中x是3-5的整数,y是1-3的整数,x+y等于6,所述蚀刻剂相对于未掺杂二氧化硅和氮化硅能选择性蚀刻掺杂的二氧化硅。
2.如权利要求1所述的方法,其特征在于所述掩模材料的设置包括设置光成象材料。
3.如权利要求2所述的方法,其特征在于所述图形化是采用光刻蚀法。
4.如权利要求1所述的方法,其特征在于所述掩模材料的设置包括设置非光成象材料。
5.如权利要求1所述的方法,其特征在于所述方法还包括在掺杂二氧化硅下面提供蚀刻终止层,并将所述露出的选择区域蚀刻到所述蚀刻终止层。
6.如权利要求5所述的方法,其特征在于所述提供所述蚀刻终止层是提供所述材料为未掺杂二氧化硅的蚀刻终止层。
7.如权利要求5所述的方法,其特征在于所述提供所述蚀刻终止层是提供材料为氮化硅的蚀刻终止层。
8.如权利要求1-7中任一项所述的方法,其特征在于采用选自下列的方法进行所述干蚀刻:反应性离子蚀刻、等离子体蚀刻、高密度等离子体蚀刻、点等离子体蚀刻、磁性离子蚀刻、磁性增强的反应性离子蚀刻、等离子体增强的反应性离子蚀刻和电子回旋加速器共振。
9.如权利要求1-7中任一项所述的方法,其特征在于所述干蚀刻包括使用反应性离子蚀刻。
10.如权利要求1-7中任一项所述的方法,其特征在于所述干蚀刻包括使用高密度等离子体蚀刻。
11.如权利要求1-7中任一项所述的方法,其特征在于所述在掺杂二氧化硅上设置所述掩膜的步骤包括在包含掺杂二氧化硅的钝化层上设置所述掩膜,所述钝化层位于许多晶体管栅极结构之上。
12.如权利要求11所述的方法,其特征在于所述干蚀刻包括形成至少一个贯穿所述钝化层的孔,所述至少一个孔包括至少一个垂直于半导体基材平面的侧壁,所述纯化层和许多晶体管栅极结构置于所述半导体基材上,所述至少一个侧壁终止于至少一个所述许多晶体管栅极结构的外罩和侧壁中的至少一个上,所述晶体管栅极结构的外罩或侧壁包含未掺杂的二氧化硅或所述氮化硅。
13.如权利要求12所述的方法,其特征在于所述形成至少一个孔的步骤包括横向露出至少一部分所述外罩、所述侧壁和所述半导体基材的有源器件区,露出的半导体基材的有源器件区位于与所述至少一个侧壁相邻的位置。
14.如权利要求1-7中任一项所述的方法,其特征在于所述干蚀刻所述掺杂二氧化硅的所述露出的选定区域包括对硼硅酸盐玻璃、磷硅酸盐玻璃或硼磷硅酸盐玻璃中至少一种的露出的选定区域进行干蚀刻。
15.一种选择性蚀刻掺杂二氧化硅结构的方法,该方法包括:
使掺杂的二氧化硅结构和相邻的未掺杂二氧化硅结构的至少一部分与包含C2HxFy的蚀刻剂接触,其中x是3-5的整数,y是1-3的整数,x+y等于6;
此时所述蚀刻剂蚀刻掺杂的二氧化硅结构,而不会蚀刻相邻的未掺杂二氧化硅结构。
CNB01812321XA 2000-07-05 2001-07-05 使掺杂SiO2图形化的方法和选择性蚀刻SiO2的方法 Expired - Lifetime CN1211841C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61004900A 2000-07-05 2000-07-05
US09/610,049 2000-07-05

Publications (2)

Publication Number Publication Date
CN1451176A CN1451176A (zh) 2003-10-22
CN1211841C true CN1211841C (zh) 2005-07-20

Family

ID=24443420

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB01812321XA Expired - Lifetime CN1211841C (zh) 2000-07-05 2001-07-05 使掺杂SiO2图形化的方法和选择性蚀刻SiO2的方法

Country Status (8)

Country Link
EP (1) EP1297564B1 (zh)
JP (1) JP2004503082A (zh)
KR (1) KR100750081B1 (zh)
CN (1) CN1211841C (zh)
AT (1) ATE408896T1 (zh)
AU (1) AU2001278191A1 (zh)
DE (1) DE60135844D1 (zh)
WO (1) WO2002003439A2 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10311691A1 (de) * 2003-03-17 2004-10-07 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur
US8778804B2 (en) * 2009-01-30 2014-07-15 Fei Company High selectivity, low damage electron-beam delineation etch
CN102945892B (zh) * 2012-11-07 2015-08-05 南通大学 一种太阳能电池制造方法
US10217681B1 (en) 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3420347A1 (de) * 1983-06-01 1984-12-06 Hitachi, Ltd., Tokio/Tokyo Gas und verfahren zum selektiven aetzen von siliciumnitrid
JPS61251138A (ja) * 1985-04-30 1986-11-08 Matsushita Electric Ind Co Ltd ドライエツチング方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US6066555A (en) * 1995-12-22 2000-05-23 Cypress Semiconductor Corporation Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US6849557B1 (en) * 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6018184A (en) * 1998-01-22 2000-01-25 Micron Technology, Inc. Semiconductor structure useful in a self-aligned contact having multiple insulation layers of non-uniform thickness
US6117791A (en) * 1998-06-22 2000-09-12 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby

Also Published As

Publication number Publication date
WO2002003439A3 (en) 2002-06-06
WO2002003439A2 (en) 2002-01-10
CN1451176A (zh) 2003-10-22
DE60135844D1 (de) 2008-10-30
KR100750081B1 (ko) 2007-08-21
KR20030038651A (ko) 2003-05-16
EP1297564B1 (en) 2008-09-17
ATE408896T1 (de) 2008-10-15
AU2001278191A1 (en) 2002-01-14
WO2002003439A8 (en) 2002-07-04
JP2004503082A (ja) 2004-01-29
EP1297564A2 (en) 2003-04-02

Similar Documents

Publication Publication Date Title
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
EP0167136B1 (en) Selective anisotropic reactive ion etching process for polysilicide composite structures
US6121671A (en) Semiconductor device having a substrate, an undoped silicon oxide structure, and an overlying doped silicon oxide structure with a side wall terminating at the undoped silicon oxide structure
US6890865B2 (en) Low k film application for interlevel dielectric and method of cleaning etched features
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
CN1146034C (zh) 下埋式微细金属连线的制造方法
US6114253A (en) Via patterning for poly(arylene ether) used as an inter-metal dielectric
CN1211841C (zh) 使掺杂SiO2图形化的方法和选择性蚀刻SiO2的方法
US6069087A (en) Highly selective dry etching process
CN100339953C (zh) 形成接触孔的方法
KR100400302B1 (ko) 반도체 소자의 제조 방법
US6875371B1 (en) Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US7173339B1 (en) Semiconductor device having a substrate an undoped silicon oxide structure and an overlaying doped silicon oxide structure with a sidewall terminating at the undoped silicon oxide structure
US7622051B1 (en) Methods for critical dimension control during plasma etching
KR100226767B1 (ko) 반도체 소자의 제조 방법
CN1287422C (zh) 形成具有圆化边角的接触窗口的方法及半导体结构
KR20090067596A (ko) 반도체 소자 제조 방법
KR100671631B1 (ko) 반도체 소자 제조방법
US6949469B1 (en) Methods and apparatus for the optimization of photo resist etching in a plasma processing system
KR100249384B1 (ko) 접촉홀 형성방법
KR100458087B1 (ko) 반도체장치제조방법
KR100634267B1 (ko) 반도체 장치에서 소자 분리 영역의 형성 방법
KR20040008447A (ko) 반도체 소자의 플러그 형성 방법
KR20020024920A (ko) 반도체소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20050720

CX01 Expiry of patent term